저자소개송상훈연세대학교전자공학과학사한국과학기술원전산학과석사 University of Minnesota 전산학과박사 ETRI 연구원현세종대학교컴퓨터공학과교수 한동일고려대학교전자전산공학과학사한국과학기술원전기및전자공학과석사한국과학기술원전기및전자공학과박사 LG전자 DTV연구소

Size: px
Start display at page:

Download "저자소개송상훈연세대학교전자공학과학사한국과학기술원전산학과석사 University of Minnesota 전산학과박사 ETRI 연구원현세종대학교컴퓨터공학과교수 한동일고려대학교전자전산공학과학사한국과학기술원전기및전자공학과석사한국과학기술원전기및전자공학과박사 LG전자 DTV연구소"

Transcription

1

2 저자소개송상훈연세대학교전자공학과학사한국과학기술원전산학과석사 University of Minnesota 전산학과박사 ETRI 연구원현세종대학교컴퓨터공학과교수 한동일고려대학교전자전산공학과학사한국과학기술원전기및전자공학과석사한국과학기술원전기및전자공학과박사 LG전자 DTV연구소책임연구원현세종대학교컴퓨터공학과교수 논리회로설계 초판인쇄 205년 2월 23일초판발행 205년 2월 30일지은이송상훈 한동일펴낸이김승기펴낸곳 ( 주 ) 생능출판사 / 주소경기도파주시광인사길 43 출판사등록일 2005년 월 2일 / 신고번호제 호대표전화 (03) / 팩스 (03) 홈페이지 책임편집신성민 / 편집최일연, 손정희, 김민보 / 디자인유준범마케팅백승욱, 최복락, 김민수, 심수경, 최권혁, 백수정, 최태웅, 김민정인쇄 제본 ( 주 ) 상지사P&B ISBN 정가 27,000원 이도서의국립중앙도서관출판예정도서목록 (CIP) 은서지정보유통지원시스템홈페이지 ( 와국가자료공동목록시스템 ( 에서이용하실수있습니다. (CIP 제어번호 : CIP ) 이책의저작권은 ( 주 ) 생능출판사와지은이에게있습니다. 무단복제및전재를금합니다. 잘못된책은구입한서점에서교환해드립니다.

3 머리말 이책은컴퓨터공학또는전자공학에서논리회로설계와관련된과목의교재로사용할수있도록내용을구성하였고, 총 장으로이루어져있다. 장은수체계를포함하여디지털시스템을소개하고, 2~6장은조합회로에관련된내용을다루고 7~장은순차회로부분을설명하고있다. 책을구성하고있는내용중 3.2 절의 Quine-McCluskey 방법, 4.9 절의논리회로구현기술, VHDL에관련된 6장과 장은다른내용을학습하는데관련없이생략할수있는부분이므로강의속도에맞추어적절히조절할수있을것으로생각된다. 각장은논리회로의핵심적인내용들을이해하기쉽고빨리습득할수있도록되도록간결하게설명하였고, 설명한내용의이해를돕기위한적절한예제들을수록하였다. 그리고각장의끝에단원요약부분이추가되어정리된내용을쉽게파악할수있도록하였다. 각장에포함된연습문제는장에서설명한내용들과비슷한수준의문제들로구성되어있고, 조합회로설계와순차회로설계와관련된장에는일부난이도가높은것들도포함되어있다. 부록에는부울대수정리, 게이트기호와진리표, 플리플롭기호및특성식과여기표, 표준칩들의핀배치, 실습을위한 logisim 사용법과실습내용, VHDL 코드의검증을위한시뮬레이터툴인 ModelSim에대한내용을첨부하였다. 이책은대부분의논리회로교재와다르게 VHDL에대한내용이포함되었다. 회로도에기반한논리회로를이해하는것도중요하지만점차 VHDL에의한시스템설계가많이이루어지고있기때문에논리회로설계에서 VHDL의중요성을인식시키고, VHDL 언어의특징과중요기능을짧은시간에습득할수있도록 6장에 VHDL을이용한조합회로설계와 장에 VHDL을이용한순차회로설계부분을추가하였다. 3

4 저자가디지털시스템을가르쳐오면서강의와관련된실습을진행할때에실습도구선택과교재에없는실습자료를준비하는어려움이있었다. 실제브레드보드를사용하여실습하는것은시간이너무많이걸리고, 일부타이밍다이어그램기반의시뮬레이터는회로의정확한동작을검증하기에는적합하지만학생들로부터흥미를유발시키기가어렵다. 하지만 JAVA 기반의교육용으로만들어진 logisim 시뮬레이터는 GUI 인터페이스가잘되어있어실습진행에시간적으로효율적이고, 7segment, LED, 클럭, Hex 표시장치, 스위치입력장치등이컴포넌트로제공되어브레드보드를사용하여실습하는것과같은흥미를유발시킬수있다. logisim 도구의또다른장점은 MS Windows, Mac OS, Linux 등의플랫폼에서실행되는무료소프트웨어라서학생들이쉽게사용할수있다는것이다. ModelSim 도구또한학생용무료버전을인터넷에서쉽게다운로드받을수있으며 VHDL로설계한논리회로의모든기능을매우쉬운사용자인터페이스를통해서상세한검증이가능한장점이있다. 부록 6에추가된 2가지실습은기본게이트동작및 logisim 사용법, 가산기 / 감산기, 비교기, 디코더, 우선순위인코더, 멀티플렉서, 4비트 ALU, 래치와플립플롭, 3상태버스를통한레지스터사이의데이터전송, 카운터, 자판기제어, 디지털시계들로이루어져있어강의와함께한학기동안실습을진행하기에부족하지않을것으로기대한다. 끝으로이책을완성하는데도움을준생능출판사여러분께감사드리고, 특별히책의구성및내용에대하여좋은의견을주신여러교수님들께도깊은감사를드린다. 205년 2월저자일동 4

5 차례. 디지털시스템과아날로그시스템 2.2 디지털신호의표현 5.3 인코딩 7 디지털시스템소개.4 수체계 진수연산 23.6 음수표현방법 26.7 BCD 코드 33.8 Gray 코드 35.9 문자코드 36.0 오류검출및정정코드 39 단원요약 44 연습문제 기본연산과게이트 부울대수의정리 벤다이어그램표현 59 부울대수와논리회로 2.4 부울식과논리회로 부울식표현형태 67 단원요약 79 연습문제 80 5

6 3. 카노맵 Quine-McCluskey 방법 단원요약 26 부울식간략화 연습문제 단회로와다단회로 NAND 와 NOR 게이트 NAND/NOR 게이트를사용한다단회로 42 논리게이트와구현기술 4.4 XOR 와 XNOR 게이트 전송게이트 버퍼와 3 상태버퍼 게이트지연과해저드 팬인과팬아웃제한 논리회로구현기술 6 단원요약 78 연습문제 80 6

7 5. 가산기 / 감산기 비교기 디코더 97 조합회로모듈과설계 5.4 인코더 멀티플렉서 디멀티플렉서 배럴시프터 2 단원요약 24 연습문제 VHDL 이란? 조합회로의설계 222 단원요약 256 VHDL을이용한조합회로설계 연습문제 래치 클럭 플립플롭 274 플립플롭과레지스터 7.4 레지스터 289 단원요약 293 연습문제 294 7

8 8. 상태도와상태표 D 플립플롭의순차회로해석 JK 플립플롭의순차회로해석 3 순차회로해석 8.4 T 플립플롭의순차회로해석 Mealy 모델회로해석 37 단원요약 32 연습문제 순차회로설계절차 플립플롭여기표 상태축소 343 순차회로설계 9.4 상태할당 one-hot 인코딩 356 단원요약 36 연습문제 비동기식카운터 동기식카운터 시프트레지스터 38 카운터와시프트레지스터 0.4 시프트레지스터카운터 직렬가산기 39 단원요약 393 연습문제 394 8

9 . D 플립플롭 래치 카운터 40 VHDL을이용한순차회로설계.4 분주기 48 단원요약 428 연습문제 429 부록. 부울대수정리요약 게이트기호와진리표 플립플롭기호, 특성표, 특성식과여기표 표준칩 logisim 사용법 실습 ModelSim Tool 소개 485 찾아보기 496 9

10

11 CHAPTER 0 디지털시스템소개 contents. 디지털시스템과아날로그시스템.2 디지털신호의표현.3 인코딩.4 수체계.5 2진수연산.6 음수표현방법.7 BCD 코드.8 Gray 코드.9 문자코드.0 오류검출및정정코드

12 디지털시스템소개 단원개요 디지털시스템과아날로그시스템의차이점 디지털신호의표현방법과인코딩의필요성 2 진수, 6 진수등의수체계 2 진수음수표현방법과연산 BCD 코드, Gray 코드, 문자코드, 오류검출및정정코드 이장에서디지털시스템과아날로그시스템의차이와장단점에대하여설명하고, 디지털시스템에서논리값을표현하는방법, 디지털정보를효율적으로저장및처리를위한인코딩, 그리고디지털시스템에서다루는수체계및여러가지코드에대하여알아보기로한다. 디지털시스템에서다루는 2진수와관련하여 0진수, 2진수, 6진수등의수체계와 2진수에서음수표현방법인 부호화절대치, 의보수 그리고 2의보수 방식에대한장단점과관련된연산방법에대하여설명하고, 0진수를디지털시스템에서나타내기위한여러가지 BCD 코드와 Gray 코드, 문서의저장및전송을위한문자코드그리고오류검출및정정코드등에대하여소개한다.. 디지털시스템과아날로그시스템 디지털시스템은이산값 (discrete value) 을나타내는디지털신호를처리하는장치들을뜻한다. 반도체집적회로 (integrated circuit) 기술이눈부시게발전하면서디지털시스템은전자와정보통신분야뿐만아니라산업전반에걸쳐서광범위하게사용되고있다. 일상생활에서쉽게접할수있는스마트폰, 개인용 PC, 전기밥솥, 세탁기, 청소기및자동차엔진등의여러가지장치에내장되어있다. 시, 분, 초의시간을숫자로나타내는장치를가지고있는디지털시계는대표적인디지털시스템으로초단위의이산값만을 2 논리회로설계

13 나타내게된다. 이산값을나타내는디지털신호는보통 0 또는 의값을갖는비트 (bit: binary digit) 들로표현한다. 우리가가지고있는손가락 0개로수를셀때손가락을구부려사용하는, 2,, 0의신호도한정된신호를나타내는디지털신호라고할수있다. 디지털이란의미도세는데사용하는손가락또는아라비아수라는의미의 digit에서유래되었다. 이와대응되는아날로그시스템은연속적인값을갖는아날로그신호를처리하는장치들이다. 예를들어현재시간을시, 분, 초침의위치로나타내는아날로그시계의경우는초눈금사이에도이론적으로무한히많은시간을나타낼수있다. Am 0 : 08 5 (a) 아날로그시계 (b) 디지털시계 I 그림. 아날로그와디지털 [ 그림.2(a)] 는전자회로에서연속적인값을갖는아날로그신호의한예를보여주고있다. 그러나디지털시스템의신호는 [ 그림.2(b)] 처럼두가지전압 ( 예, 0V와 5V) 으로 0 과 의값을나타낸다. 일정범위의여러가지의디지털값을나타내기위해서는비트들의열인 2진수로인코딩 (encoding) 하여값을표현하게된다. V V (a) 아날로그신호 I 그림.2 아날로그신호와디지털신호 t (b) 디지털신호 t 저울에서바늘눈금의위치, 움직이는자동차의속도, 성악가가내는음성의크기등과같이자연현상에서정량적으로측정할수있는것들은연속적값을나타내는아날로그 chapter 0 디지털시스템소개 3

14 신호이다. 그런데왜우리는아날로그신호를디지털신호로바꾸어디지털시스템으로처리하는가? 디지털시스템은아날로그시스템에비하여다음과같은여러가지의장점이있기때문이다. 설계 용이 : 아날로그회로의설계및분석을위하여트랜지스터, 인덕터, 캐패시터들을모델링하는고급공학수학이필요하지만디지털시스템의경우는논리 0와 을이용한부울식정도의수학만이필요하다. 잡음여유 (noise margin): 아날로그시스템은연속적인값을나타낼수있는신호를다루기때문에잡음과신호의구분이어렵지만이산적인값을다루는디지털시스템은신호 0과 을구분만할수있으면되므로잡음에의한영향을적게받는다. 즉, 디지털시스템은잡음에대하여민감하지않아잡음여유가크다고말할수있다. 재생성 (reproducibility): 아날로그회로는동일한입력임에도불구하고온도, 습도, 전압등의요인에의하여출력이달라질수있는데, 디지털시스템은이런외부요인에영향을받지않고같은입력에대하여항상같은출력을만들어낸다. 경제성 : 반도체기술의발전으로집적도가높아져서사용하는부품수가줄어들고, 대량생산이용이하여부품가격이낮아지고제작비용이줄어들어경제적이다. 프로그램 가능 : 고급프로그래밍언어와비슷한하드웨어기술언어인 HDL(verilog 또는 VHDL) 프로그래밍을통하여디지털회로를설계할수있다. HDL 프로그램으로설계된회로를 CAD(Computer Aided Design) 툴을이용하여 FPGA(Field Programmable Gate Arrays) 나 CPLD(Complex Programmable Logic Devices) 와같은프로그램가능한칩에구현할수있기때문에, 개발단계에서설계변경및수정작업등이쉽다. 아날로그신호를디지털시스템에서처리하기위해서는 [ 그림.3] 과같이입력에서아날로그신호를디지털신호로변환하는 A/D 변환기가필요하고, 출력에서디지털신호를아날로그신호로변환하는 D/A 변환기가필요하게된다. A/D 디지털시스템 D/A I 그림.3 디지털시스템입력과출력 4 논리회로설계

15 A/D 변환기는일정한주기로입력신호값을샘플링 (sampling) 하고, 샘플링한값을 2 진수로변환하여나타내는데비트열의길이에따라주어진범위내에서나타낼수있는값의정밀도가달라진다. [ 그림.4] 는 0V에서 7V 사이의입력신호를 3비트의디지털신호로변환한경우를보여주고있다. 디지털값은주어진비트로나타낼수있는근사값으로나타내기때문에원본신호와차이가발생하게되지만비트수를충분히크게하고, 입력신호를샘플링하는속도를충분히빠르게하면원본아날로그신호와거의차이가없는신호를재생할수있다 I 그림.4 A/D 변환.2 디지털신호의표현 디지털시스템에서사용하는 2진값을물리적으로표현하는방법은다양하다. 예를들어 2진값인 0과 을회로내에서의 0V와 5V의전압레벨, 입력스위치의위방향과아래방향, 전등의 off와 on 상태, 또는자계의방향인 N-S와 S-N 등으로나타낼수있다. 이책에서는이러한물리적표현방법을가지고다루지않고, 논리값인 0과 을가지고논리회로해석및설계를하게될것이다. 디지털시스템에서 on/off 동작에필요한스위치기능은반도체인 MOSFET(Metal Oxide Silicon Field Effect Transistor) 를이용하여구현할수있다. [ 그림.5] 는 MOSFET의 nmos와 pmos의두가지타입의트랜지스터를보여주는데, 입력단자인 Gate의신호에따라 Drain과 Source 사이의스위치가 on/off 동작을하게된다. chapter 0 디지털시스템소개 5

16 Drain Drain Gate Gate (a) nmos Source Source (b) pmos I 그림.5 MOSFET [ 그림.6] 은 nmos 의 on/off 동작을나타내는그림이다. Gate 입력단자에논리값 에 해당하는 5V 가입력되면스위치가닫힌것처럼 Drain 과 Source 가연결이되고, 논리값 0 에해당되는 0V 가입력되면두단자가끊어진것처럼동작한다. Drain Drain 5V 0V Source (a) on Source (b) off I 그림.6 nmos 의 on/off 동작 pmos의경우는이와반대로 Gate 입력단자에논리값 0에해당하는 0V가입력되면스위치가닫힌것처럼 Drain과 Source가연결이되고, 논리값 에해당되는 5V가입력되면두단자가끊어진것처럼동작한다. 이런스위치역할을할수있는 nmos와 pmos 트랜지스터두가지를같이사용하는기술을 CMOS(Complementary MOS) 기술이라한다. CMOS 기술을사용하여논리함수를구현하는여러가지논리게이트 ( 논리함수모듈 ) 를만들수있는데, [ 그림.7] 은입력이 0이면출력이 이되고, 입력이 이면출력이 0이되는간단한인버터게이트내부회로를보여주고있다. +5V V i V o I 그림.7 CMOS 인버터 6 논리회로설계

17 [ 그림.8] 은논리게이트의출력과입력의전압범위가차이가나서잡음여유가크다는것을보여주는그림이다. 출력은논리 을 V oh(min) 에서 5V 사이의값으로, 그리고논리 0은 0V에서 V ol(max) 사이의값으로나타낸다. 즉, V oh(min) 은출력에서논리 인경우에허용되는최솟값이고, V ol(max) 는출력에서논리 0인경우에허용되는최댓값이다. 회로내에서입력은 V ih(min) 에서 5V 사이이면논리 로받아들이고, 0V에서 V il(max) 사이의값은논리 0으로인식한다. V ih(min) 은입력에서논리 로받아들여지는최솟값이고, V il(max) 는입력에서논리 0으로받아들여지는최댓값이다. 그러나 V il(max) 와 V ih(min) 사이의입력값은논리 과논리 0을나타내는신호의정상범위를벗어나게되어논리 0 또는 을나타내지못한다. 입력에서논리 로받아들여지는범위 (V ih(min) 5V) 는출력에서논리 을나타내는범위 (V oh(min) 5V) 보다훨씬넓기때문에논리 에대한정상범위의출력은입력에서논리 로인식되는것을보장하게된다. V oh(min) 와 V ih(min) 의차이가잡음여유 (noise margin) 가되는데, 잡음여유보다작은잡음신호 (noise) 가출력신호에추가되어도이신호를받는입력에서논리값 로인식되는것이보장된다. 논리값 0에대하여도 V ol(max) 와 V il(max) 의차이만큼의잡음여유 (noise margin) 가있다. V oh(min) 5V 출력 잡음여유 논리 논리 V ih(min) V ol(max) 0V 잡음여유 V il(max) 논리 0 논리 0 I 그림.8 입출력전압범위및잡음여유.3 인코딩 디지털시스템에서텍스트문서, 음악정보, 이미지정보등을디지털데이터로표현하는것을인코딩이라고하는데, 이런종류의데이터들을쉽게공유하기위하여여러가지인코딩방식이표준으로정해져있다. 예를들어 MP3 음악, 이미지를포함하고있는동영상등에대한표준화된인코딩방식이있기때문에다양한음악플레이어, 동영상처 chapter 0 디지털시스템소개 7

18 리프로그램들이만들어져서편리하게정보를공유할수있게되었다. 정수 (integer) 를 2진수로표현하는것도하나의인코딩이라할수있는데정수의경우는표현하는비트길이에의하여수를나타낼수있는범위가정해진다. n비트로나타낼수있는경우의수가 2 n 가지이므로, 부호가없는수를나타내는경우는 0부터 2 n -까지나타내면된다. 음수도필요한경우는양수와음수를 /2 정도나누어양수와음수를할당하게되는데, 연산이필요한대부분의디지털시스템에서는일반적으로 2의보수 (2 s complement) 방식이라는방법으로음수를표현한다. 2의보수방식은다른방법에비하여덧셈및뺄셈을위한논리회로구현이쉽기때문이다. 이와관련된음수표현방법에대한내용은.6 절에서상세히설명하기로한다. 문자의경우도영문알파벳, 숫자문자, 특수기호문자등을 2진수로나타내는방법및웹브라우저에서다국어표현을위한인코딩방식들이표준으로정해져있다. 디지털시스템설계를위하여논리회로를다룰때에도인코딩문제가대두된다. [ 그림.9] 는입력신호가디지털이면서이미인코딩된경우로 비트를사용하여입력버튼스위치의 off/on 상태인 2가지를 0과 로나타내고있다. 0(off) (on) I 그림.9 on/off 버튼스위치 [ 그림.0] 은 7개의 on/off 버튼스위치로이루어진입력장치에서입력신호를인코딩하여효율적으로정보를나타내는경우이다. 입력할때에두개이상의버튼을동시에누르지못한다고가정하면, 버튼을누르지않은경우와 7개의버튼중어느한개가눌려진경우를구분하기위하여 8가지경우를나타낼수있는코드가필요하다. 이런경우에 3비트로 [ 그림.0] 과같이인코딩하여 8가지경우를나타낼수있다. 8 논리회로설계

19 I 그림.0 3 비트인코딩.4 수체계 일반적으로정수를표현할때자릿수표현 (positional number representation) 방법을 사용한다. 자릿수표현방법에서하나의수는숫자들의열로나타내는데, 각숫자는숫자열에서자신의위치에따라크기가결정된다. = n - n r n- n- n-2 N ( a a ga aa) n-2 = a # r + a # r + g+ a # r + a # r+ a r : 기수 (radix) a i : 계수 (coefficient) 0 # a i < r 즉, n자리로이루어진수 N의크기는각숫자의위치와각숫자자체의크기에의하여결정된다. 실생활에서사용하는 0진수의경우에숫자 235의의미는다음과같다 = 진수의경우에적용하면 00 2 = = = 진수인경우는 6가지의기호가필요하기때문에숫자 0부터 9까지 0개와알파벳 A 부터 F까지 6개를추가하여나타낸다. chapter 0 디지털시스템소개 9

20 A = 0, B =, C = 2, D = 3, E = 4, F = 5 예를들어 6 진수 2EA 6 의의미는다음과같이된다. 2EA 6 = = = 디지털시스템은비트로이루어지는 2진수정보들을다루기때문에디지털시스템에대한설계및분석을위하여 2진수와관련이있는 6진수그리고일상생활에서사용하는 0진수와의관계를잘알아둘필요가있다. 0진수에서 2진수로변환하는방법에대하여알아보자. 여러가지방법이있는데먼저나누기를반복하면서나머지값을취하여구하는방법이있다. 예를들어 43 0 을반복하여 2로나누면서나머지를취하면 00 2 를구할수있다 나머지 =a 나머지 =a 2 5 나머지 0=a 나머지 =a 3 2 나머지 0=a 4 0 나머지 =a 5 다음은 75 0 를 2의지수승값들과비교하면서 2진수로변환하는방법을알아보자. 75 는 2 7 (28) 보다작고, 2 6 (64) 보다크다. 따라서 a 7 까지는 0이고 a 6 는 이된다. 75에서 2 6 (64) 를뺀나머지 에대하여도같은방법으로 은 2 4 (6) 보다작고, 2 3 (8) 보다크므로 a 5 와 a 4 는 0이고 a 3 는 이된다. 에서 2 3 (8) 을뺀나머지 3에대하여같은방법으로할수있지만, 3은 a 2 a a 0 에대하여 0로변환되는것을쉽게알수있으므로이값을 a 7 a 6 a 5 a 4 a 3 에붙여서최종 0000을구할수있다. 이방법을사용하기위해서는 2의지수승값들을잘알고있어야한다. 20 논리회로설계

21 75-64 (2 6 ) - 8 (2 3 ) (2 ) - (2 0 ) 0 a 7 a 6 a 5 a 4 a 3 a 2 a a 컴퓨터공학에서는 < 표.> 에있는 2의지수승값이많이사용되기때문에익숙해질필요가있다. I 표. 2의지수승 n 2 n ,024 2, , ,92 4 6, , ,536 2진수에서 0진수변환은자릿수표현방법에서설명한수의크기를구하는방법으로계산하면된다. chapter 0 디지털시스템소개 2

22 00 2 = = = 진수는컴퓨터공학에서 2진수와함께가장많이사용되는진법중의하나이다. 2진수로표현하면수의길이가길어불편하지만 6진수로나타내면수의길이가짧아져서편리하다. 6진수의각자릿수는 2진수 4비트와일치하기때문에 2진수를 6진수로또는 6진수에서 2진수로의변환이쉽다. 2진수에서 6진수로변환은 2진수의 LSB(Least Significant Bit: 최하위비트 ) 에서시작하여 4비트씩그룹으로묶어, 각묶은그룹에해당하는 6진수로대치하면된다. 4비트씩그룹으로묶다가마지막그룹은 4비트로맞추기위하여 MSB(Most Significant Bit: 최상위비트 ) 쪽으로 0을추가하면된다 = 0000 = 2B 6 2 B 반대로 6 진수에서 2 진수로변환은역으로하면된다. 즉, 6 진수각자릿수를대응하 는 4 비트의 2 진수로변환하여 2 진수들을연결하기만하면된다. < 표.2> 는 0 진수, 6 진수, 2 진수간의변환표를보여주고있다. I 표.2 2 진수와 6 진수 0진수 6진수 2진수 A 00 B 0 22 논리회로설계

23 2 C 00 3 D 0 4 E 0 5 F 0진수에서 6진수로변환은 2단계로 0진수에서 2진수로변환한후에 2진수를다시 6진수로변환할수있다. 또는 0진수에서 6진수로바로변환하는것은앞에서설명한 0진수에서 2진수로변환하는방법과같이나누기를반복하면서나머지를취하는방법으로하면된다. 다음그림은 9590를 6으로계속하여나누면서나머지를취하여 3BF 6 로변환하는과정을보여주고있다 나머지 5=F=a 나머지 =B=a 0 나머지 3 = a 2 6진수에서 0진수변환은자릿수표현방법에서설명한수의크기를구하는방법으로계산하면된다. 3BF 6 = = = 진수연산 대부분의디지털시스템에서 2진수데이터에대한덧셈, 뺄셈, 곱셈그리고나눗셈연산이필요하다. 2진수연산도 0진수에대한연산과비슷한방법으로계산할수있는데이러한 4칙연산방법에대하여알아보기로하자. () 덧셈한자릿수의 2진수기본덧셈의경우에다음과같이 4가지경우가있다. 덧셈에서올림수 (carry) 가발생할수있기때문에 0진수덧셈처럼올림수는다음위자릿수에더해져야한다. 논리회로에서이와같이비트두개를더하는가산기를반가산기 (half adder) 라고한다. chapter 0 디지털시스템소개 23

24 올림수 다음은 4 자릿수 2 진수의덧셈의예를보여준다. 00 올림수 0 (3 0 ) (9 0 ) (22 0 ) 4자릿수연산인경우에올림수에의하여 5자릿수결과가나오는경우를보여주고있다. 두자릿수이상의덧셈에서는아래자리에서올림수가발생하기때문에각자릿수에서연산은세개의비트에대한덧셈이필요하게된다. 논리회로에서이와같이세개의비트를더하는가산기를전가산기 (full adder) 라고하는데, 자세한내용은 2장에서다룬다. (2) 뺄셈덧셈에서마찬가지로한자릿수의 2진수뺄셈도 4가지경우가있는데, 뺄셈에서는 0진수뺄셈과마찬가지로빌림수 (borrow) 가발생한다. 0진수뺄셈에서하는것처럼빌림수는다음위자릿수에서빼야한다 빌림수 다음은 4 자릿수 2 진수의뺄셈의예를보여준다. 빌림수 0 (3 0 ) (7 0 ) (6 0 ) 24 논리회로설계

25 오른쪽에서두번째자리의뺄셈에서빌림수가발생하여세번째자리에 을표시하였다. 이빌림수는세번째자리에서빼야되는수이다. 세번째자리는피감수와감수가모두 이고빌림수가있기때문에 (--) 이되어결과가 이되고, 빌림수가발생하여네번째자리에빌림수 을표시하였다. 네번째자리는피감수와감수가 과 0이고빌림수가있어서 (-0-) 이되어결과가 0이된다. (3) 곱셈 2진수곱셈은숫자가 0과 로만이루어지므로다음그림에보여주는 4가지기본곱셈을사용하여곱셈연산을하게된다 다음은 3 = 43 에대한 4 자릿수 2 진수곱셈하는과정을보여주고있다 ( 0 ) (3 0 ) (43 0 ) 0진수에서와같은방법으로승수 (multiplier) 의각자릿수의수를피승수 (multiplicand) 와곱셈한결과를열에맞추어더함으로써곱셈결과를얻을수있다. 각자릿수의열에맞추어 2진수덧셈을할때에올림수가 이상이나올수있으므로다음과같이부분합을누적하면서계산하는것이쉽다. 행 : 2행 : 3행 : 4행 : 부분합 부분합 결과 chapter 0 디지털시스템소개 25

26 (4) 나눗셈 2진수나눗셈도 0진수나눗셈과같은방법으로계산할수있다. 몫에들어가는숫자들이 0과 두가지만있으므로구구단을이용하는 0진수의경우보다쉽다. 피제수 00을제수 00로나누면몫이 0이고나머지가 00이되는과정을살펴보자. 피제수와제수를좌측에서자리맞춤을하여피제수의좌측 4비트 이제수 00보다크므로몫의첫번째비트가 이된다. 피제수에서제수를뺀나머지 0과피제수의좌측에서 5번째비트를내려서 00을만들어제수를자리맞춤하여다시크기를비교한다. 피제수부분이크므로몫의두번째비트도 이된다. 피제수부분에서제수를뺀나머지 과피제수의 6번째비트를내려서 0을만들어도제수보다작으므로, 몫의세번째비트가 0이되고피제수마지막비트를내려서 0을만들어제수와비교한다. 피제수부분이크므로몫의네번째인마지막비트가 이되고, 피제수부분에서제수를빼면 00이남는데이것이나머지가된다 음수표현방법 대부분의디지털시스템에서양수와음수를나타내는부호가있는수를표현하는방법이필요하다. 부호없는 2진수의경우는 n비트로나타낼수있는 0부터 2 n- 까지 2 n 개의수를나타내게된다. n비트로부호가있는수를표현하려면 n비트로나타낼수있는 2 n 가지를양수와음수, 그리고 0에할당을해야한다. 음수에대한표현방법은보통부호화절대치 (signed magnitude), 의보수 ( s complement), 2의보수 (2 s complement) 방식의세가지로나뉘는데, 음수표현방법에따라 0의표현과연산회로의복잡도가다르다. 양수의경우는세방법모두동일하게부호없는 2진수처럼표현한다. 26 논리회로설계

27 () 부호화절대치 (signed magnitude) 방식부호화절대치방식은 0진수에서사용하는방법과비슷한것으로 n비트의 2진수에서첫번째비트가부호비트이고나머지 (n-) 비트가크기를나타낸다. n비트로나타낼수있는범위는 -(2 n- - ) 부터 +(2 n- - ) 까지인데, n비트로나타낼수있는최대 2 n 개보다하나적은총 (2 n -) 개의수를나타낼수있다. 이것은부호화절대치방식의단점으로 +0과 -0인두개의 0이존재하기때문이다. 이방식으로나타내는수에대한덧셈과뺄셈을하기위한연산회로는다른두방식에비하여복잡하다. 덧셈에서만일부호가같은경우는두수의절대치값을더하면되지만, 부호가다른경우는두수의절대치를비교하여큰수의부호를택하고큰수에서작은수를뺀값이크기가된다. 뺄셈은뺄수의부호를바꿔서덧셈을하면된다. 예제. 부호화절대치방식의음수표현 +37 = = = 0-27 = + = = (2) 의보수 ( s complement) 방식 2진법에서보수는 의보수와 2의보수의두가지가있다. n비트로이루어진 2진수 N에대한 의보수, N 는다음과같이정의된다. n N= 2 --N 즉, N의 의보수, N 는 (n비트 ) 에서 N을뺀값을의미하는데, 2진수 N의각비트를반전시키면된다. chapter 0 디지털시스템소개 27

28 예제.2 의보수구하기 N N 의보수방식의음수표현은 의보수개념을사용하여음수를나타내는것이다. 양수는부호화절대치와같은방식으로표현하고, 음수는양수에대한 의보수로정의한다. 의보수방식에서 n비트로나타낼수있는정수범위는 -(2 n- -) 부터 (2 n- -) 까지인데, 부호화절대치방식과마찬가지로 0에대한두가지표현이존재하는단점이있다. 덧셈과뺄셈의연산은부호화절대치방식에비하여훨씬간단하지만 2의보수방식보다는복잡하다고할수있다. 예제.3 의보수방식의음수표현 +37 = = = 0-27 = = = 0 (3) 2의보수 (2 s complement) 방식대부분의디지털시스템에서음수표현을위하여연산회로를구현하기쉬운 2의보수방식을사용한다. n비트의 2진수 N에대한 2의보수, N * 는다음과같이정의된다. * n N = 2 - N= N + n비트로이루어진 N의 2의보수, N * 는 2 n 에서 N을뺀값을의미하는데, N + 로서 의보수를구한후에 을더하면된다. 즉, 2 진수 N 의각비트를반전시킨후에 을더 하여구할수있다. 28 논리회로설계

29 I 표.3 의보수와 2의보수비교 N N N * 의보수방식의음수표현은 2의보수개념을사용하여음수를나타내는것이다. 양수는 부호화절대치 와같은방식으로표현하고, 음수는양수에대한 2의보수로정의한다. n비트로나타낼수있는정수범위는 -2 n- 부터 (2 n- -) 까지인데, n비트로나타낼수있는모든경우를포함하고있다. 앞에서설명한 부호화절대치 방식과 의보수 방식에서는 0에대한표현이 +0과 -0의두가지가존재하는데, 2의보수 방식에서는하나의 0만존재한다. 뒤에서연산방법에대하여설명하겠지만세가지음수표현방식중에서 2의보수방식에서의연산이가장간단하다. 이런장점때문에대부분의컴퓨터들은 2의보수방식으로음수를표현하고있다. 예제.4 2 의보수방식의음수표현 +37 = = = 0-27 = = = 2 의보수방식의수에대한 0 진수변환은부호없는수와같은방법으로하는데, MSB(Most Significant Bit) 만크기가 -2 n- 로계산하면된다. N = (a n- a n-2 a 2 a a 0 ) 2 = a n- (-2 n- ) + a n-2 2 n a a 2 + a 0 chapter 0 디지털시스템소개 29

30 예를들어, 0 = (-2 3 ) = = -5 또다른방법으로, MSB가 인경우는음수이기때문에 2의보수를구하여이수에대한 0진수변환을하여 - 부호를붙여도된다. 0인경우에 MSB가 이기때문에음수이고, 0의 2의보수를구하면 00이되므로 00에대한 0진수변환인 5를구하여부호 -를붙이면 -5가된다. 2의보수로표현할비트수가증가하면부호비트만확장하면된다. 예를들어 +3과 -3 에대한 2진수표현이 4비트에서 8비트로늘어나는경우에부호비트만복사하여확장하면된다. 4비트 8비트 +3 : : 0 0 I 표.4 음수표현방법비교 (4비트) 2진수표현 부호화절대치 의보수방식 2의보수방식 논리회로설계

31 (4) 의보수방식에서연산 의보수방식에서덧셈연산은부호화상관없이두수를더한후에올림수가발생하면 을더해준다. 이를순환자리올림 (end-around-carry) 이라고한다. 뺄셈인경우는부호에관계없이감수의 의보수를더하면된다. 부호화절대치방법에비하면훨씬간단하지만순환자리올림이라는추가적인덧셈과정이필요한단점이존재한다. 다음은 의보수방식의덧셈의예를보여주고있다. 00 (5 0 ) 00 (-5 0 ) (2 0 ) + 0 (-2 0 ) 0 (7 0 ) (-7 0 ) 다음은 의보수방식의뺄셈의예를보여주고있다 ( 5 0 ) (-2 0 ) (3 0 ) (-5 0 ) (2 0 ) (-3 0 ) (5) 2의보수방식에서연산 2의보수방식에서덧셈연산은부호화상관없이두수를더하면되고, 뺄셈인경우는부호에관계없이감수의 2의보수를더하면된다. 의보수 방식의순환자리올림 (endaround-carry) 과같은추가적인덧셈연산이필요하지않고 MSB에서발생하는올림수는버리면된다. 다음은 2의보수방식의덧셈의예를보여주고있다. 00 (5 0 ) 0 (-5 0 ) (2 0 ) + 0 (-2 0 ) 0 (7 0 ) 00 (-7 0 ) 버림 chapter 0 디지털시스템소개 3

32 다음은 2 의보수방식의뺄셈의예를보여주고있다 (5 0 ) (-2 0 ) 00 (3 0 ) 0 0 (-5 0 ) (2 0 ) 0 (-3 0 ) 버림 (6) 2의보수방식에서오버플로우오버플로우 (overflow) 는 n비트데이터에대한연산을한결과를 n비트로나타낼수없을때발생한다. 오버플로우는더하는두수의부호가같은경우만발생할수있는데, 더한결과의부호비트가바뀌게되면오버플로우가발생한것이다. 다음은더하는두수모두가음수인경우또는양수인경우에오버플로우가발생한예를보여주고있다 (-5 0 ) (-4 0 ) (7 0 ) (5 0 ) (4 0 ) 00 (-7 0 ) 버림 -5와 -4를더한경우에 4비트결과에서부호비트가 0으로되어 +7처럼보이고있다. +5와 +4를더한경우도부호비트가 로되어 -7처럼보이고있다. 오버플로우발생여부를올림수를검사하여확인할수있는데, 오버플로우는 MSB와 MSB 아래자리에서의올림수가서로다를때발생한다. 즉, MSB에서발생한올림수를 c n, MSB 아래자리에서발생한올림수를 c n- 라고할때, 오버플로우는 c n c n- 일때발생한다. 앞의 -5와 -4를더하는경우에 c n 은 이고 c n- 은 0이기때문에오버플로우가발생한것이다. +5와 +4를더하는경우에도 c n 은 0이고 c n- 은 이기때문에오버플로우가발생한것이다. 32 논리회로설계

33 .7 BCD 코드 디지털시스템내부에서는 2진수를사용하지만입출력인터페이스는 0진수를사용하는경우가많다. 따라서입력에서 0진수를 2진수로변환하거나, 출력에서 2진수를 0진수로변환할필요가있다. 입출력에서이런변환을쉽게하기위하여 0진수를자릿수마다각각 2진수로변환하여저장하면될것이다. 즉, 0진수에사용하는 0가지숫자를 2진수로나타내기위하여 4비트를할당하여 0부터 9까지의 0개의숫자를코드화하여자릿수마다 4비트씩할당하여 0진수를나타내는것이다. 즉, 0진수 365는각자릿수마다 4비트씩할당하여다음과같이표현할수있을것이다 이방법이가장일반적으로사용되는 842 코드라고하는 BCD(Binary Coded Decimal) 코드이다. 그외에도 542 코드, 3초과 (Excess-3) 코드, 2-of-5 코드등이있다. 842 코드와 542 코드는코드의각비트가위치에따라정해진크기를갖는가중치코드 (weighted code) 이다. 842 코드에서각비트의가중치가왼쪽위치부터 8, 4, 2, 의크기를, 542 코드에서는 5, 4, 2, 의크기를갖는다. I 표.5 BCD 코드 0진수 842 코드 542 코드 3초과코드 2-of-5 코드 가중치코드가 b 3 b 2 b b 0 이고각비트크기 (weight) 가 w 3 w 2 w w 0 라고하면다음과같이 chapter 0 디지털시스템소개 33

34 0 진수 N 은계산된다. N = b 3 w 3 + b 2 w 2 + b w + b 0 w 0 즉, 842 코드에서 00은 = 9를나타내고, 542 코드에서는 = 6을나타낸다. 542 코드에서 00도 6의값으로계산되지만표준으로정의된코드에포함되지않는다. 3초과 (excess-3, XS-3) 코드는 842 코드에 3을더해서만든코드로서자기보수 (self-complementary) BCD 코드라고도한다. 2진수에서 의보수를구하는것과같은방법으로 3초과코드의각비트를반전시키면 9의보수가만들어진다. 3초과코드는각비트의크기가정해져있지않아서가중치코드가아님을알수있다. 2-of-5 코드는 5비트를사용하고각코드내의 의개수가 2개로고정되어있다. 5비트로만들수있는 32가지패턴중에서 의개수가 2개인경우는 0가지이다. 이 0가지를 0진수 0부터 9까지코드로할당하여쓰는것이다. 데이터저장또는전송할때 5비트중의하나에서오류가발생하면 의개수가 개또는 3개가되므로데이터에오류가있음을알아낼수있어서오류검출용코드로사용하는데적합한코드이다. 2-of-5 코드도각비트의크기가정해져있지않아서가중치코드가아니다. 각 BCD 코드마다표준으로정해진것외의다른비트패턴들은사용하지않는코드들이다. 예제.5 0 진수 37 에대한 BCD 코드표현 842 코드 : 코드 : 초과코드 : of-5 코드 : 에대한 2 진수표현은 8 비트의 로표현된다. 하지만예제.5 에보는것처럼 3 자리의 0 진수를표현하기위하여 BCD 코드는 2 비트또는 5 비트가필요하여저장 용량면에서비효율적이다. 34 논리회로설계

35 .8 Gray 코드 Gray 코드는숫자를나타내는코드를순서대로배열했을때인접한코드간에비트변 화가한번만발생하는코드이다. I 표.6 Gray 코드 0진수 Gray 코드 광학센서를통하여회전판의각도를알아내기위하여회전판에위치정보를나타내는 3비트 2진수순서대로인코딩한패턴이칠해져있다고가정하자. 경계선에서의 3비트각각은광학센서에어느쪽값이입력될지모른다. 이런경우에 3번과 4번사이의경계선에서입력값은 0에서부터 7까지모든경우가나타날수가있어오류값이커질수있다 I 그림. 2 진수코드로인코딩 이런경우에 3 비트 Gray 코드로인코딩한패턴을이용하면경계선에서입력값은 3 또는 4 의경우만나타나게되어오류를최소화할수있게된다. chapter 0 디지털시스템소개 35

36 I 그림.2 Gray 코드로인코딩 Gray 코드는 MSB가 0인그룹과 인그룹이서로 MSB를제외한부분이반사된 (reflected) 특징을가지고있어서반사 2진코드 (reflected binary code) 라고도한다. 이런반사된특성을이용하여다음과같은방법으로 Gray 코드를완성할수있다. I. 비트 Gray 코드는 0과 이다. II. (n+) 비트 Gray 코드는먼저 n비트 Gray 코드순서대로맨앞에 0을붙여서 (n+) 비트 Gray 코드의반을완성하고, 나머지반은 n비트 Gray 코드의역순 ( 반사된순서 ) 으로맨앞에 을붙어서 (n+) 비트 Gray 코드를완성한다. 다음은 3비트 Gray 코드를반사하여만드는과정을보여주고있다. 비트 비트 비트 문자코드 () ASCII 코드디지털시스템에서처리하는자료는숫자뿐만이아니고문자도다루기때문에이들을 2 진코드로표현할수있어야한다. 키보드에서자료를입력하거나프린터로자료를출력하는경우에표준방식의문자코드가필요하여 960년대에미국표준협회 (American 36 논리회로설계

37 Standard Association) 는 7비트로정의된 ASCII(American Standard Code for Information Interchange) 코드를문자코드표준으로정하였다. ASCII 코드는국제표준문자코드로서텍스트파일전송및저장등에가장많이사용된다. < 표.7> 에보여주는 ASCII 코드는공백문자 (SP) 를포함한 95개의프린트가능한문자들과 33개의제어문자들로구성되어있는데, 많은제어문자들이과거의텔레타이프 (teletype) 용으로만들어진것들이어서잘사용되지않고있고일부는여전히통신제어용과프린터제어용코드등으로자주사용되고있다. I 표.7 ASCII 코드 b 7 b 6 b 5 b 4 b 3 b 2 b NUL DLE space P ` p 000 SOH DC! A Q a q 000 STX DC2 2 B R b r 00 ETX DC3 # 3 C S c s 000 EOT DC4 $ 4 D T d t 00 ENQ NAK % 5 E U e u 00 ACK SYN & 6 F V f v 0 BEL ETB 7 G W g w 000 BS CAN ( 8 H X h x 00 HT EM ) 9 I Y i y 00 LF SUB * : J Z j z 0 VT ESC + ; K [ k { 00 FF FS, < L \ l 0 CR GS - = M ] m } 0 SO RS. > N ^ n ~ SI US /? O _ o DEL 예를들어문자 ABC 에대한 ASCII 코드는다음과같다. A: = 4 6 = 65 0 B: = 42 6 = 66 0 C: = 43 6 = 67 0 chapter 0 디지털시스템소개 37

38 (2) 유니코드 (Unicode) 유니코드는다국어환경에서문자에대한서로호환되는환경을제공하기위하여유니코드협회 (Unicode Consortium) 에서제정한표준인데, 문자집합, 문자인코딩등에관한표준이정의되어있다. 유니코드는문자에대하여 0 6 0FFFF 6 범위의코드번호를할당하여정의하고있고, 6진수코드에 U+ 접두어를붙여서나타낸다. 유니코드는 7개의유니코드영역 (Unicode Plane) 으로나뉘는데, 각영역은 2 6 개의코드로구성된다. I 표.8 유니코드영역과코드번호범위 기본 보조 영역 0 영역 영역 2 영역 3~3 영역 4 영역 5~6 기본다국어 (BMP) 보조다국어 (SMP) 보조상형문자 (SIP) 미지정 보조특수목적 (SSP) 보조사용자영역 0000~FFFF 0000~FFFF 20000~2FFFF 30000~DFFFF E0000~EFFFF F0000~0FFFF 기본다국어영역 (Basic Multilingual Plane, BMP) 은 U+0000부터 U+FFFF까지의 4자리 6진수가사용되고거의모든언어에대한문자들이포함되어있고많은부분이한글과한중일통합한자들이차지하고있다. 나머지영역들은 5자리또는 6자리 6진수를사용한다. ASCII 코드에해당하는코드는 U+0000부터 U+007F까지 ASCII 코드순서대로할당되어있다. 한글기본완성형글자들은 U+AC00부터 U+D7A3 까지할당되어있고, 한글자모등은다른영역에추가로할당되어있다. 유니코드번호는문자집합에속한모든문자에유일하게정의된번호이고, 이문자번호에대한인코딩방식인 UTF-8(Unicode Transformation Format), UTF-6, UTF-32 등의표준이정의되어있다. 가장많이사용되는 UTF-8 과 UTF-6은가변길이의인코딩방식으로문자에따라코드길이가달라진다. UTF-32는모든문자에 4 바이트의고정길이의코드로인코딩한다. 38 논리회로설계

39 .0 오류검출및정정코드 () 패리티 (parity) 비트데이터를전송할때, 데이터와연관된정보를추가하여전송하면수신측에서전송오류가발생했는지검출할수있다. 가장간단한방법으로전송하는데이터의 의개수를짝수 ( 또는홀수 ) 로만들기위하여패리티비트를추가하는것이다. 즉, 원래데이터에패리티비트를추가하여전송하면, 수신측에서수신한데이터의 의개수가짝수 ( 또는홀수 ) 인지확인하여 비트의전송오류가발생했는지알수있다. 2비트가동시에바뀌면전송오류를검출할수없지만, 비트오류에비하여일어날확률이아주낮다고가정하는것이다. 짝수패리티는패리티비트포함하여데이터의 의개수가짝수가되도록패리티비트를추가하는것이다. 반대로, 홀수패리티는패리티비트포함하여데이터의 의개수가홀수가되도록패리티비트를추가하는것이다. 패리티비트를 LSB에추가한다고가정할때 < 표.9> 는짝수패리티와홀수패리티예를보여주고있다. I 표.9 패리티비트 패리티포함한 8비트 7비트데이터 짝수패리티 홀수패리티 A = B = C = 패리티비트는사용방법이간단하기때문에직렬데이터전송에서많이사용되고, 메모리와같은저장장치에도응용하여오류를쉽게찾을수있게한다. 그외에오류검출방식으로패리티비트방법을응용한 2차원패리티비트방식, 체크섬 (check sum), CRC(Cyclic Redundancy Check) 등이있다. (2) 해밍 (hamming) 코드 R. W. Hamming이고안해낸해밍코드는컴퓨터메모리시스템에많이사용되는오류정정코드인데 비트의오류가발생하면해당비트를정정할수있는코드이다. 해밍코 chapter 0 디지털시스템소개 39

40 드는 n비트의데이터에 k비트의패리티가추가되어 (n+k) 비트로만들어지는데, n과 k 의관계는다음과같다. k n+ k# 2 - < 표.0> 에보이는것처럼데이터비트길이 n 에대하여추가되는패리티비트는로그 함수로증가되어비트길이가긴데이터에대하여효율적이다. I 표.0 해밍코드에서추가되는패리티길이 n(data bit) k(parity bit) n+k(total) 비트데이터 000 에대한해밍코드를만드는방법을알아보자. 8비트에데이터에대한패리티는 4비트 (P, P 2, P 4, P 8 ) 가필요한데각패리티비트의위치를다음과같이 b, b 2, b 4, b 8 의위치에배치하고나머지위치에 8비트데이터를순서대로배치한다. 비트위치번호는 b 0 이아니고 b 번부터시작하고있다. b b 2 b 3 b 4 b 5 b 6 b 7 b 8 b 9 b 0 b b 2 P P 2 P 4 0 P 각패리티는다음과같이계산하여해밍코드를완성한다. 짝수패리티함수는인수에해당하는비트들의짝수패리티를계산한다고가정한다. P = 짝수패리티 (b 3, b 5, b 7, b 9, b ) = 짝수패리티 (, 0,, 0, ) = P 2 = 짝수패리티 (b 3, b 6, b 7, b 0, b ) = 짝수패리티 (,,, 0, ) = 0 P 4 = 짝수패리티 (b 5, b 6, b 7, b 2 ) = 짝수패리티 (0,,, ) = P 8 = 짝수패리티 (b 9, b 0, b, b 2 ) = 짝수패리티 (0, 0,, ) = 0 40 논리회로설계

41 저장할데이터는다음과같이패리티비트가추가된 2 비트가저장된다. b b 2 b 3 b 4 b 5 b 6 b 7 b 8 b 9 b 0 b b 이렇게저장된 2비트를메모리로부터읽어서오류발생여부와정정하는방법을알아보자. 각패리티들은해당그룹의비트들에대한짝수패리티이다. 즉, 패리티비트를포함한해당그룹의 의개수는짝수이다. 즉, 해당그룹에 비트오류가있으면 이되고오류가없으면 0이되는체크비트를다음과같이계산하면오류비트위치도알수있다. C = 짝수패리티 (b, b 3, b 5, b 7, b 9, b ) C 2 = 짝수패리티 (b 2, b 3, b 6, b 7, b 0, b ) C 4 = 짝수패리티 (b 4, b 5, b 6, b 7, b 2 ) C 8 = 짝수패리티 (b 8, b 9, b 0, b, b 2 ) 예를들어, 다음과같이세가지경우에대하여체크비트를계산하면오류발생여부와오류가발생했을때의오류비트의위치를알수있다. b b 2 b 3 b 4 b 5 b 6 b 7 b 8 b 9 b 0 b b 2 오류없음 비트 4 오류 비트 0 오류 오류가없는경우체크비트를계산하면다음과같다. C = 짝수패리티 (b, b 3, b 5, b 7, b 9, b ) = 짝수패리티 (,, 0,, 0, ) = 0 C 2 = 짝수패리티 (b 2, b 3, b 6, b 7, b 0, b ) = 짝수패리티 (0,,,, 0, ) = 0 C 4 = 짝수패리티 (b 4, b 5, b 6, b 7, b 2 ) = 짝수패리티 (, 0,,, ) = 0 C 8 = 짝수패리티 (b 8, b 9, b 0, b, b 2 ) = 짝수패리티 (0, 0, 0,, ) = 0 즉, 체크비트 C 8 C 4 C 2 C (0000) 는오류가없음을나타내고있다. 비트 4 에오류가있는경우체크비트를계산하면다음과같다. chapter 0 디지털시스템소개 4

42 C = 짝수패리티 (b, b 3, b 5, b 7, b 9, b ) = 짝수패리티 (,, 0,, 0, ) = 0 C 2 = 짝수패리티 (b 2, b 3, b 6, b 7, b 0, b ) = 짝수패리티 (0,,,, 0, ) = 0 C 4 = 짝수패리티 (b 4, b 5, b 6, b 7, b 2 ) = 짝수패리티 (0, 0,,, ) = C 8 = 짝수패리티 (b 8, b 9, b 0, b, b 2 ) = 짝수패리티 (0, 0, 0,, ) = 0 체크비트 C 8 C 4 C 2 C (000) 은비트 4가오류임을나타내고있다. 비트 0에오류가있는경우의체크비트를계산하면다음과같다. C = 짝수패리티 (b, b 3, b 5, b 7, b 9, b ) = 짝수패리티 (,, 0,, 0, ) = 0 C 2 = 짝수패리티 (b 2, b 3, b 6, b 7, b 0, b ) = 짝수패리티 (0,,,,, ) = C 4 = 짝수패리티 (b 4, b 5, b 6, b 7, b 2 ) = 짝수패리티 (, 0,,, ) = 0 C 8 = 짝수패리티 (b 8, b 9, b 0, b, b 2 ) = 짝수패리티 (0, 0,,, ) = 체크비트 C 8 C 4 C 2 C (00) 은비트 0이오류임을나타내고있다. 다음은데이터 비트와패리티 4비트로이루어진해밍코드에대하여각패리티그룹에속한비트들을나타내고있는데, 어느한비트에오류가발생하면해당그룹에대한패리티를검사하는체크비트가세트되어오류비트의위치를나타내게된다. b b 2 b 3 b 4 b 5 b 6 b 7 b 8 b 9 b 0 b b 2 b 3 b 4 b 5 P P 2 D P 4 D 2 D 3 D 4 P 8 D 5 D 6 D 7 D 8 D 9 D 0 D P 그룹 P 2 그룹 P 4 그룹 P 8 그룹 각패리티그룹의패리티비트 P i 는해당그룹의데이터들에대한짝수패리티이다. 42 논리회로설계

43 예제.6 4 비트데이터 00 을해밍코드로인코드하라. (4 + 3) (2 3 - ) 이므로 4 비트데이터의경우 3 개의패리티비트 (P,P 2,P 4 ) 가필요하다. 각패리티비트의위치를다음과같이, 2, 4 의위치에배치하고나머지는 4 비트데이터를순 서대로배치한다. b b 2 b 3 b 4 b 5 b 6 b 7 P P 2 P 각패리티는다음과같이계산하여해밍코드를완성한다. P = 짝수패리티 (b 3, b 5, b 7 ) = 짝수패리티 (, 0, ) = 0 P 2 = 짝수패리티 (b 3, b 6, b 7 ) = 짝수패리티 (, 0, ) = 0 P 4 = 짝수패리티 (b 5, b 6, b 7 ) = 짝수패리티 (0, 0, ) = 완성된해밍코드는 0000 이된다. 예제.7 저장된해밍코드를읽은값이 00 이다. 오류가있으면정정하여데이터값을구하라. 저당된해밍코드에대한체크비트를계산하면다음과같다. C = 짝수패리티 (b, b 3, b 5, b 7 ) = 짝수패리티 (,,, ) = 0 C 2 = 짝수패리티 (b 2, b 3, b 6, b 7 ) = 짝수패리티 (0,,, ) = C 4 = 짝수패리티 (b 4, b 5, b 6, b 7 ) = 짝수패리티 (0,,, ) = 체크비트 C 4 C 2 C (0) 은비트 6 이오류임을나타내고있다. 따라서정정된해밍코드는 000 이고, 패리티비트를제외한데이터는 0 이다. chapter 0 디지털시스템소개 43

44 단원요약. 불연속적인값을다루는디지털시스템은 0과 의값을갖는 2진수비트들의열인 2진수로인코딩 (encoding) 하여값을표현한다. 2. 디지털시스템은아날로그시스템과비교하여설계용이, 잡음여유, 재생성, 경제성, 프로그램가능측면에서장점이있다. 3. 2진수의음수표현방식에세가지가있다. 부호화절대치방식은십진법에서사용하는방법과비슷한방법으로 n비트의 2진수에서첫번째비트가부호비트이고나머지 (n-) 비트가크기를나타내는데, +0, -0인두개의 0이존재하고연산회로를만들기가복잡한단점이있다. 4. 의보수방식은 의보수개념을사용하여음수를나타내는데, +0, -0인두개의 0이존재하는단점이있고, 덧셈, 뺄셈에대한연산에서순환자림올림 (end-around-carry) 이발생하는단점이있다. 5. 2의보수방식은 2의보수개념을사용하여음수를나타낸다. 덧셈, 뺄셈에대한연산회로를가장간단하게구현할수있다. 대부분의디지털컴퓨터들은음수를나타내는데 2의보수방식을사용한다. 6. 0진수를 2진수로나타내는 BCD 코드에 842, 542, Excess-3 코드, 2-of-5 코드등의여러가지들이있다. 이들중에서 842 코드와 542 코드는가중치코드이다. 7. Gray 코드는숫자를나타내는코드를순서대로배열했을때인접한코드간에비트변화가한번만발생하는코드이다. 8. 그밖에문자코드를나타내는 ASCII 코드와유니코드등이있다. 9. 패리티비트는데이터전송및저장할때정보를추가하여데이터를수신또는읽어올때, 데이터에 비트전송오류또는저장오류가발생했는지검출할수있게한다. 해밍코드는 비트오류가발생했을때해당오류를정정할수있는코드이다. 44 논리회로설계

45 연습문제 0 디지털시스템의장점을설명하라. 02 아날로그신호와디지털신호의차이점은? 03 아날로그신호와디지털신호의예를 3 가지씩들어라. 04 A/D 변환기와 D/A 변환기의역할은? 05 nmos 와 pmos 의기호를그리고 on/off 동작을설명하라. 06 CMOS 인버터회로를 nmos 와 pmos 를사용하여그려라. 07 잡음여유 (noise margin) 란? 08 키보드자판키에 40 개의키가있다고가정한다. 각키를나타내는코드로인코딩하 려고하려면최소몇비트가필요한가? 09 다음 0 진수들을 2 진수로변환하고, 6 진수로변환하라. a) 37 b) 370 c) 3700 d) 23 e) 09 0 다음 2 진수들을 6 진수로변환하라. a) 000 b) 0000 c) 0000 d) 00 부호가없는 2 진수를 0 진수로변환하라. a) 00 b) 0000 c) 00 d) 0 e) f) 의보수방식을사용하는 2 진수들에대한덧셈결과를구하라. a) b) c) d) + 00 chapter 0 디지털시스템소개 45

46 3 2 의보수방식을사용하는 2 진수들에대한뺄셈결과를구하라. a) b) c) d) 부호없는 2 진수들에대한곱셈결과를구하라. a) 00 0 b) c) d) 00 5 부호없는 2 진수들에대한나눗셈결과를구하라. a) 00 0 b) c) d) 다음수들을부호화절대치, 의보수, 2의보수방식으로부호비트포함하여 6비트로나타내라. a) -3 b) -8 c) -3 d) - 7 다음 0진수들에대한덧셈을 2진수로나타내라. 음수에대한표현은부호화절대치방식으로나타낸다. 부호비트포함하여 6비트로나타내고, 오버플로우발생여부를명시하라. a) b) (-3) + (-8) c) (-20) + 9 d) (-3) 다음 0진수들에대한덧셈을 2진수로나타내라. 음수에대한표현은 의보수방식으로나타낸다. 부호비트포함하여 6비트로나타내고, 오버플로우발생여부를명시하라. a) b) (-3) + (-8) c) (-20) + 9 d) (-3) 다음 0진수들에대한덧셈을 2진수로나타내라. 음수에대한표현은 2의보수방식으로나타낸다. 부호비트포함하여 6비트로나타내고, 오버플로우발생여부를명시하라. a) b) (-3) + (-8) c) (-20) + 9 d) (-3) 논리회로설계

47 20 메모리에저장된 2진수는해석하는방법에따라나타내는 0진수값이달라질수있 다. 다음각 2진수에대하여무부호수 (unsigned number), 부호화절대치, 의보 수, 2의보수방식으로해석할때나타내는 0진수값들은? a) 0000 b) c) d) 0 e) 0 2 다음 0 진수들을 842 코드, 542 코드, 3 초과코드, 2-of-5 코드로나타내라. a) 234 b) 46 c) 92 d) 메모리에다음과같이저장된 2 진수가 842 코드, 542 코드, 3 초과코드일경우에 나타내는 0 진수값들은? a) b) 다음문자에대한 ASCII 코드를 6 진수로나타내라. a) a b) F c) # d) 24 전송할문자에대하여홀수패리티를만들어전송하는경우에다음문자의 ASCII 코드에대한패리티비트를계산하라. a) a b) F c) # d) 25 데이터 을해밍코드로인코드하라. 26 저장된해밍코드를읽은값이 0 이다. 오류가있으면정정된해밍코드와데이 터값을구하라. 27 전송할데이터에서모든 0 은 00 으로, 은 로보내면수신측에서 비트오류를검 출또는정정할수있는지설명하라. chapter 0 디지털시스템소개 47

48

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

3 장디지털코드 1. BCD 코드와 3초과코드 2. 다양한 2진코드들 3. 그레이코드 4. 에러검출코드 5. 영숫자코드 한국기술교육대학교전기전자통신공학부전자전공 1

3 장디지털코드 1. BCD 코드와 3초과코드 2. 다양한 2진코드들 3. 그레이코드 4. 에러검출코드 5. 영숫자코드 한국기술교육대학교전기전자통신공학부전자전공 1 3 장디지털코드. BC 코드와 3초과코드 2. 다양한 2진코드들 3. 그레이코드 4. 에러검출코드 5. 영숫자코드 BC COE q 숫자, 글자, 단어등이어떤특별한기호 (symbol) 들로표현되어질때, 이를부호화 (encode) 라하고그기호들을코드 (code) 라한다. q BC (Binary Coded ecimal) 코드 (2 진화 진코드, 842 코드 ) -

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1 장수의체계. 진수. 진수 3. 8진수와 6진수 4. 진법변환 5. 진정수연산과보수 6. 진부동소수점수의표현 진수 진수표현법 v 기수가 인수 v,,, 3, 4, 5, 6, 7, 8, 9 사용 9345.35 = 9 3 4 5 3. 5. = 9 3 3 4 5 3-5 - v 고대로마의기수법에는 5 진법을사용 v 진법의아라비아숫자는인도에서기원전 세기에발명 진법을나타내는기본수를기수

More information

Computer Architecture

Computer Architecture 정수의산술연산과부동소수점연산 정수의산술연산부동소수점수의표현부동소수점산술연산 이자료는김종현저 - 컴퓨터구조론 ( 생능출판사 ) 의내용을편집한것입니다. 3.5 정수의산술연산 기본적인산술연산들 2 2 3.5.1 덧셈 2 의보수로표현된수들의덧셈방법 두수를더하고, 만약올림수가발생하면버림 3 3 병렬가산기 (parallel adder) 덧셈을수행하는하드웨어모듈 4- 비트병렬가산기와상태비트제어회로

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드]

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드] 컴퓨터구조 강의노트 #8: Chapter 9: 컴퓨터산술 2008. 5. 8. 담당교수 : 조재수 E-mail: jaesoo27@kut.ac.kr 1 컴퓨터시스템구조론 제9장컴퓨터산술 (Computer Arithmetic) 2 1 핵심요점들 컴퓨터산술에있어서두가지주요관심사는수가표현되는방법 (2진수형식 ) 과기본적인산술연산들 ( 더하기, 빼기, 곱하기, 나누기

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수 2 주차 3 차시수의표현과연산 학습목표 1. 진법과수의구성에대해설명할수있다. 2. 논리회로에대해설명할수있다. 학습내용 1 : 진법과수의구성 - 우리는 10 진수체계안에서살고있다. 10 진수체계는한단위에서 0~9 까지가면한자리왼쪽에 1 을더하여다시 0 이되는방식. 1. 진법과수의구성 * 10진법 : 0~9까지사용하며 10을한자리의기본단위로하는진법 * 2진법 :

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Computer Architecture CHAPTER 컴퓨터산술과논리연산 제 3 장 컴퓨터산술과논리연산 3.1 ALU의구성요소 3.2 정수의표현 3.3 논리연산 3.4 시프트연산 3.5 정수의산술연산 3.6 부동소수점수의표현 3.7 부동소수점산술연산 3.1 ALU 의구성요소 산술연산장치 : 산술연산들 (+, -,, ) 을수행 논리연산장치 : 논리연산들 (AND,

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6347E36B0AD20B9AEC1A62BC1A4B4E42E687770>

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6347E36B0AD20B9AEC1A62BC1A4B4E42E687770> P.120 기 출 문 제 9904 9908 0010 0109 1. 정보의단위로가장적은것은? 가. Byte 나. Word 다. Bit 라. Record 0005 0103 0605 2. 4비트로나타낼수있는정보단위는? 가. Nibble 나. Character 다. Full-Word 라. Double-Word 0007 3. 다음정보의단위중하위의개념에서상위의개념으로올바르게나열된것은?

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

Introduction to Computer Science

Introduction to Computer Science 컴퓨터공학개론 4 장수체계와데이터표현 학습목표 수체계를이해하는것이왜중요한지배운다. 수의거듭제곱에대해복습한다. 사물을세는데수체계가어떻게사용되는지배운다. 수체계에서자리값의중요성에대해배운다. 수체계에서사용되는여러진수사이의차이점과유사점에대해배운다. 2 학습목표 ( 계속 ) 진수사이에수를변환하는방법에대해배운다. 이진법및십육진법을사용하는수학의계산법을배운다. 컴퓨터에서이진수를사용하여데이터를표현하는방법에대해배운다.

More information

Python과 함께 배우는 신호 해석 제 5 강. 복소수 연산 및 Python을 이용한 복소수 연산 (제 2 장. 복소수 기초)

Python과 함께 배우는 신호 해석 제 5 강. 복소수 연산 및 Python을 이용한 복소수 연산      (제 2 장. 복소수 기초) 제 5 강. 복소수연산및 을이용한복소수연산 ( 제 2 장. 복소수기초 ) 한림대학교전자공학과 한림대학교 제 5 강. 복소수연산및 을이용한복소수연산 1 배울내용 복소수의기본개념복소수의표현오일러 (Euler) 공식복소수의대수연산 1의 N 승근 한림대학교 제 5 강. 복소수연산및 을이용한복소수연산 2 복소수의 4 칙연산 복소수의덧셈과뺄셈에는직각좌표계표현을사용하고,

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다.

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 2. 다음설명중조합논리회로의특징으로옳지않은것은? < 나 > 가입 출력을갖는게이트의집합으로출력값은

More information

Microsoft PowerPoint - C프로그래밍-chap03.ppt [호환 모드]

Microsoft PowerPoint - C프로그래밍-chap03.ppt [호환 모드] Chapter 03 변수와자료형 2009 한국항공대학교항공우주기계공학부 (http://mercury.kau.ac.kr/sjkwon) 1 변수와자료유형 변수 프로그램에서자료값을임시로기억할수있는저장공간을변수 (variables) 변수 (Variables) 는컴퓨터의메모리인 RAM(Random Access Memory) 에저장 물건을담는박스라고생각한다면박스의크기에따라담을물건이제한됨

More information

Microsoft PowerPoint - Java7.pptx

Microsoft PowerPoint - Java7.pptx HPC & OT Lab. 1 HPC & OT Lab. 2 실습 7 주차 Jin-Ho, Jang M.S. Hanyang Univ. HPC&OT Lab. jinhoyo@nate.com HPC & OT Lab. 3 Component Structure 객체 (object) 생성개념을이해한다. 외부클래스에대한접근방법을이해한다. 접근제어자 (public & private)

More information

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 CHAPTER 9 둘중하나선택하기 관계연산자 두개의피연산자를비교하는연산자 결과값은참 (1) 아니면거짓 (0) x == y x 와 y 의값이같은지비교한다. 관계연산자 연산자 의미 x == y x와 y가같은가? x!= y

More information

윈도우즈프로그래밍(1)

윈도우즈프로그래밍(1) 제어문 (2) For~Next 문 윈도우즈프로그래밍 (1) ( 신흥대학교컴퓨터정보계열 ) 2/17 Contents 학습목표 프로그램에서주어진특정문장을부분을일정횟수만큼반복해서실행하는문장으로 For~Next 문등의구조를이해하고활용할수있다. 내용 For~Next 문 다중 For 문 3/17 제어문 - FOR 문 반복문 : 프로그램에서주어진특정문장들을일정한횟수만큼반복해서실행하는문장

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로 9 장동기순차회로 동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로분류. v v v 동기순차회로 : 클록펄스에의해서동작하는회로 비동기순차회로

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

V. 통신망 기술

V. 통신망 기술 오류검출기법 데이터전송오류 (error) 를검출하는기법 메시지전송시오류검출코드를데이터꼬리부분에부착하여전송하고수신측에서는이를사용하여오류발생여부판단 오류검출기법 패리티검사 블록합검사 (block sum check) 순환중복검사 (CRC : Cyclic Redundancy Check) 1 오류검출의기본원리 E= f(data) E,E = 오류검출코드 f = 오류검출함수

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

목차 7 장조합논리회로 1. 가 / 감산기 2. 비교기 3. 디코더 4. 인코더 5. 멀티플렉서 6. 디멀티플렉서 7. 코드변환기 8. 패리티발생기 / 검출기 한국기술교육대학교전기전자통신공학부 1

목차 7 장조합논리회로 1. 가 / 감산기 2. 비교기 3. 디코더 4. 인코더 5. 멀티플렉서 6. 디멀티플렉서 7. 코드변환기 8. 패리티발생기 / 검출기 한국기술교육대학교전기전자통신공학부 1 목차 7 장조합논리회로. 가 / 감산기. 비교기. 디코더 4. 인코더 5. 멀티플렉서 6. 디멀티플렉서 7. 코드변환기 8. 패리티발생기 / 검출기 조합논리회로해석 v 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 n 개의입력 진조합이가능 입력 조합논리회로 출력 v 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후,

More information

다른 JSP 페이지호출 forward() 메서드 - 하나의 JSP 페이지실행이끝나고다른 JSP 페이지를호출할때사용한다. 예 ) <% RequestDispatcher dispatcher = request.getrequestdispatcher(" 실행할페이지.jsp");

다른 JSP 페이지호출 forward() 메서드 - 하나의 JSP 페이지실행이끝나고다른 JSP 페이지를호출할때사용한다. 예 ) <% RequestDispatcher dispatcher = request.getrequestdispatcher( 실행할페이지.jsp); 다른 JSP 페이지호출 forward() 메서드 - 하나의 JSP 페이지실행이끝나고다른 JSP 페이지를호출할때사용한다. 예 ) RequestDispatcher dispatcher = request.getrequestdispatcher(" 실행할페이지.jsp"); dispatcher.forward(request, response); - 위의예에서와같이 RequestDispatcher

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

Microsoft PowerPoint - chap03-변수와데이터형.pptx

Microsoft PowerPoint - chap03-변수와데이터형.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num %d\n", num); return 0; } 1 학습목표 의 개념에 대해 알아본다.

More information

중간고사

중간고사 중간고사 예제 1 사용자로부터받은두개의숫자 x, y 중에서큰수를찾는알고리즘을의사코드로작성하시오. Step 1: Input x, y Step 2: if (x > y) then MAX

More information

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다 디지털논리회로이론, 실습, 시뮬레이션 : 카운터 기출문제풀이 ehanbitet - 1 - 1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다카운터

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

7장 조합 논리 회로

7장 조합 논리 회로 7 장조합논리회로 7. 조합논리회로해석 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 2 n 개의입력 2 진조합이가능 입력 조합논리회로 출력 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후, 논리회로의동작을해석. 조합회로해석과정 입 / 출력에대한변수의수와변수명을결정한다. n 개의입력변수에대해 2 n 개의 2 진조합에대한각게이트의출력부울함수를표시한다.

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

Microsoft PowerPoint - ch07 - 포인터 pm0415

Microsoft PowerPoint - ch07 - 포인터 pm0415 2015-1 프로그래밍언어 7. 포인터 (Pointer), 동적메모리할당 2015 년 4 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) Outline 포인터 (pointer) 란? 간접참조연산자

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

Microsoft PowerPoint - 26.pptx

Microsoft PowerPoint - 26.pptx 이산수학 () 관계와그특성 (Relations and Its Properties) 2011년봄학기 강원대학교컴퓨터과학전공문양세 Binary Relations ( 이진관계 ) Let A, B be any two sets. A binary relation R from A to B, written R:A B, is a subset of A B. (A 에서 B 로의이진관계

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

경우 1) 80GB( 원본 ) => 2TB( 복사본 ), 원본 80GB 는 MBR 로디스크초기화하고 NTFS 로포맷한경우 복사본 HDD 도 MBR 로디스크초기화되고 80GB 만큼포맷되고나머지영역 (80GB~ 나머지부분 ) 은할당되지않음 으로나온다. A. Window P

경우 1) 80GB( 원본 ) => 2TB( 복사본 ), 원본 80GB 는 MBR 로디스크초기화하고 NTFS 로포맷한경우 복사본 HDD 도 MBR 로디스크초기화되고 80GB 만큼포맷되고나머지영역 (80GB~ 나머지부분 ) 은할당되지않음 으로나온다. A. Window P Duplicator 는기본적으로원본하드디스크를빠르게복사본하드디스크에복사하는기능을하는것입니다.. 복사본 하드디스크가원본하드디스크와똑같게하는것을목적으로하는것이어서저용량에서고용량으로복사시몇 가지문제점이발생할수있습니다. 하드디스크는사용하려면, 디스크초기화를한후에포맷을해야사용가능합니다. Windows PC는 MBR과 GPT 2 개중에 1개로초기화합니다. -Windows

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

Microsoft PowerPoint - CHAP-03 [호환 모드]

Microsoft PowerPoint - CHAP-03 [호환 모드] 컴퓨터구성 Lecture Series #4 Chapter 3: Data Representation Spring, 2013 컴퓨터구성 : Spring, 2013: No. 4-1 Data Types Introduction This chapter presents data types used in computers for representing diverse numbers

More information

2주차: 입출력 제어 복습

2주차: 입출력 제어 복습 마이크로프로세서 응용및실습 ` 13-14 주차 : 직렬통신 (2) 한철수 전자공학과 2/35 직렬통신과병렬통신 직렬통신 한가닥의선으로송수신할데이터를차례대로전송하는방식 장점 : 통신선로가적기때문에경제적임 단점 : 전송속도가느림. 송수신약속이복잡해짐 병렬통신 여러가닥의선으로동시에여러개의데이터를전송하는방식 장점 : 전송속도가빠름 단점 : 직렬통신보다비쌈 3/35

More information

제 3강 역함수의 미분과 로피탈의 정리

제 3강 역함수의 미분과 로피탈의 정리 제 3 강역함수의미분과로피탈의정리 역함수의미분 : 두실수 a b 와폐구갂 [ ab, ] 에서 -이고연속인함수 f 가 ( a, b) 미분가능하다고가정하자. 만일 f '( ) 0 이면역함수 f 은실수 f( ) 에서미분가능하고 ( f )'( f ( )) 이다. f '( ) 에서 증명 : 폐구갂 [ ab, ] 에서 -이고연속인함수 f 는증가함수이거나감소함수이다 (

More information

PowerPoint Template

PowerPoint Template SOFTWARE ENGINEERING Team Practice #3 (UTP) 201114188 김종연 201114191 정재욱 201114192 정재철 201114195 홍호탁 www.themegallery.com 1 / 19 Contents - Test items - Features to be tested - Features not to be tested

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

Microsoft PowerPoint - Zebra ZPL 한글판 명령어 메뉴얼.ppt

Microsoft PowerPoint - Zebra ZPL 한글판 명령어 메뉴얼.ppt Zebra Programming Language (ZPL) 제브라프로그래밍안내서 문자인쇄 예제 1 기준점 10 Cm 1Cm ZEBRA PRINTER 5 Cm 1Cm 진행방향 위와같이 10Cm X 5Cm( 가로세로 ) 크기의라벨이있고기준점으로부터 X.Y 축으로 1Cm 떨어진곳에 ZEBRA PRINTER 를인쇄하고자한다면, 보기 1 ^FO 80,80^AE 21,10^FD

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 C 프로그래밍프로젝트 Chap 14. 포인터와함수에대한이해 2013.10.09. 오병우 컴퓨터공학과 14-1 함수의인자로배열전달 기본적인인자의전달방식 값의복사에의한전달 val 10 a 10 11 Department of Computer Engineering 2 14-1 함수의인자로배열전달 배열의함수인자전달방식 배열이름 ( 배열주소, 포인터 ) 에의한전달 #include

More information

Microsoft PowerPoint 웹 연동 기술.pptx

Microsoft PowerPoint 웹 연동 기술.pptx 웹프로그래밍및실습 ( g & Practice) 문양세강원대학교 IT 대학컴퓨터과학전공 URL 분석 (1/2) URL (Uniform Resource Locator) 프로토콜, 호스트, 포트, 경로, 비밀번호, User 등의정보를포함 예. http://kim:3759@www.hostname.com:80/doc/index.html URL 을속성별로분리하고자할경우

More information

1.2 자료형 (data type) 프로그램에서다루는값의형태로변수나함수를정의할때주로사용하며, 컴퓨터는선언된 자료형만큼의메모리를확보하여프로그래머에게제공한다 정수 (integer) 1) int(4 bytes) 연산범위 : (-2 31 ) ~ (2 31 /2)-

1.2 자료형 (data type) 프로그램에서다루는값의형태로변수나함수를정의할때주로사용하며, 컴퓨터는선언된 자료형만큼의메모리를확보하여프로그래머에게제공한다 정수 (integer) 1) int(4 bytes) 연산범위 : (-2 31 ) ~ (2 31 /2)- 1.2 자료형 (data type) 프로그램에서다루는값의형태로변수나함수를정의할때주로사용하며, 컴퓨터는선언된 자료형만큼의메모리를확보하여프로그래머에게제공한다. 1.2.1 정수 (integer) 1) int(4 bytes) 연산범위 : (-2 31 ) ~ (2 31 /2)-1 연산범위이유 : 00000000 00000000 00000000 00000000의 32

More information

설계란 무엇인가?

설계란 무엇인가? 금오공과대학교 C++ 프로그래밍 jhhwang@kumoh.ac.kr 컴퓨터공학과 황준하 6 강. 함수와배열, 포인터, 참조목차 함수와포인터 주소값의매개변수전달 주소의반환 함수와배열 배열의매개변수전달 함수와참조 참조에의한매개변수전달 참조의반환 프로그래밍연습 1 /15 6 강. 함수와배열, 포인터, 참조함수와포인터 C++ 매개변수전달방법 값에의한전달 : 변수값,

More information

강의 개요

강의 개요 DDL TABLE 을만들자 웹데이터베이스 TABLE 자료가저장되는공간 문자자료의경우 DB 생성시지정한 Character Set 대로저장 Table 생성시 Table 의구조를결정짓는열속성지정 열 (Clumn, Attribute) 은이름과자료형을갖는다. 자료형 : http://dev.mysql.cm/dc/refman/5.1/en/data-types.html TABLE

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

Java ...

Java ... 컴퓨터언어 1 Java 제어문 조성일 조건문 : if, switch 어떠한조건을조사하여각기다른명령을실행 if 문, switch 문 if 문 if - else 문형식 if 문형식 if ( 조건식 ) { 명령문 1; 명령문 2;... if ( 조건식 ) { 명령문 1; 명령문 2;... else { 명령문 a; 명령문 b;... 예제 1 정수를입력받아짝수와홀수를판별하는프로그램을작성하시오.

More information

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 제 8 장. 포인터 목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 포인터의개요 포인터란? 주소를변수로다루기위한주소변수 메모리의기억공간을변수로써사용하는것 포인터변수란데이터변수가저장되는주소의값을 변수로취급하기위한변수 C 3 포인터의개요 포인터변수및초기화 * 변수데이터의데이터형과같은데이터형을포인터 변수의데이터형으로선언 일반변수와포인터변수를구별하기위해

More information

Microsoft PowerPoint - chap04-연산자.pptx

Microsoft PowerPoint - chap04-연산자.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2

학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2 학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2 6.1 함수프로시저 6.2 서브프로시저 6.3 매개변수의전달방식 6.4 함수를이용한프로그래밍 3 프로시저 (Procedure) 프로시저 (Procedure) 란무엇인가? 논리적으로묶여있는하나의처리단위 내장프로시저 이벤트프로시저, 속성프로시저, 메서드, 비주얼베이직내장함수등

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

Microsoft PowerPoint - 부호기와 복호기.PPT

Microsoft PowerPoint - 부호기와 복호기.PPT 논리회로실험부호기와복호기 2005. 5. 3. 부호기와복호기란? 이론실험내용 개요 Encoder & Decoder 서로다른부호간의변환에사용되는것으로디지털신호를압축하거나전송시깨지지않도록바꾸는등여러가지목적에의해부호화라는장치와부호화되어전송되어온신호를다시원래의디지털신호로복호하는장치들을말한다. CODEC(enCOder DECoder) 이라고도한다. 기타 10진 to

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp 1 0 1.7 6 5 'A ' '/ u 4 4 2 2 ' " JS P 프로그래밍 " A ', 'b ', ' 한 ', 9, \ u d 6 5 4 ' c h a r a = 'A '; 0 1 0 2 0 3 0 4 0 5 0 6 0 7 0 8 0 9 1 0 1 1 1 2 1 3 1 4 1 5 1 6 1 7 1 8 1 9 < % @ p a g e c o n te n

More information

<3235B0AD20BCF6BFADC0C720B1D8C7D120C2FC20B0C5C1FE20322E687770>

<3235B0AD20BCF6BFADC0C720B1D8C7D120C2FC20B0C5C1FE20322E687770> 25 강. 수열의극한참거짓 2 두수열 { }, {b n } 의극한에대한 < 보기 > 의설명중옳은것을모두고르면? Ⅰ. < b n 이고 lim = 이면 lim b n =이다. Ⅱ. 두수열 { }, {b n } 이수렴할때 < b n 이면 lim < lim b n 이다. Ⅲ. lim b n =0이면 lim =0또는 lim b n =0이다. Ⅰ 2Ⅱ 3Ⅲ 4Ⅰ,Ⅱ 5Ⅰ,Ⅲ

More information

윤성우의 열혈 TCP/IP 소켓 프로그래밊

윤성우의 열혈 TCP/IP 소켓 프로그래밊 윤성우저열혈강의 C 프로그래밍개정판 Chapter 05. 상수와기본자료형 Chapter 05-1. C 언어가제공하는기본자료형의이해 윤성우저열혈강의 C 프로그래밍개정판 자료형은데이터를표현하는방법입니다. 실수를저장할것이냐? 정수를저장할것이냐! 값을저장하는방식이실수냐정수냐에따라서달라지기때문에용도를결정해야한다. 얼마나큰수를저장할것이냐! 큰수를표현하기위해서는많은수의바이트가필요하다.

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

PowerPoint Presentation

PowerPoint Presentation Package Class 1 Heeseung Jo 목차 section 1 패키지개요와패키지의사용 section 2 java.lang 패키지의개요 section 3 Object 클래스 section 4 포장 (Wrapper) 클래스 section 5 문자열의개요 section 6 String 클래스 section 7 StringBuffer 클래스 section

More information

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 (   ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각 JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( http://java.sun.com/javase/6/docs/api ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각선의길이를계산하는메소드들을작성하라. 직사각형의가로와세로의길이는주어진다. 대각선의길이는 Math클래스의적절한메소드를이용하여구하라.

More information

프로그램카운터 (Program Counter) 명령레지스터 (Instruction Register) 누산기 (AC: Accumulator) 상태레지스터 (Status Register) PSWR(Program Status Word Register) 메모리주소레지스터 (M

프로그램카운터 (Program Counter) 명령레지스터 (Instruction Register) 누산기 (AC: Accumulator) 상태레지스터 (Status Register) PSWR(Program Status Word Register) 메모리주소레지스터 (M 중앙처리장치 (CPU: Central Process Unit) 1) 제어장치 (Control Unit) 컴퓨터시스템의모든장치들에게동작을지시하고제어하는장치로주기억장치에서읽어온명령어를해독하고해당장치에게제어신호를보낸다. 구성회로 : 부호기, 명령해독기, 번지해독기 구성레지스터 : PC( 프로그램카운터 ), IR( 명령어레지스터 ) 부호기 ( 제어신호발생기 ) 해독한명령어에따라서해당장치로보낼제어신호를생성하는회로

More information

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770>

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770> 연습문제해답 5 4 3 2 1 0 함수의반환값 =15 5 4 3 2 1 0 함수의반환값 =95 10 7 4 1-2 함수의반환값 =3 1 2 3 4 5 연습문제해답 1. C 언어에서의배열에대하여다음중맞는것은? (1) 3차원이상의배열은불가능하다. (2) 배열의이름은포인터와같은역할을한다. (3) 배열의인덱스는 1에서부터시작한다. (4) 선언한다음, 실행도중에배열의크기를변경하는것이가능하다.

More information

<4D F736F F D C7C1B7CEC5E4C4C3C0BB20C0CCBFEBC7D B0E8BBEA20BAB8B0EDBCAD205FC3D6C1BE5F>

<4D F736F F D C7C1B7CEC5E4C4C3C0BB20C0CCBFEBC7D B0E8BBEA20BAB8B0EDBCAD205FC3D6C1BE5F> 정상훈, 정광식, 윤성식 http://samplesoft.co.kr 2008-07-09 목차 1. 개요... 2 2. 본문... 3 2.1 CRC5 계산방법... 3 2.2 MATLAB을이용한 CRC5 소스... 6 2.2.1 MATLAB을이용한 CRC계산소스개요... 6 2.2.2 데이터입력... 7 2.2.3 FRAME #, CRC5 연산식시프트... 8

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

Introduction to Computer Science

Introduction to Computer Science 컴퓨터공학개론 3 장컴퓨터구조 학습목표 컴퓨터의동작을이해하는것이왜필요한지배운다. CPU 는무엇이고, 어떻게동작하는지배운다. 디지털논리회로가어떻게동작하는지배운다. 기본적인부울 (Boolean) 연산에대해배운다. 기본적인논리게이트가어떻게동작하는지, 또이것이복잡한컴퓨터회로를구축하는데어떻게사용되는지이해한다. 2 학습목표 ( 계속 ) 폰노이만 (Von Neumann)

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

버퍼오버플로우-왕기초편 3.c언어에서버퍼사용하기 버퍼는 임시기억공간 이라는포괄적인개념이기때문에여러곳에존재할수있습니다. 즉, CPU 에도버퍼가존재할수있으며, 하드디스크에도존재할수있고, CD- ROM 이나프린터에도존재할수있습니다. 그리고앞의예제에서보신바와같이일반프로그램에도

버퍼오버플로우-왕기초편 3.c언어에서버퍼사용하기 버퍼는 임시기억공간 이라는포괄적인개념이기때문에여러곳에존재할수있습니다. 즉, CPU 에도버퍼가존재할수있으며, 하드디스크에도존재할수있고, CD- ROM 이나프린터에도존재할수있습니다. 그리고앞의예제에서보신바와같이일반프로그램에도 버퍼는 임시기억공간 이라는포괄적인개념이기때문에여러곳에존재할수있습니다. 즉, CPU 에도버퍼가존재할수있으며, 하드디스크에도존재할수있고, CD- ROM 이나프린터에도존재할수있습니다. 그리고앞의예제에서보신바와같이일반프로그램에도존재할수있습니다. 이번시간엔프로그램에서버퍼를사용하는법, 그중에서도 C 언어에서버퍼를사용하는방법에대해배워보겠습니다. C 언어에서버퍼를사용하는가장쉬운방법은바로변수를선언하는것인데,

More information

3 권 정답

3 권 정답 3 권 정답 엄마표학습생활기록부 엄마가선생님이되어아이의학업성취도를평가해주세요. 021 계획준수 학습기간 월일 ~ 월일 원리이해 시간단축 정확성 종합의견 022 계획준수 학습기간 월일 ~ 월일 원리이해 시간단축 정확성 종합의견 023 계획준수 학습기간 월일 ~ 월일 원리이해 시간단축 정확성 종합의견 024 계획준수 학습기간 월일 ~ 월일 원리이해 시간단속 정확성

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

KNK_C_05_Pointers_Arrays_structures_summary_v02

KNK_C_05_Pointers_Arrays_structures_summary_v02 Pointers and Arrays Structures adopted from KNK C Programming : A Modern Approach 요약 2 Pointers and Arrays 3 배열의주소 #include int main(){ int c[] = {1, 2, 3, 4}; printf("c\t%p\n", c); printf("&c\t%p\n",

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 C 프로그래밍프로젝트 Chap 13. 포인터와배열! 함께이해하기 2013.10.02. 오병우 컴퓨터공학과 13-1 포인터와배열의관계 Programming in C, 정재은저, 사이텍미디어. 9 장참조 ( 교재의 13-1 은읽지말것 ) 배열이름의정체 배열이름은 Compile 시의 Symbol 로서첫번째요소의주소값을나타낸다. Symbol 로서컴파일시에만유효함 실행시에는메모리에잡히지않음

More information

PowerPoint Presentation

PowerPoint Presentation #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 변수와상수의개념에대해알아본다.

More information