논리회로설계 3 장 성공회대학교 IT 융합학부 1

Size: px
Start display at page:

Download "논리회로설계 3 장 성공회대학교 IT 융합학부 1"

Transcription

1 논리회로설계 3 장 성공회대학교 IT 융합학부 1

2 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한 2진신호를출력 2진신호 SW의 ON/OFF - 전압의높 낮음 : High ( 1 ), Low ( 0 ) 디지털논리 NOT, ND, OR 회로 기본회로 NND, NOR OR NOR 위의기본회로를조합 2

3 3.1 NOT 회로 논리회로를사용하여 2 진논리를취급할때 - 신호전압이높은지낮은지를판단할필요가있다 논리회로가입력전압레벨에따른출력전압레벨의높낮이로표시되기때문 - 일반적표현 : 전압레벨이높을때 : H (High) 전압레벨이낮을때 : L (Low) 논리레벨을결정하는방법 (1) 정 (+) 의논리 : 높은전압 : 1 낮은전압 : 0 (2) 부 (-) 의논리 : 높은전압 : 0 낮은전압 : 1 게이트 (Gate) 기본논리연산을수행하는회로 3

4 NOT 회로 (INVERTER 회로 ) - 반전 or 보수의기본논리기능을수행하는회로 - 어떤논리가주어졌을때그반대의논리로변환하는회로 V SW off: 0 on: 1 불 off: 0 on: 1 Timing chart 그림 3-2 NOT 논리기호 그림 3-1 NOT 회로도 Input H L H Output H L 입력 출력 변수 변수 그림 3-3 NOT 회로의동작파형 표 3-1 NOT 진리표 Ex) NOT 회로를이용한 1 의보수회로 NOT 회로의논리식 : 혹은

5 ND 회로 3.2 ND 회로 - 2개이상의입력단자와 1개의출력단자로구성 - 논리연산 : 모든입력이논리 1인경우만출력이 1 하나라도논리 0인경우는출력이 0 V SW off: 0 on: 1 불 off: 0 on: 1 그림 3-5 ND 논리기호 그림 3-4 ND 회로도 NOT 회로의논리식 :,, 입력 출력 변수 변수 변수 표 3-4 ND 진리표 Timing chart Input Input Output 그림 3-6 NOT 회로의동작파형 7

6 OR 회로 3.3 OR 회로 - 2개이상의입력단자와 1개의출력단자로구성 - 논리연산 : 입력중하나라도논리 1 인경우 : 출력 1 입력이모두 0 인경우 : 출력 0 V 그림 3-8 OR 논리기호 그림 3-7 OR 회로도 OR 회로의논리식 : 입력 출력 변수 변수 변수 Timing chart 표 3-3 OR 진리표 Input Input Output 그림 3-9 OR 회로의동작파형 9

7 NND 회로 3.4 NND 회로 - NOT-ND 의약자 ND회로의결과에 NOT을접속한것과같다 - 2개이상의입력단자와 1개의출력단자로구성 - 논리연산 : 모든입력이논리 1 인경우만 : 출력 0 입력중하나라도 0 인경우 : 출력 1 그림 3-10 NND 논리기호 NND 회로의논리식 :,, 입력 출력 변수 변수 변수 Timing chart 표 3-4 NND 진리표 Input Input Output 그림 3-9 OR 회로의동작파형 11

8 NOR 회로 3.5 NOR 회로 - 2개이상의입력단자와 1개의출력단자로구성 - 논리연산 : 모든입력이논리 0 인경우만 : 출력 1 입력중하나라도 1 인경우 : 출력 0 OR회로의결과에 NOT회로를접속한것과같다 그림 3-12 NOR 논리기호 NOR 회로의논리식 : 입력 출력 변수 변수 변수 Timing chart 표 3-5 NOR 진리표 Input Input Output 그림 3-13 NOR 회로의동작파형 13

9 3.6 OR 회로 OR 회로 (Exclusive OR) - 2개이상의입력단자와 1개의출력단자로구성 - 논리연산 : 두입력이같은경우에는 : 출력 0 서로다른경우 : 출력 1 -불일치회로 많은응용분야에서중요한역할을하기때문에기본논리소자로취급 그림 3-14 OR 논리기호 그림 3-15 기본논리소자에의한 OR 회로 입력 출력 OR 회로의논리식 :, 변수 변수 변수 표 3-6 OR 진리표 Timing chart Input Input Output 그림 3-16 OR 회로의동작파형 15

10 3.7 NOR 회로 NOR 회로 (Exclusive NOR) - 2개이상의입력단자와 1개의출력단자로구성 - 논리연산 : 두입력이같은경우에는 : 출력 1 서로다른경우 : 출력 0 - 일치회로 OR 의부정에해당 그림 3-17 NOR 논리기호 그림 3-18 기본논리소자에의한 NOR 회로 입력 출력 NOR 회로의논리식 :,, 변수 변수 변수 Timing chart 표 3-7 NOR 진리표 Input Input Output 그림 3-19 NOR 회로의동작파형 17

11 3.8 집적회로 디지털 IC (Intergrated circuit) - 바이폴러형 (ipolar type) - 유니폴러형 (Unipolar type) 바이폴러형 - 동작속도가빠르다 집적도가낮다 (1) DTL (Diode and Transistor Logic) 형 - 초기에개발된 IC - 다이오드와트랜지스터로구성 - 구형 ( 현재사용안함 ) (2) TTL (Transistor and Transistor Logic) 형 - 트랜지스터로만구성 - 동작속도가빠르다. (3) ECL (Emitter Coupled Logic) 형 - 빠른스위칭속도를가짐 MHz 이상의고주파에서도동작 ( 장점 ) - 소비전력이크고 (-) 전원전압을필요 ( 단점 ) - 복잡한기능을가지는회로, 특수목적용회로에많이사용 18

12 유니폴라형 (1) CMOS (Complementary Metal Oxide Semiconductor) 형 - 소비전력이적다 ( 계산기, 디지털시계등에사용 ) (2) NMOS (N channel Metal Oxide Semiconductor) 형 - 회로구성이간단 - 고집적화가가능 - 초소형컴퓨터에사용 디지털 IC 는그룹별로개발 - 각그룹에속한 IC 들 Family Ex) Texas Instruments (TI) 에서개발한 IC 패밀리 SN54 시리즈 SN74 시리즈 19

13 구분 바이폴라 유니폴라 T T L 표 3. 8 대표적인디지털 IC 의종류 종류설명대표적인패밀리 DTL Diode and Transistor Logic 거의사용하지않음 표준 TTL Transistor and Transistor Logic SN54, SN74 저전력 Low Power TTL SN54L, SN74L 고속도 High Speed TTL SN54H, SN74H 쇼트키 Schottky arrier Diode TTL SN54S, SN74S 저전력쇼트키 Low power Schottky. D. TTL SN54LS, SN74LS 어드밴스드쇼트키 dvanced Schottky. D. TTL SN54S, SN74S 저전력어드밴스드쇼트키 dvanced Low Power Schottky. D. TTL SN54LS, SN74LS ECL Emitter Coupled Logic ECL I 2 L PMOS NMOS Integrated Injection Logic P channel MOS N channel MOS CMOS Complementary MOS CD4000, MC14500 준고속 CMOS High Speed MOS TC40H, TC74HC 20

14 디지털 IC 의명명법 (TI 사 ) [ SN 74 LS 04 J ] Walter Schottky : 금속과반도체를접합시키면정류작용을하는것을이론적으로설명한사람. 1 회사고유번호 SN : TI 사 MC : Motolora 사 F : Fair Child 사 HD : Hitachi 사 TD : Toshiba 사 μpd : NEC 사 2 동작온도범위 74 : 0 ~ 70 ( 민간용 ) 54 : -55 ~125 ( 군사용 ) 3 TTL 의분류 LS : 저전력쇼트기 Low power Shottky TTL L : 저전력 Low power TTL H : 고속도 High speed TTL S : 쇼트키 Schottky barrier diode TTL 4 기능을표시하는연속번호 (0~600) 5 특성개선을표시 ( 나 는개량형 ) 6 패키지의형태 J : 세라믹 DIP (Dual Inline Package) N : 플라스틱 DIP W : 세라믹평면형 T : 금속평면형 21

15 Ex) 74 LS00 전원인가 4 개의 2 입력 NND 회로내장 Vcc SN 7400 DIP IC GND 하나만논리 0 입력 (0 V) 논리 1 출력 (5 V) 그림 LS00 의핀배치 접지 22

16 일반적인 IC 의논리값에대한허용전압 표 3. 9 논리값과입 출력허용전압 기호표시 논리값 TTL CMOS 입력전압출력전압입력전압출력전압 L O 0 ~ 0.8V 0 ~ 0.4V 0 ~ 1.5V 0 ~ 0.5V H 1 2 ~ 5V 2.4V ~ 5V 3.5 ~ 5V 4.95 ~ 5V 논리 1 (5V) 논리 0 (0V) TTL 의입력전압허용범위 5V 2V 0.8V 0V TTL 의출력전압허용범위 5V 2.4V 0.4V 0V 23

17 일반적인 IC 의논리값에대한허용전압 표 3. 9 논리값과입 출력허용전압 기호표시 논리값 TTL CMOS 입력전압출력전압입력전압출력전압 L O 0 ~ 0.8V 0 ~ 0.4V 0 ~ 1.5V 0 ~ 0.5V H 1 2 ~ 5V 2.4V ~ 5V 3.5 ~ 5V 4.95 ~ 5V CMOS 의입력전압허용범위 CMOS 의출력전압허용범위 논리 1 (5V) 5V 3.5V 5V 4.95V 논리 0 (0V) 1.5V 0V 0.5V 0V 24

18 기본논리게이트 NOT 게이트 : SN 7404 ND 게이트 : SN 7408 OR 게이트 : SN 7432 NND 게이트 : SN 7400 NOR 게이트 : SN 7402 OR 게이트 : SN 7486 NOR 게이트 : SN 기본적인논리회로를조합하면다양한목적의논리함수를만들수있다. IC 회로요약 [ 표 3-10] 25

19 논리회로의구현 -기본적인논리회로들을조합함 2개이상의입력, 하나의출력여러개의입력, 여러개의출력 함수구현가능 ex) 논리함수 C 3개의입력 (,,C) 1 개의출력 ND 회로의조합으로구현가능 C 26

20 ex) 논리함수 C 3 개의입력 (,,C) 1 개의출력 NOT, ND, OR 회로의조합으로구현가능 3 개의입력을가지므로 2 3 = 8 개의출력이표시됨 C _ C + + C C 그림 3-21 함수 C 의회로도 NOT ⅹ 1 NT ⅹ 3 OR ⅹ 표 3-11 함수 의진리표 29

21 Timing chart C

22 Timing chart C

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

(p47~53)SR

(p47~53)SR 2014.8 Semiconductor Network 47 48 Semiconductor Network 2014.8 2014.8 Semiconductor Network 49 50 Semiconductor Network 2014.8 2014.8 Semiconductor Network 51 52 Semiconductor Network 2014.8 SN 2014.8

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 Digital Logic Circuits CHAPTER 3 논리게이트 CONTENTS 3. 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 CHAPTER 3 논리게이트 디지털시스템은트랜지스터 (transistor) 를이용하여구현되는논리게이트들에의해구성된다.

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

03 ¸ñÂ÷

03 ¸ñÂ÷ 2010 I 04 I April Family i n t e r v i e w 004 052 008 058 065 076 080 084 2010 + 04 + April 088 092 094 096 098 4 2010 + April 5 6 2010 + April 7 letter 01 letter 02 letter 03 letter 04 letter 05 letter

More information

Microsoft PowerPoint - ch25ysk.pptx

Microsoft PowerPoint - ch25ysk.pptx Dynamic Analog ircuits (h. 5) 김영석 충북대학교전자정보대학 0.3.. Email: kimys@cbu.ac.kr 전자정보대학김영석 5- ontents 5. The MOSFET Switch 5. Fully Differential ircuits 5.3 Switched-apacitor ircuit 전자정보대학김영석 5- 5. The MOSFET

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

Microsoft PowerPoint - dc_ch2 [호환 모드]

Microsoft PowerPoint - dc_ch2 [호환 모드] Chapter 2 Boolean Algebra and Logic Circuits Chapter 2 Boolean Algebra and Logic Circuits 2.1 Boolean Algebra 2.1.1 1 Definition of Boolean Algebra 2.1.2 Fundamental Theorems 2.1.3 Switching Algebra 2.1.4

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Heinrich Rudolf Hertz (1857 1894) proved the existence of the electromagnetic waves theorized by James Clerk Maxwell's electromagnetic theory of light. Guglielmo Marconi (1874 1937) 1909 Nobel Prize in

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

제 31회 전국 고교생 문예백일장 산문 부문 심사평.hwp

제 31회 전국 고교생 문예백일장 산문 부문 심사평.hwp 제 31회 전국 고교생 문예백일장 산문 부문 심사평 *당선자 : 장원-울산효정고등학교 이예슬 차상-수리고등학교 전하영 차하-안양예술고등학교 이본느 가작-은평고등학교 강보미 가작-고양예술고등학교 강보민 배우고( 知 ), 좋아하고( 好 ), 즐기며( 樂 ) 쌓아가는 삶의 피라미드! 단국대 제 31회 전국고교생 백일장 산문부 심사위원들의 가장 큰 아쉬움은 글제 삼각

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

105È£4fš

105È£4fš 의 자선단체들이 사랑과 자비를 베푼 덕택에 국제 사회에서 훠모사가 존경받는 위치에 섰으며 국가간 에 상호우애를 다지는 데 큰 기여를 했다고 치하했 다. 칭하이 무상사 국제협회는 구호물자를 터키 지 터키 지진 피해자들을 위한 구호물자 전달식 진 피해자들에게 전달하는데 협조해 준 중국 항공의 훠모사 항공화물 센터 매니저인 제임스 류 씨, 골든 파운데이션 여행사의

More information

Microsoft PowerPoint - Chap.11(완성)

Microsoft PowerPoint - Chap.11(완성) Digital Fundamentals Tenth Edition Floyd Chapter 11 2009 Pearson Education, Upper 2008 Pearson Saddle River, Education NJ 07458. All Rights Reserved 11 장. 집적회로기술 학습목표 데이터시트에제시된파라미터 ( 공급전압, 논리레벨, 잡음여유,

More information

Microsoft PowerPoint - 제05장.ppt [호환 모드]

Microsoft PowerPoint - 제05장.ppt [호환 모드] Chapter 05 부울대수 1. 부울대수 부울대수 (boolean algebra) 를근거로한스위칭이론 (switching theory) 은논리설계에있어서이론적인근거가되는수학적체계. 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 False Off Low No Open Switch 논리 1 True On High Yes

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

PowerPoint Presentation

PowerPoint Presentation Korea Tech Conference 2005 년 5 월 14 일, 서울 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 1 Parallel port 를이용한가전제품 제어 임효준 LG 전자 imhyo@lge.com 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 2

More information

SW 2015. 02 5-1 89

SW 2015. 02 5-1 89 SW 2015. 02 88 SW 2015. 02 5-1 89 SW 2015. 02 5-2 5-3 90 SW 2015. 02 5-4 91 SW 2015. 02 5-5 5-6 92 5-7 SW 2015. 02 93 SW 2015. 02 5-8 5-1 94 SW 2015. 02 5-9 95 SW 2015. 02 5-10 5-2 96 SW 2015. 02 5-11

More information

1 SW 2015. 02 26

1 SW 2015. 02 26 02 1 SW 2015. 02 26 2-1 SW 2015. 02 27 SW 2015. 02 2-1 28 SW 2015. 02 29 2 SW 2015. 02 2-2 30 2-2 SW 2015. 02 31 SW 2015. 02 32 2-3 SW 2015. 02 33 3 SW 2015. 02 2-3 34 2-4 SW 2015. 02 35 4 SW 2015. 02

More information

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 -

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Chapter 2 메모리와프로그램논리장치 반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Ø 접근방법에의한분류 v RAM(Random Access Memory) : 접근시간이어느위치나동일하게걸리는메모리형태

More information

5 장부울대수

5 장부울대수 5 장부울대수 5.1 부울대수 ã 부울대수 (boolen lgebr) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No Open Swith 논리 1 True On High Yes Closed

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

사회 17 2016년 2월 21일 (일요일) 제460호 띠별로보는주간운세 주간운세 주간운세 주간운세 주간운세 주간운세 2016년 2월 21일 - 2월 27일 운세 제공: 지윤철학원 (www.askjiyun.com) 미리 알려주는 전조가 子 운수: 모든 일에는 그것을 미리 알려주는 전조가 반드시 있습니다. 다만 우리가 알아차 리지 못하고 그냥 넘어갈 뿐입니다.

More information

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA>

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA> 전력전자 로봇 자동화공학부 www.dongyang.ac.kr 전기회로기초 - 학습내용 교류전압전류의표현방법 전력및역률 계측기사용법 전력용반도체소자및동작원리 전기회로기초 - 계측기사용법 함수발생기 함수발생기 (function generator) 또는신호발생기 (signal generator) 는디지털회로또는아날로그전자회로에정현파, 구형파, 삼각파등의신호를공급하는실험장비

More information

Slide 1

Slide 1 Linear Technology Corporation Power Seminar LDO 2016. 10. 12. LTC Korea 영업강전도부장 010-8168-6852 jdkang@linear.com 기술박종만차장 010-2390-2843 jmpark@linear.com LDO 목차 1) LDO feedback 동작원리, 2) LDO 종류 3) LDO 특성

More information

1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (H

1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (H 신제품 안내 [HD-SDI 전송장치] 1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (HD : / Full HD: 130m) 다양한 방식으로

More information

untitled

untitled 200 180 ( ) () 1,060 1,040 160 140 120 / () 1,020 1,000 980 100 960 80 940 60 920 2005.1 2005.2 2005.3 2005.4 2006.1 2006.2 2006.3 2006.4 2007.1 2007.2 2007.3 150000 () (% ) 5.5 100000 CD () 5.4 50000

More information

<30352D30312D3120BFB5B9AEB0E8BEE0C0C720C0CCC7D82E687770>

<30352D30312D3120BFB5B9AEB0E8BEE0C0C720C0CCC7D82E687770> IT법률컨설팅 강의교안 (상) 영문계약의 이해 소프트웨어 자산관리기법 영문계약의 이해 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 -

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 1, Oct 서론,.,., IC. IC, IC. EM Immunity, Electromagneti

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 1, Oct 서론,.,., IC. IC, IC. EM Immunity, Electromagneti THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 216 Oct.; 27(1), 917 925. http://dx.doi.org/1.5515/kjkiees.216.27.1.917 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) CMOS DTMOS

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

전자회로-07장

전자회로-07장 Chapter 07 7.1 BJT 7.2 MOSFET 7.3 7.4 7.5 7.6 4 6 IC IC IC IC BJT MOSFET IC IC IC IC 7 1 differential amplifier IC integrated circuit IC BJT MOSFET emitter coupled differential pair source coupled differential

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

2001/1학기 공학 물리 중간고사

2001/1학기 공학 물리 중간고사 2011/2 학기물리전자기말고사담당교수 : 김삼동 성명 학번 분반 e = 1.6 10-19 C, ε ox = 3.9, ε Si = 11.7,ε o = 8.85 10-14 F/cm 2, kt (300 K) = 0.0259 ev,, n i (Si, 300 K) =1.5x10 10 /cm 3 1. PN diode의 I-V 특성은아래의그림과같은거동을보인 (I) 다.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

FTTH 기술발표

FTTH 기술발표 2 3 xdsl /UTP FTTH / 2002 2005 2010 2 Mbps 6 Mbps 100Mbps * 10 Mbps 45Mbps 155Mbps FTTO / FTTD / Digital (DBS) 53Mbps/4km LMDS ADSL : Asymmetric Digital Subscriber Line HDSL : High speed Digital Subscriber

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No

5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No 5 장부울대수 5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No Open Swith 논리 1 True On High Yes Closed swith

More information

Microsoft PowerPoint - ch07ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch07ysk2012.ppt [호환 모드] 전자회로 Ch7 CMOS Aplifiers 김영석 충북대학교전자정보대학 202.3. Eail: kiys@cbu.ac.kr k Ch7- 7. General Considerations 7.2 Coon-Source Stae Ch7 CMOS Aplifiers 7.3 Coon-Gate Stae 7.4 Source Follower 7.5 Suary and Additional

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류 Section 03 트랜지스터를이용한스위칭동작 15/45 스위치 ON/OFF 의전기적특성 트랜지스터와기계적인스위치를이용한 LED ON/OFF 동작비교 LED 를켜기위한회로 ([ 그림 5-6]) Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스»

More information

Microsoft PowerPoint - Chapter4&6(강의용)

Microsoft PowerPoint - Chapter4&6(강의용) h. 4 반도체소자 반도체 : 상온에서도체와부도체의중간쯤에해당하는전기전도도를가지는물질 불순물첨가 (doping) 또는결함으로인해서전기전도도가매우크게변함. 주기율표에서 4 족, 3-5 족, 2-6 족화합물 (Si, Ge, GaAs, AlAs etc. ) c = 6.708 Å 1 원자가규칙적정렬을하는고체에서전자의상태 : 에너지밴드 E U E g a E V a 0

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators Crystal-Controlled Oscillators 수정발진기 (Crystal-Controlled Oscillators): 안정되고정확한발진기 압전효과 (Piezoelectric effects): 기계적충격에의해서진동하는주파수에서전압을발생 교류전압이인가하면주파수로진동 압전효과물질 : 수정 - 매우높은 Q 값 ( 수천 )

More information

BS-K1217-M□□-3012_ProductGuide_KR_PDF

BS-K1217-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN System [ASLINK ] S-K1217-M-3012..,.,....,,. S-K1217-M08-3012 S-K1217-M12-3012 S-K1217-M18-3012 S-K1217-M30-3012 2() () / 1 2 1 DC..,,.,,,..,....... ' ARW-04 (Ver.04-1.01 ),

More information

Microsoft PowerPoint - 제12장.ppt [호환 모드]

Microsoft PowerPoint - 제12장.ppt [호환 모드] Chapter 2 메모리와프로그램논리장치 . 메모리의구조. 메모리개요 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되는데이터의통로. MAR 입력주소 (n 비트 ) 메모리 2

More information

제3장 21세기 제조업의 특징

제3장 21세기 제조업의 특징 제3장 21세기 제조업의 특징 김성혁 (전국금속노동조합 노동연구원) 제1절 수출의존형 성장의 한계 o '교역조건이 악화'되면서 실질무역손실이 발생 - 한국은 중고급 기술과 단가인하 효과로 세계시장에서 수출 경쟁력을 유지해 왔음. - 그러나 40년간 지속해 온 수출 단가인하 및 고환율 정책으로 인하여 교역조건 악화 가 누적되어, 2008년 임계치를 넘으면서

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

歯CCTV.PDF

歯CCTV.PDF CCTV : CCTVCCTV (ITV) Vision Hi-tech * TV A (Closed Circuit System) CCTV, ITV B (Open Circuit System) TV (MBC, KBS, SBS ) CCTV? CCTV,, 3 3,,,,,, CCTV 1) (Vision Hi-Tech ) TV,,, (Bracket), (Housing),,?,?

More information

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770>

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 12, No. 6 pp. 2729-2734, 2011 DOI : 10.5762/KAIS.2011.12.6.2729 DC 정합회로를갖는능동 Replica LDO 레귤레이터 유인호 1, 방준호 1*, 유재영 2 1 전북대학교 IT 응용시스템공학과

More information

농어촌여름휴가페스티벌(1-112)

농어촌여름휴가페스티벌(1-112) 좋아유~보은!여러가지 체험으로자연을누려보세요 보은군 농촌체험산업협의회 맑은물 맑은공기비단강숲마을 영동군 비단강 숲마을 보은군은 전국 어디서나 찾아오기 쉬우며, 비단강 숲마을은 자연 그대로가 마을 곳곳에 녹아 잘 보존된 깨끗한 자연환경과 천년의 신비를 간직 흐르는 곳이다. 푸르른 들녘과 알록달록 익어 가는 과일, 한 속리산과 법주사, 장안면 아흔아홉간집, 서원계

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

제품 소개 및 특징 제품 사용 시 주의사항 본 제품은 차량 사고 발생시의 영상과 음성을 저장하여 사고 원인을 분석하는데 도 움을 주는 차량용 영상 기록 장치입니다.! 본 제품은 개인적인 용도로만 사용하여야 하며, 사용설명서에 명시된 사 항 외에 다른 목적으로 제품을 사

제품 소개 및 특징 제품 사용 시 주의사항 본 제품은 차량 사고 발생시의 영상과 음성을 저장하여 사고 원인을 분석하는데 도 움을 주는 차량용 영상 기록 장치입니다.! 본 제품은 개인적인 용도로만 사용하여야 하며, 사용설명서에 명시된 사 항 외에 다른 목적으로 제품을 사 CONTENTS 제품소개 및 특징 3 제품사용 시 주의사항 4 기본 구성품 7 각부 명칭 및 기능 8 제품 설치 0 제품 음성 안내 제품 LED 동작 2 저장 모드별 설명 3 LCD 화면안내 5 주차감시 모드 실행 27 PC 연결/분리 및 데이터 백업 28 전용 뷰어 설치 및 실행 29 전용 뷰어 기능 설명 34 업그레이드 방법 39 SD카드 관리요령 40

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

Microsoft PowerPoint - Regulator IC Introduction_ [읽기 전용]

Microsoft PowerPoint - Regulator IC Introduction_ [읽기 전용] Regulator IC KEC [PW/IC] 상품기획부 2009. 05. 18. [PW / IC] Strategic Marketing Dept. 1/371 목차 Power Management IC Ⅰ. Linear Regulator 1) 동작원리 2) 특성비교 3) Bipolar vs. MOS 비교 4) CMOS LDO Ⅱ. Switching Regulator

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

08 조영아.hwp

08 조영아.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 6370. http://dx.doi.org/10.5515/kjkiees.2015.26.1.63 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) 900 MHz

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

6장 부울 함수의 간소화

6장 부울 함수의 간소화 6 장부울함수의간소화 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 논리회로간소화혹은최적화 부울식의간소화 : term을감소하거나 literal를감소한다. term은게이트의수, literal은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법 논리회로를부울함수로표현한후부울함수를간소화

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

온습도 판넬미터(JTH-05) 사양서V1.0

온습도 판넬미터(JTH-05)  사양서V1.0 온습도 조절기 Model:JTH-05 1. 제품 사양. [제품 구분] JTH-05A(입력 전원 AC), JTH-05D(입력 전원 DC) [전원 사양] JTH-05A 입력 전압 출력 전원 소비 전력 JTH-05D AC 90~240V DC 10~36V 12Vdc / Max.170mA Max.2W [본체 사이즈] ~ 온/습도 범위(본체): 사용 [0 ~ 50, 85%RH

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information