DBPIA-NURIMEDIA

Size: px
Start display at page:

Download "DBPIA-NURIMEDIA"

Transcription

1 2005 년 7 월전자공학회논문지제 42 권 SD 제 7 호 27 논문 SD-7-5 파이프라인재귀적인기술을이용한면적효율적인 Reed-Solomon 복호기의설계 (Design of an Area-Efficient Reed-Solomon Decoder using Pipelined Recursive Technique) 이한호 * (Hanho Lee ) 요 약 본논문은무선및초고속광통신등다양한통신시스템에서사용되는고속 Reed-Solomon (RS) 복호기의하드웨어면적을줄인새로운구조를소개한다. 특히 folding 기술을이용하여높은처리율 (throughput) 과적은하드웨어복잡도 (hardware complexity) 를가지고있는새로운 PrME (Pipelined recursive Modified Euclidean) 구조를제안한다. 제안된 PrME 구조는일반적으로사용되는 systolic-array 그리고완전한병렬 (fully-parallel) 구조와비교하여하드웨어복잡도를약 80% 정도줄일수있다. 제안된 RS 복호기는 1.2 V 의공급전압과 μm CMOS 기술을사용하여설계하고구현하였는데, 총 24,600 개의게이트수, 5-Gbit/s 의데이터처리율과클락주파수 625 MHz 에서동작함을보여준다. 제안된면적효율적인 PrME 구조에기반한 RS 복호기는초고속광통신뿐만아니라무선통신을위한차세대 FEC 구조등에바로적용될수있을것이다. Abstract This paper presents an area-efficient architecture to implement the high-speed Reed-Solomon(RS) decoder, which is used in a variety of communication systems such as wireless and very high-speed optical communications. We present the new pipelined-recursive Modified Euclidean(PrME) architecture to achieve high-throughput rate and reducing hardware-complexity using folding technique. The proposed pipelined recursive architecture can reduce the hardware complexity about 80% compared to the conventional systolic-array and fully-parallel architecture. The proposed RS decoder has been designed and implemented with the μm CMOS technology in a supply voltage of 1.2 V. The result show that total number of gate is 393 K and it has a data processing rate of 5 Gbits/s at clock frequency of 625 MHz. The proposed area-efficient architecture can be readily applied to the next generation FEC devices for high-speed optical communications as well as wireless communications. Keywords: error correction, area-efficient, Reed-Solomon coding, pipelined, recursive. Ⅰ. 서론 리드솔로몬 (Reed-Solomon (RS)) 코드는마그네틱, * 정회원, 인하대학교정보통신공학부 (School of Information & Communication Engineering, Inha University) 본연구는대학 IT연구센터 ( 인하 UWB-ITRC) 육성지원사업의연구결과로수행되었음. 접수일자 : 2005년3월16일, 수정완료일 : 2005년7월4일 광저장매체, 유선및위성통신등다양한응용분야에널리쓰이는 Forward Error Correction (FEC) 기술이다. 8 바이트오류정정 (error correction) RS(255,239) 코드는해저광섬유시스템을위해국제통신연합 (ITU) 에의해채택되었다. [1] 현재가장일반적으로사용되는 RS 복호기 (decoder) 구조는오류 를감지하고정정하는세개의주요한부분으로구성되어있다. 첫번째부분은 Syndrome Computation(SC) 블록이다. SC블록에서 (465)

2 28 파이프라인재귀적인기술을이용한면적효율적인 Reed-Solomon 복호기의설계이한호 는신드롬다항식 (syndrome polynomial) 를발생시키고, 수신된코드워드 (code word) 의오류패턴을표현한다. 다항식 는 RS 복호기의두번째부분인 Key-Equation Solver(KES) 블록에서사용되어진다. KES블록에서는키등식 (key equation) 을해결하기위해 Euclidean 알고리즘 (EA), modified Euclidean 알고리즘 (MEA), 또는 Berlekamp-Massey 알고리즘 (BMA) 등이오류위치다항식 (error-locator polynomial) 와오류값다항식 (error-value polynomial) 을위하여사용될수있다. [2] 와 의두다항식은 Chien Search 그리고 Forney 알고리즘을이용하여오류의위치에대응하는오류들의크기값을구하기위하여사용된다. 이블록의출력은복호기로부터읽혀져나온오류정정되어수신된코드워드이다. 추가로복호기가오류를감지하고정정하는과정을실행하는동안 FIFO 메모리가버퍼 (buffer) 로사용된다. FIFO 메모리의깊이 (depth) 는복호기의총지연성 (latency) 과관련이있다. 광통신네트워크시스템구축을위한초고속데이터전송기술은높은데이터율을얻기위한요구와맞물려초고속 FEC 구조의구현을필요로하게되었다. Dense Wavelength Division Multiplexing (DWDM) 의출현과함께광전송시스템은지난십년간급속도로발전되어왔으며 8바이트오류정정능력에기인한 RS(255,239) 코드가고속 (40-Gbits/s 이상 ) 광전송시스템에일반적으로사용되고있다. 그러나광전송시스템이급속도로발전함에따라 40-Gbits/s 이상의고속데이터전송율을필요로하게되었고, 이에따라하드웨어복잡도와전력소모가매우큰현존하는대부분의 RS복호기는시스템레벨통합의어려움을가져왔다. 본논문에서는그림 1에서보여지는바와같이하드웨어복잡도와클락주파수가상당히향상된 Pipelined recursive ME(PrME) 구조에기인한면적효율성과고속처리를위한 RS(255,239) 복호기의종합적인구조를제시한다. Ⅱ. Reed-Solomon 복호기구조 1. Syndrome Computation 블록 와 를각각코드워드다항식그리고수신된다항식이라고두자. 전송된다항식은전송도중채 그림 1. PrME구조를이용한 RS 복호기 Fig. 1. Reed-Solomon decoder using PrME architecture. 널잡음 (channel noise) 에의해손상되어질수있다. 그러므로수신된다항식은다음과같이표현되어진다. (1) 이식에서 는오류다항식이다. 복호 (decoding) 알고리즘의첫번째단계는정정가능한오류들을정정할수있는 2t syndrome, ( ) 를계산하는것이다. (t는 RS 코드에의해정정될수있는최대개수의오류 ) 만약모든 2t syndrome, ( ) 가 0이라는것은오류가발생하지않았다는것이며수신된다항식 가 로서유효한코드워드라는것을의미한다. 신드롬다항식 는다음과같이정의될수있다., (2) 여기서 는원시다항식 (primitive polynomial), t=8, 의근 (root) 이고 에서의원시원소 (primitive element) 이다. RS(255,239) 코드에서 는가능한오류위치를의미한다. 그림 2에보여진 syndrome computation(sc) 블록은잡음이있는채널을통해전송된심벌 (Symbol) 들을입력으로받아들이고, 이심벌값들을다항식계수 (coefficient) 로간주한다. 데이터블록에포함된심벌들이선택된 RS 코드의데이터블록에대하여유효한코 (466)

3 2005 년 7 월전자공학회논문지제 42 권 SD 제 7 호 29 S i-1 R n D 0 1 D S i S3 S4 S2 S5 S1 S6 S0 S7 0 전력소모를줄이기위하여 ME 구조의하드웨어복잡도를최소화하는것이다. 작은하드웨어면적을가지고있는 KES블록을설계하기위하여, folding 기술을이용 α i+1 S11 S12 S10 S13 S9 S14 S8 S15 R n S 0, S 1,...,S 14, S 15 한 PrME구조를이용하여하드웨어복잡도를줄이고클락주파수를향상시킬수있다. 제안한 PrME 구조는 Ⅲ장에서자세하게설명하고자한다. (a) (b) 그림 2. (a) 신드롬셀, (b) 신드롬연산블록 Fig. 2. (a) Syndrome cell, (b) Syndrome computation block. 드워드를형성하고있는지결정한다. 이것은 2t 신드롬값들에대한다항식을평가해서그값이 0인지아닌지감지한다. ( 즉감지된값이 0이면데이터블록이코드워드이고 0이아니면코드워드가아니다 ). 코드워드가아닌블록은채널잡음에의하여오류가발생한것이다. 그림 2(a) 에서보여지는바와같이한부분의신드롬은각사이클마다 와곱해지고수신된심벌과누적된다. 그림 2(b) 는 16개의신드롬셀 (syndrome cell) 들로구성된 SC블록을보여준다. 이블록은 n개의심벌구간안에서신드롬들이계산되어질수있도록한다. 신드롬심벌들 는직렬로 KES 블록으로 3. Chien Search, Forney 알고리즘및오류정정블록 ME알고리즘이수행된후, 오류위치다항식과오류값다항식이 double buffered 직렬-병렬 (serial-to-parallel) converter로전송된다. 이값들은 Chien Search 알고리즘블록에전달되고, 여기서오류위치다항식의근이계산된다. Forney 알고리즘블록과 Chien Search 알고리즘은병렬로동작하고각각의오류위치에대응하는오류들의크기를계산한다. 복호과정의마지막단계는오류들을정정하기위하여이진 XOR 연산을통해 FIFO buffered 입력코드워드에오류값들을합하는것이다. 에대한 degree t차오류위치다항식이,, 에의하여정의된다. 그러면이런다항 출력된다. 2. Key Equation Solver 블록신드롬다항식 는 KES블록에서키등식 를계산하기위하여사용된다. 이등식을풀기위해서오류위치다항식 와오류값다항식 를계산 한다. RS 복호에서의 KES 블록은 EA, ME 또는 BM 알고리즘들을이용하여구현할수있으며, division-free ME 그리고고속 ME 구조들이각각제안되었다. [3][5] 일반적인 ME 구조는 2t ( 정정가능한최대오류의 2 배수 ) 의 Processing Elements(PEs) 로구성되어있고 systolic-array 구조로연결되어있다. 일반적인 systolic-array ME구조의하드웨어크기는총 RS 복호기크기의약 60% 를차지한다. [3][5] 그러므로 RS 복호기설계에있어서중요한도전은 critical path delay와총 그림 3. (a) Chien search 셀, (b) Chien search 블록, (c) Forney 알고리즘및에러정정블록 Fig. 3. (a) Chien search cell, (b) Chien search block, (c) Forney algorithm and error correction block. (467)

4 30 파이프라인재귀적인기술을이용한면적효율적인 Reed-Solomon 복호기의설계이한호 식의근을구하는것은 RS 복호기의광범위한연산에의하여이루어진다. Chien Search 알고리즘은 상의 t차오류위치다항식 (t는정정가능한최대오류의 2배수 ) 의근을구하는데사용될수있다. 그러나 Chien Search 알고리즘은각각계수 와 의거듭제곱과의 곱셈연산을필요로한다 ( 는더이상약분될수없는 상의 t차다항식의근 ). 오류위치와오류값을계산하기위한 Chien Search 알고리즘과 Forney 알고리즘에대한설명은논문 [5] 에잘설명되어있다. 그림 3(b) 는 8개의 Chien Search 셀로구성된 Chien Search 블록의블록도를보여주고있다. Finite-field 덧셈기 (adder) 는그림 3(b) 에서보여지는바와같이두개의 Chien Search 셀의합의결과를다음번덧셈기로보낸다. 그림 3(c) 는 Forney 알고리즘과오류정정블록을보여주고있고여기서오류값을구한뒤심벌들을정정한다. Galois-field의나눗셈에대해서는제수 (divisor) 의역원 (inverse element) 이유도된후파이프라인 fully-parallel 곱셈기에의하여피제수 (dividend) 의원소와곱해진다. 에서의 non-zero 원소의역계산을위한직접적인방법은 field 원소들의역수를저장할수있는 8비트의 255워드들로이루어진간단한 look-up 테이블을사용하는것이다. 결과적으로 look-up 테이블은 static ROM에의하여실현되고, 파이프라인된곱셈기보다도더적은 path delay를가지고있다. 4. FIFO 메모리 Buffer 및 Control Logic 각각의오류값들이계산될때, 이에대응되는수신된심벌이 FIFO메모리로부터호출되며, FIFO 메모리는복호과정동안의완충역할을한다. 각각의오류값은정정된심벌을만들기위해단순히수신된심벌에합해진다. 오류가발생하지않은위치에서오류값들은 0이되므로합해져도이위치에서수신된다항식은바뀌지않는다. RS 복호기로수신된데이터들은연속적으로들어오므로제어기 (controller) 는각복호과정을위한제어신호를발생시키는것이필요하다. 제어기시스템의설계는주제어기 (master controller) 로전달되기위한특수한신호변경규약을갖는각각의부제어기 (local slave controller) 를구현함으로써이루어진다. 그림 4. Systolic-array ME 구조를사용한 RS 복호기의 타이밍도 Fig4. 4. Timing chart of RS decoder usign the systolic-array ME architecture. III. Pipelined Recursive Modified Euclidean 구조 이번장에서는면적효율성및고속처리 KES블록의구현을위한 folding 방법을이용한 pipelined recursive modified Euclidean(PrME) 구조가소개된다. 기존의 systolic-array ME 구조는 2t의처리요소들 (PEs) 로구성되어있고 systolic-array 구조로연결되어있다. [3]-[5] 이와같은 2t PEs의 systolic-array 구조는오류위치다항식과오류값다항식을계산하고, ME 알고리즘을연속적으로실행한다. ME알고리즘의 systolic-array 구조는 2t 주기의지연성을가지고있다. 그림 4는 systolic-array ME 구조를가지고있는 RS 복호기의타이밍도 (timing chart) 를보여주고있다. 그러나이방법은고속연산에서매우큰하드웨어비용을요구하므로향후공간비용 (space cost) 을대신한시간주기 (time cycle) 를이용한면적효율성구조를제안한다. systolic-array ME 구조에서한개의 PE를규칙적으로 16번사용하면이상적으로중첩된키등식을해결할수있는데이구조는단지 1/16의하드웨어비용만을필요로한다. 1. Modified Euclidean Algorithm ME 알고리즘은키등식 을계산함으로써오류위치다항식 와오류값다항식 을구하기위하여사용된다. 알고리즘을요약하면다음과같다. Input: S(x), x2t Initialization: (468)

5 2005 년 7 월전자공학회논문지제 42 권 SD 제 7 호 31 그림 5. 파이프라인재귀적인 modified Euclidean (PrME) architecture. Fig. 5. Pipelined recursive modified Euclidean (PrME) architecture. R 0 (x) = x 2t, Q 0 (x) = S(x), L 0 (x) = 0, U 0 (x) = 1; deg(r 0 (x)) = 2t, deg(q 0 (x)) = 2t 1 l 0 = deg(r 0 (x)) - deg(q 0 (x)); Index 'i' is initialized to 0; Index 'Step' is initialized to 1; Start Algorithm: while (Step 2t) do begin Step Step + 1 i i + 1; a i-1 leading coefficient of R i-1 (x) b i-1 leading coefficient of Q i-1 (x) if (deg(r i (x)) < t) begin R i (x) = R i (x); Q i (x) = Q i (x); L i (x) = L i (x); U i (x) = U i (x); Skip the following statements & stop the algorithm. end if (l i-1 0) begin R i (x) = [b i-1 R i-1 (x)] x li-1 [a i-1 Q i-1 (x)]; (1a) Q i (x) = Q i-1 (x); (2a) L i (x) = [b i-1 L i-1 (x)] x li-1 [a i-1 U i-1 (x)]; (3a) U i (x) = U i-1 (x); (4a) end else begin R i (x) = [a i-1 Q i-1 (x)] x li-1 [b i-1 R i-1 (x)]; (1b) Q i (x) = R i-1 (x); (2b) L i (x) = [a i-1 U i-1 (x)] x li-1 [b i-1 L i-1 (x)]; (3b) U i (x) = L i-1 (x); (4b) end l i-1 deg(r i-1 (x)) deg(q i-1 (x)); (5) end Output: (x), (x) (469)

6 32 파이프라인재귀적인기술을이용한면적효율적인 Reed-Solomon 복호기의설계이한호 i 번째반복에서 과 는 와 의각각의계수가되고, 알고리즘은, ( 은다항식의차수 ) 일때멈추게된다. 2. Pipelined Recursive Modified Euclidean 구조 Finite-field 원소의곱셈연산은 RS 복호기의 VLSI구현에서매우중요한역할을한다. 칩의복잡도와연산시간은 finite-field 곱셈기를어떻게구현하는가에많이의존한다. PrME 구조의구현에쓰이는 상의파이프라인 fully-parallel 곱셈기에대한설명은논문 [5] 에잘설명되어있으며, 이곱셈기구조는 critical path delay의상당한감소를제공한다. ME 알고리즘에서하나의신드롬다항식이하나의코드워드만큼의시간차를가지고계산되며, 그결과상당한부분의 systolic-array가유휴상태에있게된다. 이러한사실은데이터처리율의감소없이좀더효율적인설계가가능하다는것을의미한다. 그림 5는제안된 PrME 구조의블록도를보여주고있으며, pipelined Degree Computation (DC) unit, Polynomial Arithmetic (PA) unit, Parallel Degree Dection (PDD) unit 그리고 Shift-Registers(SRs) 들이재귀적인반복 (recursive loop) 으로연결되어있다. 이러한 PrME구조는오류위치다항식과오류값다항식을계산한다. 가. Degree Computation DC unit은다음의두가지주요기능을수행한다. 첫번째는 5비트비교기 (comparator) 를이용하여 두다항식의차수를비교하는것이다. 여기서등식 1(a,b) 와 2(a,b) 에서의 와, 그리고등식3(a,b) 와 4(a,b) 에서의 와 의다항식들이교환될필요가있는지를결정한다. 그래서제어회로 (control circuit) 는등식 (5) 에서처럼 를계산한다. 만약 이면신호 sw" 는 1 (high) 이되고, 그렇지않으면 0 (low) 가된다. DC unit에서의두번째기능은다음번 ME 연산을위해다항식 와 의차수를계산하는것이다. 이다항식차수값은각반복단계의마지막에등록되고다음반복단계까지 shift-register에상수로저장된다. PrME 구조에서높게파이프라인된한개의 PE가재귀적으로사용되기때문에두개의연 속적인반복사이의의존성을피하기위해서이러한 shift-register의사용은매우중요하다. 나. Polynomial Arithmetic PA unit은 의 finite-field 연산을수행하고각다항식의계수를연속으로 (serial) 생성하고 PA unit에보내진순서대로피드백 (feed-back) 되어입력된다. 첫번째반복에서병렬 -직렬(parallel-serial) converter는신드롬블록과 PrME 구조사이에서신드롬다항식을직렬화하기위해서사용된다. PA unit에서 start" 신호는다항식들의시작을알리기위해서사용된다. 다시말하면, "start" 신호는항상다항식 와 의 leading 계수 와 를정렬한다. ME알고리즘의첫번째단계에서 "start" 신호에의해각다항식의 leading 계수들이적절히유도되는것과같은방법으로, 뿐만아니라 "start" 신호도한 time unit 만큼지연된다. 신호 는 DC unit에서다항식 의유도된계수가 0인지아닌지를나타내기위해서발생된다. PA unit은 finite-field 곱셈과덧셈을처리한다. 하나의 PA unit은등식 (1)-(4) 를계산하기위해서 4 개의파이프라인 Galois-field 곱셈기와두개의 Galois-field 덧셈기그리고 6개의 MUX를가지고있다. ME알고리즘에서첫반복단계에서 는각각 로 는각각 0과 1로초기화된다. PA unit은파이프라인 fully-parallel 곱셈기를사용하고, 클락주파수의두드러진향상을제공하기위해 5 단의파이프라이닝단계 (pipelining stage) 를가지고있다. 각각의재귀적인반복단계를위하여 11단의 shiftregister 가각반복단계의출력된값을저장하기위해사용된다. 그러므로 PrME구조는총 16단의파이프라이닝레지스터 (register) 를 PA unit안에가지고있다. 다. Parallel Degree Detection DC unit에서다항식 또는 의차수는제어신호 에따라각반복단계마다 1씩감소한다. 그래서알고리즘을멈추기위한조건 또는 을만족하는지를감지하기위해각반복단 (470)

7 2005 년 7 월전자공학회논문지제 42 권 SD 제 7 호 33 계마다 stop-flag generation unit은 의현재차수값들을요구한다. PrME구조의각반복단계에서계산되는각다항식차수가다항식의실제차수와분명히다를수있다. 그러므로수신된코드워드의오류의수가 t보다작다면멈추기위한조건이감지되기전까지많은반복단계의초과계산을초래할수있다. 이러한상태는각반복단계에서다항식 또 는 의차수가적어도한번은감소하였다는가정하에 systolic-array ME구조로부터이어받은것이다. 그러나수신된코드워드에서오류의개수가 t보다작다면오류값과오류위치다항식은적은반복단계를거쳐서계산될수있을것이다. Systolic-array ME구조에는 2t PE unit이사용되고있기때문에알고리즘을완료하는데 2t의주기가필요하다. 그러나재귀적으로사용하는단일 PE unit을갖는 PrME 구조인경우에는알고리즘을완료하는데 n주기가걸린다. PrME구조에서전력소모를최소화하기위하여 stop 조건이만족될때알고리즘을멈추고불필요한신호들의 toggle을즉시중지시킴으로써저전력상태로블록을유지시키는것이중요하다. 그러므로현재상태에서두다항식 의차수를확인하기위해병렬로비교해보는것이필요하다. 이런방법을이용하면, 각반복단계의끝에서두다항식중하나의차수가 t이하로떨어지는지즉조건 또는 를만족하는지감지할수있다. 제안된 PDD구조는 stop" 신호를발생시키기위해두다항식 와 의차수를병렬로비교하고감지한다. PDD unit에는중요한네부분이있다. 첫부분에서는 shift-register를이용하여두다항식이직렬에서병렬로전환된다. 각반복단계의끝에서 다항식의차수를계산한 5비트값이 MUX의선택을위한값으로지정된다. 이 MUX들은두다항식 의계수를정렬하는데사용한다. 와 다항식의차수값 5 비트중에서하위 4 비트가 와 다항식의 MUX를지정하기위한값으로사용된다. 일단정렬이되면상위 8개의계수들이 0이아닌값에대하여감지되고이값들은서로비교된다. 만약두다항식의상위 8개의계수들이 0이라면하위8개의계수들이비교되고 stop" 신호가발생한다. stop" 신호는두번째단계를위한 PrME구조의모든레지스터의동기리셋 (reset) 신호로사용되고, 저전력상태의 PA와 DC unit에입력 표 1. KES블록에서의 Critical path delay와 latency의비교 Table 1. Comparison of critcial path delay and latency for KES blocks. Architecture Critical path delay Latenc y Proposed PrME 3T or2 +T xnor2 +T mux +T ff 2n+12 Systolic ME [5] 3T or2 +T xnor2 +T mux +T ff 10t Parallel ME [8] T mult +T add +T ff 2t+2 EA [6] T rom+t and2+2t mult+t add+2t mux2+t ff 2t RiBM [7] T mult+t add+t ff 2t 된다. 다항식 또는 의 차수가 t이하이면 인지조건을검사하고비교한다. 즉 이경우에는오류위치다항식 는 가되고, 오류값다항식 는 가된다. 다시말하면 이면 는, 그리고 는 가된다. 좀더신중한설계는 PDD unit안의 shift-register를중복사용하는대신에 PrME 구조안에이미저장되어있는 shift-register 로부터의값들을사용하여구현하는것이다. 그러므로 PDD unit 를이용하여저전력상태로유지시켜줌으로써저전력소비에있어서더많은이득을얻을수있다. PrME구조에서 critical path는 DC unit내의 5비트비교기에있으므로 로 critical path delay를정의할수있다. 이같은설계는일반적인 systolic-array 구조 [3]-[5] 와병렬 ME구조 [8] 와비교하여훨씬감소된하드웨어복잡도과높은클락주파수의이득을얻을수있다. Ⅳ. 결과및비교 본논문에서제안된 PrME구조를이용한면적효율성및고속처리 RS복호기는 Verilog-HDL로설계하였고, CADENCE NC-Verilog 시뮬레이터 (simulator) 로검증하였다. Verilog-HDL로설계한 RS 복호기의결과는 C 언어로설계한모델과정확히일치하였다. 이런검증단계후에는 SYNOPSYS Design Compiler(DC) 를이용하여적절한 time 및 area constraint와 1.2 V의공급전 (471)

8 34 파이프라인재귀적인기술을이용한면적효율적인 Reed-Solomon 복호기의설계이한호 표 2. KES블록에서의하드웨어복잡도비교 Table 2. Comparison of hardware complexity for KES blocks. Architecture Multipliers Adders D-FFs MUXes Proposed PrME Systolic ME [5] 8t 8t 78t+4 40t+2 Parallel ME [8] 6t+2 3t+1 6t+4 N/A EA [6] 3t+1 4t+1 14t+6 11t+4 RiBM [7] 6t+2 3t+1 6t+2 3t+1 그림 6. PrME 구조를사용한 RS 복호기의타이밍도 Fig. 6. Timing chart of RS decoder using the PrME architecture. 표 3. RS(255,239) 복호기의구현결과 Table 3. Implementation result of RS(255,239) decoders. Design Proosed Systolic Parallel PrME ME [5] ME [8] EA [6] Syndrome 3,000 3,000 10,000 3,000 KES 17, ,500 84,000 44,700 Chien, Forney, Error Total # of Gates Clock Rate(MHz) Latency (Clock cycle) 4,600 4,600 24,000 4,600 24, , ,000 55, (n+(2t) 2 +12) (0.83 μs ) 355 (n+12t+20) (0.57 μs ) 271 (n+16) (1.5 μs ) 287 (n+32) (0.96 μs ) Throughput (Gbit/s) Efficiency Tech.( μm ) 압과 0.13-μm CMOS 기술을이용하여합성 (synthesize) 하고구현하였다. 표 1에서는다양한 KES블록의 critical path delay와지연성 (latency) 를비교한결과를보여주고있다. 제안된 PrME구조는이전의 systolic-array ME구조와비교하여서는거의비슷한 critical path delay를보여주고있고, Euclidean 과 BM구조보다는훨씬감소한 critical path delay를보여주고있다. 표 2에서는다양한 KES블록의하드웨어복잡도를보여주고있다. 일반적으로사용되는 KES블록들과비교한결과본논문에서제안한 PrME구조는단지 4개의 finite-field 곱셈기와 2개의 finite-field 덧셈기, 170 개의 D-FF만이필요하다는것을보여주고있다. 결론 적으로일반적인 ME구조 [5][8], Euclidean [6] 그리고 BM 구조보다는현저히감소한하드웨어복잡도를보여주고있다. 표 3은몇종류의 RS 복호기의게이트수, clock rate, latency, throughput들을비교한결과들을보여주고있다. RS 복호기에서 FIFO 메모리를제외한하드웨어복잡도를비교한결과본논문에서제안한 RS 복호기는이전의 systolic-array ME [5] 및 Euclidean [6] 구조와비교하여각각 20%, 44% 의게이트수만필요로한다는것을보여주고있다. 또한 parallel ME [8] 구조와비교하면제안된 RS 복호기는 20% 의게이트수를필요로한다. 본논문에서제안된 RS 복호기는 625 MHz에서동작하고 0.83 μs의 latency, 5-GBits/s 의처리속도를갖는다. 이비교표들로부터본논문에서제안된 RS 복호기가최근발표된복호기들보다면저과속도를고려한효율성면에서 4-5배정도우수하다것을볼수있다. 그림 6은 PrME구조를이용한 RS 복호기의타이밍도를보여주고있다. Syndrome Computation(SC) 블록은신드롬다항식을계산하기위하여 n클락주기만큼의처리지연후 2t 신드롬들을출력한다. PrME구조는각반복단계마다신드롬들을받아들이고, 출력을피드백 (feed-back) 한다. n주기후에, PrME구조는다항식 와 을출력하고 Chien Search 블록으로병렬로입력된다. 본논문에서제안된 RS 복호기는코드블록을연속적으로생성하는데, 고정된지연 2n+12 클락주기를가지고적절히연산하고결과를출력한다. (472)

9 2005 년 7 월전자공학회논문지제 42 권 SD 제 7 호 35 Ⅴ. 결론본논문에서는면적효율성및고속처리를위하여 folding 방법을이용한면적효율성 PrME구조를제안하고 RS 복호기설계에적용하였다. 파이프라인재귀적인 (pipelined recursive) 구조는단하나의처리요소 (processing element) 를가짐으로써면적효율적인 PrME 구조의구현을가능하게하였다. 제안된 PrME구조는일반적인 systolic-array 및 fully-parallel 구조와비교하여약 80% 정도하드웨어복잡도를줄일수있다. 제안된 RS 복호기는총 24,600개의게이트수, 5-Gbit/s의데이터처리율과클락주파수 625 MHz에서동작하는결과를보여주고있다. 결론으로써본논문에서제안한 RS 복호기는현재까지발표된복호기중가장높은면적효율성을가지고있는것중에하나이며, 초고속광통신뿐아니라무선통신장비를위한차세대 FEC 장치등에바로적용할수있다. Trans. on VLSI Systems, Vol 9, No.5, pp , Oct [8] L. Song, M-L. Yu and M. S. Shaffer, 10 and 40-Gb/s Forward Error Correction Devices for Optical Communications, IEEE Journal of Solid-State Circuits, Vol. 37, No. 11, pp , Nov 참고문헌 [1] Forward Error Correction for Submarine System Telecommunication Standardization Section, International Telecom. Union, ITU-T Recommendation G.975, Oct [2] S. B. Wicker, Error Control Systems for Digital Communication and Storage, Prentice Hall, [3] H. M. Shao, T. K. Truong, L. J. Deutsch, J. H. Yuen and I. S. Reed, A VLSI Design of Pipeline Reed-Solomon Decoder, IEEE Trans. on Computers, Vol. C-34, No.5, pp , May [4] W. Wilhelm, A New Scalable VLSI Architecture for Reed-Solomon Decoders IEEE Jour. of Solid-state Circuits, Vol34, No.3, Mar [5] H. Lee, High-Speed VLSI Architecture for Parallel Reed-Solomon Decoder, IEEE Trans. on VLSI Systems, Vol. 11, No. 2, pp , April [6] H. Lee, An Area-Efficient Euclidean Algorithm Block for Reed-Solomon Decoder, IEEE computer society Annual Symposium on VLSI, pp , Feb [7] D.V.Sarwate and N. R. Shanbhag, High-Speed Architecture for Reed-Solomon Decoders, IEEE (473)

10 36 파이프라인재귀적인기술을이용한면적효율적인 Reed-Solomon 복호기의설계이한호 저자소개 이한호 ( 정회원 ) 1993 년충북대학교전자공학과학사졸업 년 Univ. of Minnesota 전기컴퓨터공학석사졸업 년 Univ. of Minnesota 전기컴퓨터공학박사졸업. < 주관심분야 : 통신용 VLSI 설계, SoC 설계 > (474)

<333520B0ADBCBAC1F82D46534DC0BB20C0CCBFEBC7D120BCF6C1A4B5C820C0AFC5ACB8AEB5E520BECBB0EDB8AEC1F220BCB3B0E82E687770>

<333520B0ADBCBAC1F82D46534DC0BB20C0CCBFEBC7D120BCF6C1A4B5C820C0AFC5ACB8AEB5E520BECBB0EDB8AEC1F220BCB3B0E82E687770> 한국산학기술학회논문지 Vol., No. 6 pp. 222-226, 2 강성진 * 한국기술교육대학교정보기술공학부 A esign of Modified Euclidean Algorithm using Finite State Machine Sung-Jin Kang * School of Info. Tech. Engineering, Korea University of Tech.

More information

Microsoft Word doc

Microsoft Word doc 공학석사학위청구논문 광통신용 40Gb/s Forward Error Correction 아키텍처 40Gb/s Forward Error Correction Architecture for Optical Communications 2008 년 2 월 인하대학교대학원 정보통신공학과 이승범 지도교수이한호 이논문을석사학위논문으로제출함 이논문을이승범의석사학위논문으로인정함

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2013년 7월전자공학회논문지제 50 권제 7 호 Journal of The Institute of Electronics Engineers of Korea Vol 50, NO 7, July 2013 http://dxdoiorg/5573/ieek2013507140 논문 2013-50-7-1 0 Gb/s 급광통신시스템을위한고성능저면적반복 BCH 복호기구조 ( High-Performance

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

RRH Class-J 5G [2].,. LTE 3G [3]. RRH, W-CDMA(Wideband Code Division Multiple Access), 3G, LTE. RRH RF, RF. 1 RRH, CPRI(Common Public Radio Interface)

RRH Class-J 5G [2].,. LTE 3G [3]. RRH, W-CDMA(Wideband Code Division Multiple Access), 3G, LTE. RRH RF, RF. 1 RRH, CPRI(Common Public Radio Interface) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 276 282. http://dx.doi.org/10.5515/kjkiees.2015.26.3.276 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) RRH

More information

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P 1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.341

More information

±è±¤¼ø Ãâ·Â-1

±è±¤¼ø Ãâ·Â-1 Efficient Adaptive Modulation Technique for MAC-PHY Cross Layer Optimization in OFDMA-based Cellular Systems An adaptive transmission scheme using QAM and LDPC code is proposed for an OFDMA cellular system

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

슬라이드 제목 없음

슬라이드 제목 없음 OFDM (Orthogonal Frequency Division Multiplexing) 서울대학교이동통신연구실 1 Contents Introduction Generation of subcarriers using the IFFT Guard time and cyclic extension Windowing Choice of OFDM parameters OFDM

More information

2 : (JEM) QTBT (Yong-Uk Yoon et al.: A Fast Decision Method of Quadtree plus Binary Tree (QTBT) Depth in JEM) (Special Paper) 22 5, (JBE Vol. 2

2 : (JEM) QTBT (Yong-Uk Yoon et al.: A Fast Decision Method of Quadtree plus Binary Tree (QTBT) Depth in JEM) (Special Paper) 22 5, (JBE Vol. 2 (Special Paper) 22 5, 2017 9 (JBE Vol. 22, No. 5, Sepember 2017) https://doi.org/10.5909/jbe.2017.22.5.541 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) (JEM) a), a), a) A Fast Decision Method of Quadtree

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(9), 783 789. http://dx.doi.org/10.5515/kjkiees.2015.26.9.783 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) GaN-HEMT

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

63-69±è´ë¿µ

63-69±è´ë¿µ Study on the Shadow Effect of 3D Visualization for Medical Images ased on the Texture Mapping D.Y. Kim, D.S. Kim, D.K. Shin, D.Y. Kim 1 Dept. of iomedical Engineering, Yonsei University = bstract = The

More information

±è¼ºÃ¶ Ãâ·Â-1

±è¼ºÃ¶ Ãâ·Â-1 Localization Algorithms Using Wireless Communication Systems For efficient Localization Based Services, development of accurate localization algorithm has to be preceded. In this paper, research trend

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 -

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 - (51) Int. Cl. (19) 대한민국특허청(KR) (12) 등록특허공보(B1) H04B 7/04 (2006.01) H04B 7/02 (2006.01) H04L 1/02 (2006.01) (21) 출원번호 10-2007-0000175 (22) 출원일자 2007년01월02일 심사청구일자 2008년08월26일 (65) 공개번호 10-2008-0063590 (43)

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

09È«¼®¿µ 5~152s

09È«¼®¿µ5~152s Korean Journal of Remote Sensing, Vol.23, No.2, 2007, pp.45~52 Measurement of Backscattering Coefficients of Rice Canopy Using a Ground Polarimetric Scatterometer System Suk-Young Hong*, Jin-Young Hong**,

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 05-30-3C-02 한국통신학회논문지 '05-3 Vol.30 No.3C VHDL로구현된직렬승산리드솔로몬부호화기의복잡도분석 학생회원백승훈 *, 종신회원송익호 **, 배진수 * Complexity Analysis of a VHDL Implementation of the Bit-Serial Reed-Solomon Encoder Seung hun Back*

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jul.; 27(7), 625634. http://dx.doi.org/10.5515/kjkiees.2016.27.7.625 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Near-Field

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

08김현휘_ok.hwp

08김현휘_ok.hwp (Regular Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.369 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) An Audio Coding Technique Employing the Inter-channel

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

½Éº´È¿ Ãâ·Â

½Éº´È¿ Ãâ·Â Standard and Technology of Full-Dimension MINO Systems in LTE-Advances Pro Massive MIMO has been studied in academia foreseeing the capacity crunch in the coming years. Presently, industry has also started

More information

a), b), c), b) Distributed Video Coding Based on Selective Block Encoding Using Feedback of Motion Information Jin-soo Kim a), Jae-Gon Kim b), Kwang-d

a), b), c), b) Distributed Video Coding Based on Selective Block Encoding Using Feedback of Motion Information Jin-soo Kim a), Jae-Gon Kim b), Kwang-d a), b), c), b) Distributed Video Coding Based on Selective Block Encoding Using Feedback of Motion nformation Jinsoo Kim a), JaeGon Kim b), Kwangdeok Seo c), and Myeongjin Lee b) (DVC: Distributed Video

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

-

- World Top 10 by 2030 CONTENTS CONTENTS 02 03 PRESIDENT S MESSAGE 04 05 VISION GOALS VISION GOALS STRATEGIES 06 07 HISTORY 2007 2008 2009 2010 2011 08 09 UNIST POWER 10 11 MPI USTC UNIST UCI UTD U-M GT

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Mar.; 25(3), 304310. http://dx.doi.org/10.5515/kjkiees.2014.25.3.304 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx Basic Idea of External Sorting run 1 run 2 run 3 run 4 run 5 run 6 750 records 750 records 750 records 750 records 750 records 750 records run 1 run 2 run 3 1500 records 1500 records 1500 records run 1

More information

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074>

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074> 아날로그및파워 IC 워크샵 저전력아날로그 IC 설계기술 서강대학교전자공학과안길초 Contents 2 1 2 Introduction Low-Power Design Techniques 3 Conclusions 1. Introduction 3 Why Low-Power? (1) 4 Increasing demand for mobile applications Longer

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015) ISSN

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015)   ISSN (JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, 2015 11 (JBE Vol. 20, No. 6, November 2015) http://dx.doi.org/10.5909/jbe.2015.20.6.880 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) Frame

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = [2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = 1, 2r 0 1, 2r

More information

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770>

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770> 한국지능시스템학회 논문지 2010, Vol. 20, No. 3, pp. 375-379 유전자 알고리즘을 이용한 강인한 Support vector machine 설계 Design of Robust Support Vector Machine Using Genetic Algorithm 이희성 홍성준 이병윤 김은태 * Heesung Lee, Sungjun Hong,

More information

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp RUF * (A Simple and Efficient Antialiasing Method with the RUF buffer) (, Byung-Uck Kim) (Yonsei Univ. Depth of Computer Science) (, Woo-Chan Park) (Yonsei Univ. Depth of Computer Science) (, Sung-Bong

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 16 Jul.; 27(7), 64662. http://dx.doi.org/./kjkiees.16.27.7.646 ISSN 1226-3133 (Print)ISSN 2288-226 (Online) 2D Microwave Image

More information

Computer Architecture

Computer Architecture 정수의산술연산과부동소수점연산 정수의산술연산부동소수점수의표현부동소수점산술연산 이자료는김종현저 - 컴퓨터구조론 ( 생능출판사 ) 의내용을편집한것입니다. 3.5 정수의산술연산 기본적인산술연산들 2 2 3.5.1 덧셈 2 의보수로표현된수들의덧셈방법 두수를더하고, 만약올림수가발생하면버림 3 3 병렬가산기 (parallel adder) 덧셈을수행하는하드웨어모듈 4- 비트병렬가산기와상태비트제어회로

More information

08 조영아.hwp

08 조영아.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 6370. http://dx.doi.org/10.5515/kjkiees.2015.26.1.63 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) 900 MHz

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 113118. http://dx.doi.org/10.5515/kjkiees.2015.26.1.113 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) A Retro-Directive

More information

24 GHz 1Tx 2Rx FMCW ADAS(Advanced Driver Assistance System).,,,. 24 GHz,, [1] [4]. 65-nm CMOS FMCW 24 GHz FMCW.. 송수신기설계 1 1Tx 2Rx FMCW (Local Oscillat

24 GHz 1Tx 2Rx FMCW ADAS(Advanced Driver Assistance System).,,,. 24 GHz,, [1] [4]. 65-nm CMOS FMCW 24 GHz FMCW.. 송수신기설계 1 1Tx 2Rx FMCW (Local Oscillat THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 758 765. http://dx.doi.org/10.5515/kjkiees.2018.29.10.758 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) 24

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

歯A1.1함진호.ppt

歯A1.1함진호.ppt The Overall Architecture of Optical Internet ETRI ? ? Payload Header Header Recognition Processing, and Generation A 1 setup 1 1 C B 2 2 2 Delay line Synchronizer New Header D - : 20Km/sec, 1µsec200 A

More information

45-51 ¹Ú¼ø¸¸

45-51 ¹Ú¼ø¸¸ A Study on the Automation of Classification of Volume Reconstruction for CT Images S.M. Park 1, I.S. Hong 2, D.S. Kim 1, D.Y. Kim 1 1 Dept. of Biomedical Engineering, Yonsei University, 2 Dept. of Radiology,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

체의원소를계수로가지는다항식환 Theorem 0.1. ( 나눗셈알고리듬 (Division Algorithm)) F 가체일때 F [x] 의두다항식 f(x) = a 0 + a 1 x + + a n x n, a n 0 F 와 g(x) = b 0 + b 1 x + + b m x

체의원소를계수로가지는다항식환 Theorem 0.1. ( 나눗셈알고리듬 (Division Algorithm)) F 가체일때 F [x] 의두다항식 f(x) = a 0 + a 1 x + + a n x n, a n 0 F 와 g(x) = b 0 + b 1 x + + b m x 체의원소를계수로가지는다항식환 Theorem 0.1. ( 나눗셈알고리듬 (Division Algorithm)) F 가체일때 F [x] 의두다항식 f(x) = a 0 + a 1 x + + a n x n, a n 0 F 와 g(x) = b 0 + b 1 x + + b m x m, b m 0 F, m > 0 에대해 f(x) = g(x)q(x) + r(x) 을만족하는

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

(JBE Vol. 21, No. 3, May 2016) HE-AAC v2. DAB+ 120ms..,. DRM+(Digital Radio Mondiale plus) [3] xhe-aac (extended HE-AAC). DRM+ DAB HE-AAC v2 xhe-aac..

(JBE Vol. 21, No. 3, May 2016) HE-AAC v2. DAB+ 120ms..,. DRM+(Digital Radio Mondiale plus) [3] xhe-aac (extended HE-AAC). DRM+ DAB HE-AAC v2 xhe-aac.. 3 : xhe-aac (Bongho Lee et al.: A Study on the Variable Transmission of xhe-aac Audio Frame) (Special Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.357 ISSN

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 876 884. http://dx.doi.org/10.5515/kjkiees.2015.26.10.876 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti ATSC a), a) A Carrier Frequency Synchronization Scheme for modified ATSC Systems Young Gon Jeon a) and Joon Tae Kim a) 3D HDTV (3-Dimensional High Definition Television). 3D HDTV HDTV ATSC (Advanced Television

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 28(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 28(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Nov.; 28(11), 837 842. http://dx.doi.org/10.5515/kjkiees.2017.28.11.837 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) 8PSK-TCM

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 26(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 26(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 215 Mar.; 26(3), 248 256. http://dx.doi.org/1.5515/kjkiees.215.26.3.248 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Metal

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

14.531~539(08-037).fm

14.531~539(08-037).fm G Journal of the Korea Concrete Institute Vol. 20, No. 4, pp. 531~539, August, 2008 š x y w m š gj p { sƒ z 1) * 1) w w Evaluation of Flexural Strength for Normal and High Strength Concrete with Hooked

More information

Chapter 4. LISTS

Chapter 4. LISTS C 언어에서리스트구현 리스트의생성 struct node { int data; struct node *link; ; struct node *ptr = NULL; ptr = (struct node *) malloc(sizeof(struct node)); Self-referential structure NULL: defined in stdio.h(k&r C) or

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 901 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 (A Effective Sinkhole Attack Detection Mechanism for LQI based Routing in WSN) 최병구 조응준 (Byung

More information

08원재호( )

08원재호( ) 30 2 20124 pp. 173~180 Non-Metric Digital Camera Lens Calibration Using Ground Control Points 1) 2) 3) Abstract The most recent, 80 mega pixels digital camera appeared through the development of digital

More information

12권2호내지합침

12권2호내지합침 14 OPTICAL SCIENCE AND TECHNOLOGY April 2008 15 16 OPTICAL SCIENCE AND TECHNOLOGY April 2008 17 18 OPTICAL SCIENCE AND TECHNOLOGY April 2008 19 20 OPTICAL SCIENCE AND TECHNOLOGY April 2008 21 22 OPTICAL

More information

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월 지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., 2004 5 2009 12 KOSPI200.,. * 2009. 지능정보연구제 16 권제 1 호 2010 년 3 월 김선웅 안현철 社 1), 28 1, 2009, 4. 1. 지능정보연구제 16 권제 1 호 2010 년 3 월 Support

More information