DFM

Size: px
Start display at page:

Download "DFM"

Transcription

1 System-on-Chip Design Jong-Wha Chong Wireless Location and SOC Lab. Hanyang University

2 Agenda Introduction of SoC Technology Trend Technical SoC Challenges - Electronic System Level (ESL) Design - Low-Power Design - Design-For-Manufacturability (DFM) Closing Remarks 2

3 3

4 History of IT Industry D-TV Radio C-TV PC Internet HHP 1950~70 s 1980 s 1990 s 2000 s IMT ~ 3.0um 2.0 ~ 1.0um 0.8 ~ 0.6um 0.5 ~ 0.25um 0.18 ~ 0.06 um 256K DRAM 4M DRAM 256M DRAM 8G NAND Transistor Logic IC 4 VLSI SOC

5 What is SoC? = SoC System + Chip MODEM R F CPU IMAGE MEMORY I/O Need expertise of System & Semiconductor Complete system in a single package 5

6 Inside SoC Hardware-independent Software Applications User defined Interface Libraries Hardware-Dependent Software Device Drivers Operating Systems (Kernel) Middle ware An IC dedicated to a specific application that contains a computation engine (microprocessor core, DSP core, MPEG core or graphics core), memory and logic on a single chip Hardware MPEG CPU Logic Cache Core [Gartner, Q ] Analog DRAM ROM DSP 6

7 SoC Applications Media Players Home Entertainment SOC Mobile Communication Mobile Computing 7

8 Digital TV on SoC ~ ~ 2005 D-TV CPU A/V Decoder Format Converter Channel Decoder Channel EQ. TS OSGM 7Chip CPU A/V Decoder Format Converter Channel Decoder Channel EQ. TS OSGM 2Chip CPU A/V Decoder Format Converter Channel Decoder Channel EQ. TS OSGM 1Chip HDTV Channel EQ. HDTV Channel Decoder CPU MPEG2 TS MPEG2 A/V Decoder FORMAT Converter OSGM MPEG2 A/V Decoder HDTV Channel Decoder HDTV Channel EQ. CPU FORMAT Converter MPEG2 TS OSGM 8

9 Cellular Phone on SoC ~ ~ 2005 HHP (CDMA) MP3 BBA Modem RF Memory 5Chip Multimedia RF BBA Modem Memory 3Chip Multimedia RF BBA Modem Memory GPS 1Chip RF MP3 BBA Modem Memory Memory BBA RF Modem & GPS DSP MP3/ MPEG-4 CPU 9

10 CD-MP3 player on SoC ~ ~ ~ CD-MP3 player Digital Servo CD DSP Audio DAC MP3 Decoder ESP Digital Servo CD DSP Audio DAC MP3 Decoder ESP 3 Die 2 Die Digital Servo CD DSP Audio DAC MP3 Decoder ESP MCU RF 7Chip MCU RF 4Chip MCU RF 1Chip MCU Digital Servo CD DSP Audio DAC RF Digital Servo + CD DSP + Audio DAC MP3 Decoder + ESP MCU MP3 Decoder ESP RF 10

11 Data Processor RF DVD player on SoC ~ ~ ~ Data Processor Data Processor Data Processor Servo Servo 2 Die Servo CD-MP3 player MPEG2 Audio Decoder MPEG2 Video Decoder MPEG2 Audio Decoder MPEG2 Video Decoder MPEG2 Audio Decoder MPEG2 Video Decoder MCU MCU 3 Die MCU RF 6Chip RF 3Chip RF 1Chip Servo RF Data Processor + Servo MPEG2 A/V Decoder + MCU MCU MPEG2 A/V Decoder 11

12 SoC Market Vision 12

13 Key SoC Challenges Requirement Challenges High-Performance Design Technology Low power - System-Level Design - Low-Power Design Small Size Low-Cost Manufacturing Technology - DFM(Design-For-Manufacturability) / DFY(Design-For-Yield) Others in Nano Technology 13

14 14

15 Market Trend 15

16 Convergence of Mobile/Home Platforms Convergence will continue on each side of mobile/home platforms - Home platform case, IBS Television Set-top Box Consumer Electronics CE World Game console PC Information Tech. IT World IT vs. CE War! 16

17 Chip Architecture MPSoC w/ Reconfigurability Currently (90nm) 3G modem+ap+rf IC s HW CPU DSP Application Proc. RF IC 3G modem chip 5M gates, 100MHz, 250mW Application processor chip 15M gates, 500MHz, 500mW Reconf. HW In 2010 (45nm) Single MPSoC HW-S DSP s Multi CPU cores Multi-mode/band RF Multi-CPU 10M gates, +1GHz, 400mW HW-accelerated scalable (HW-S) multi- DSP 10M gates, +1GHz, 300mW Reconfigurable HW for SDR 10M gates, 100mW 17

18 Battery Capacity Requirement Low Power 2x / year increase in power efficiency! 18

19 Process Technology Scaling Vs. Cost 10M 100M 19

20 SubWavelength & Process Variation 20

21 Electronic System Level (ESL) Design Low-Power Design Design for Manufacturability (DFM) 21

22 22

23 abstract abstract abstract abstract abstract Evolution of Design Abstract Multi-core SoC with HW/SW virtual components SW SW communication HW Register-transfer-level model Gate-level model cluster Transistor model Platform with IP reuses cluster MCU MEM DSP IP IP IP SW models SW models SW models OS/Drivers MCU SW DSP On Chip Bus cluster SW tasks SW SW SW tasks tasks adaptor SW SW MCU adaptor adaptor core MCU MCU HW core core adaptor HW HW adaptor adaptor On Chip Network HW HW adator adator HW IP s IP s adator IP s cluster IP IP IP 1970 s 1980 s 1990 s 2000 s

24 SoC Design and Verification Flow System Spec. System-level design HW-SW Co-Design System Design HW/SW Partitioning HW IP SW IP HW-SW Co- Verification Functional Verification HW Development SW Development Software Verification Gate-Level Verification HW refinement (UT->T->RTL) SW refinement (RTOS mapping) HW-SW codevelopment Gate Final code HW-SW co-development is important at early design stage. 24

25 ESL Definition Electronic System Level (ESL) design and verification is an emerging electronic design methodology that focuses on the higher abstraction level concerns first and foremost. It is defined in the ESL Design and Verification book [1] as: "the utilization of appropriate abstractions in order to increase comprehension about a system, and to enhance the probability of a successful implementation of functionality in a cost-effective manner." The basic premise is to model the behavior of the entire system using a high-level language such as C, C++, or MATLAB. Rapid and correct-by-construction implementation of the system can be automated using EDA and embedded software tools, although much of it is performed manually today. ESL can also be accomplished through the use of SystemC as an abstract modeling language. [1] Brian Bailey, Grant Martin and Andrew Piziali, ESL Design and Verification: A Prescription for Electronic System Level Methodology. Morgan Kaufmann/Elsevier,

26 ESL for SoC Electronic System Level is now an established approach at most of the world s leading System-on-a-chip (SoC) design companies, and is being used increasingly in system design. From its genesis as an algorithm modeling methodology with no links to implementation, ESL is evolving into a set of complementary methodologies that enable embedded system design, verification, and debugging through to the hardware/software implementation of custom SoC. Challenges Huge complexity (i.e., many heterogeneous IP modules) Configurable IP (used to avoid over design) adds another dimension of difficulty in verification and functional/code coverage Hardware/software co-development makes the integration harder especially early in the design flow 26

27 Need of Virtual Platform Relative Effort by Designer Role 250% 200% 150% 100% 50% Software Validation Physical Verification Architecture HW designer SW designer 0% 350nm 250nm 180nm 130nm 90nm IBS Nov Architecture effort & Software costs!!!! Get HW/SW designers into a common playground!! Challenges Hardware and software co-verification Early development and verification of software Quantitative analysis and exploration of system architecture Executable spec for HW/SW/System designer Managing large scale SoCs Requirements Fast & Accurate Early Availability & Reusability 27

28 An Evolution of the Traditional Flow High Level Model Virtual Platform Co-Verification Consistent Verification Requirement Follow Up 28

29 Main Features of Virtual Platform Design methodology based on high-level design abstraction Captures the concept of platform-based design approach Emphasizes the systematic IP reuse The main features Modeled at transaction-level (TL) Architecture exploration and software development can happen early in design process Software designers can prepare fully-optimized and error minimized code before RTL design 29

30 What is TLM? (untimed) Functional Level Modeling executable specification (C/C++, Matlab, SystemC) can verify only algorithm or function UTFU TF UTF UTFU TF Transaction Level Modeling analyze SoC architecture early SW development can estimate timing/power accurately TL MT LM TL M TL M TL M Register-Transfer Level Modeling RTL/behavioral HW design and verification can simulate very accurately, but slow RTLR TL RTL RTL RTL At TLM, concerns only focus on mapping out data flow details: the type of data that flo ws and where it is stored 30

31 Basic of Transaction-Level Modeling Transaction RTL Req Grant Addr ctrl1/cmd1 Data ack0 ack1 Transaction : exchange of a data or an event between two components of a modeled and simulated system Module : structural entity, which contain processes, ports, channels, and other modules Channel : implements one or more interfaces, and serves as a container for communication functionality Port : object through which a module can access a channel s interface.

32 Abstraction Models Time granularity for communication/computation objects can be classified into 3 basic categories. Models B, C, D and E could be classified as TLMs. Communication A. "Specification model" "Untimed functional models" Cycletimed D F B. "Component-assembly model" "Architecture model" "Timed functional model" Approximatetimed C E C. "Bus-arbitration model" "Transaction model" D. "Bus-functional model" "Communication model" "Behavior level model" Untimed Untimed A B Approximatetimed Cycletimed Computation E. "Cycle-accurate computation model" F. "Implementation model" "Register transfer model" 32

33 A: Specification Model Objects - Computation -Behaviors - Communication -Variables B1 v1 = a*a; v1 B2B3 B2 v2 = v1 + b*b; B3 v3= v1- b*b; v2 v3 Communication Cycletimed D F B4 v4 = v2 + v3; c = sequ(v4); Approximatetimed C E Cycletimed Untimed A B Untimed Approximatetimed Computation 33

34 cv12 B: Component-Assembly Model Objects - Computation - Proc - IPs - Memories - Communication -Variable channels PE1 B1 v1 = a*a; PE2 cv11 PE3 B3 v3= v1- b*b; v3 B2 v2 = v1 + b*b; cv2 B4 v4 = v2 + v3; c = sequ(v4); Communication Cycletimed D F A B1 v1 = a*a; v1 Approximatetimed C E B2B3 B2 v2 = v1 + b*b; B3 v3= v1- b*b; v2 v3 Cycletimed Untimed A B Untimed Approximatetimed Computation B4 v4 = v2 + v3; c = sequ(v4); 34

35 cv12 C: Bus-Arbitration Model Objects - Computation - Proc - IPs (Arbiters) - Memories - Communication - Abstract bus channels PE1 B1 PE2 v1 = a*a; B2 v2 = v1 + b*b; PE4 (Arbiter) 3 cv12 1 cv2 2 cv11 1. Master interface 2. Slave interface 3. Arbiter interface PE3 B3 v3= v1- b*b; v3 B4 v4 = v2 + v3; c = sequ(v4); Communication PE1 Cycletimed D F B1 v1 = a*a; cv11 Approximatetimed C E B PE3 B3 v3= v1- b*b; PE2 v3 Cycletimed Untimed A B Untimed Approximatetimed Computation B2 v2 = v1 + b*b; cv2 B4 v4 = v2 + v3; c = sequ(v4); 35

36 PE1 B1 v1 = a*a; PE2 B2 v2 = v1 + b*b; PE4 (Arbiter) 3 address[15:0] address[15:0] data[31:0] data[31:0] 1 ready 2 e D: Bus-Functional Model Objects - Computation - Proc - IPs (Arbiters) - Memories - Communication - Protocol bus channels ack ready ack 1: master interface 2: slave interface 3: arbitor interface IProtocolSlav PE3 B3 v3= v1- b*b; v3 B4 v4 = v2 + v3; c = sequ(v4); Communication Approximatetimed Cycletimed Untimed Cycletimed A D C B F E Untimed Approximatetimed Computation PE1 B1 v1 = a*a; PE2 B2 v2 = v1 + b*b; PE4 (Arbiter) 3 cv12 cv2 1 2 cv11 1. Master interface 2. Slave interface 3. Arbiter interface C PE3 B3 v3= v1- b*b; v3 B4 v4 = v2 + v3; c = sequ(v4); 36

37 E: Cycle-Accurate Computation Model Objects - Computation - Proc - IPs (Arbiters) - Memories - Wrappers - Communication - Abstract bus channels PE1 MOV r1, 10 MUL r1, r1, r1... PE2 4 PE4 S0 S1 S2 S3 4 3 cv12 1 cv2 2 cv11 4 PE3 S0 S1 S2 S3 Communication MLA... r1, r2, r2, r Master interface 2. Slave interface 3. Arbiter interface 4. Wrapper S4 Approximatetimed Cycletimed D C F E PE1 B1 v1 = a*a; PE4 (Arbiter) 3 cv12 PE3 C B3 v3= v1- b*b; Cycletimed Untimed A B Untimed Approximatetimed Computation PE2 B2 v2 = v1 + b*b; cv2 1 2 cv11 1. Master interface 2. Slave interface 3. Arbiter interface v3 B4 v4 = v2 + v3; c = sequ(v4); 37

38 address[15:0] address[15:0] data[31:0] data[31:0] ready ack ready ack e F: Implementation Model Objects - Computation - Proc - IPs (Arbiters) - Memories - Communication -Buses (wires) interrupt req PE4 PE1 MOV r1, 10 MUL r1, r1, r1... interrupt req PE3 PE2 MLA... r1, r2, r2, r1... MCNTR MADDR MDATA S0 S1 S2 S3 interrupt S0 S1 S2 S3 S4 Communication Approximatetimed Cycletimed D C F E PE1 D B1 v1 = a*a; PE4 (Arbiter) 3 PE3 B3 v3= v1- b*b; PE1 E B1 v1 = a*a; PE4 (Arbiter) 3 cv12 PE3 S0 S1 Cycletimed Untimed A B Untimed Approximatetimed Computation PE2 B2 v2 = v1 + b*b; 1 2 1: master interface 2: slave interface 3: arbitor interface IProtocolSlav v3 B4 v4 = v2 + v3; c = sequ(v4); PE2 B2 v2 = v1 + b*b; cv2 1 2 cv11 1. Master interface 2. Slave interface 3. Arbiter interface 4. Wrapper 4 S2 S3 S4 38

39 Characteristics of Different Abstraction Models Models Specification model Componentassembly model Bus-arbitration model Bus-functional model Cycle-accurate computation model Implementation model Communication Computation Communication PE interface time time scheme no no variable (no PE) no approximate variable channel abstract approximate approximate abstract bus channel time/cycle approximate protocol bus accurate channel approximate cycle-accurate abstract bus channel abstract abstract pin-accurate cycle-accurate cycle-accurate bus (wire) pin-accurate 39

40 Hardware vs. Software 40

41 System Architect vs. Chip Designer 41

42 42

43 Design through Various Levels of Abstraction Architecture level - Behavior - Area,PWR, - Speed estimation Register Transfer Level - Functions - Timing 43

44 Logic Level 0 & 0 - Bits - Timing 0 Tr. Level - Voltages - Currents Physical Level (=Layout) - Dimensions 44

45 Device Level - In Characteristic Technology Level - Impurity Profiles Doping Dopant A Dopant B 45 Depth

46 Behavioral Domain Structure Domain Physical Domain System Level Performance specs. CPU`s Memories Switches Controllers Buses Physical partitions Algorithm Level Algorithms (manipulation of data structure) Hardware modules Data structures Clusters Microarchitect ural Level Operations Register transfers State sequencing ALUs MUXs Registers Microstore Floorplans Logic Level Boolean equations FSM Gates Flip-Flops Cells Cells, module plan Circuit Level Transfer functions, timing Transistors Wires Contacts Layout 46

47 47

48 Memory element input equations J x K Y1 Y1 x J Z ( x y ) y Y K ( x y ) y Y The next state equation for J-K Flip-Flop y J y K y v 1 v v v (1) (2) (3) For the memory element y0, y1, the next state equation of (1), (2) y x y x y x v 1 v v v v v (4) y [( x y ) y ] y [( x y ) y ] y ( x y ) y v 1 v v v v v v v v v v v X Y1 Y0 Q Q Q Q SET Y1 CLR SET Y0 CLR J K J K (5) JY1 JY0 Z Clock

49 Transition table y y v v v x y y v 1 v v z q 1 q 2 q 3 y y 1 2 state = 0 0 state = 0 1 state = 1 1 q 4 state =

50 State table State diagram v 1 q q q1 3 q1 q4 q1 q4 q2 q3 Present states v q q 1 q 2 q 3 q v x /0 q 1 q 2 0/0 X/ Z 1/1 0/0 1/0 0/1 q 3 Input 1/0 1/1 q 4 Output v z 50

51 Analysis Process State diagram State assignment Memory Element Input equation Functional description State table Minimal State table Transition table Circuit Design Process (Logic Synthesis) 51

52 Structure of Digital System 52

53 DATA Buffer B - Reg Acc T - Reg Inst. Reg HL Reg PC ALU Decoder + 1 MEMORY Cont. Signal ADD Buffer 8 bits Timing Control Contrl Bus 16 bits CPU 53

54 1 T1 address bus (PC) T2 PC (PC) + 1 T3 IR (M) T4 decode T1 address bus (PC) 2 T2 PC (PC) + 1 T3 Z (M) T1 address bus (PC) 3 T2 PC (PC) + 1 T3 W (M) T1 address bus (WZ) 4 T T3 Acc (M) 54

55 +1 IAR 10 bits 16 bits MAR 10 bits Memory Op ADR IAR Adder Control ACC IAR 55

56 Logic Design Flow & Data, Instruction Format Logic Design Flow Data Format SPEC s M A G Func. Design S : Sign (+ if 0, - if 1 ) MAG : Magnitude Functional Simulation Data & Instruction Format Collection of Condions op A D R Gate Level Circuit Design OP : OP Code ADR : Address 56

57 Instruction Set OP Code Operation LOAD ACC (M(ADR)) STORE M(ADR) ACC ADD ACC ACC+(M(ADR)) BRANCH BRANCH TO ADR BRANCH-POSITIVE BRANCH TO ADR IF (ACC)>=0 ACC : Accumulator ADR : Address part in instruction M(ADR) : Address No. of memory 57

58 State Diagram for instruction Cycle ADS ADS : Address Set IFT : Instruction Fetch DEC : Decode LDA : Load STA : Store ADD : Add BRA : Branch BRP : Branch-Positive IFT DEC LDA STA ADD BRA BRP 58

59 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_misc.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_components.all; use WORK.MATH.all; entity CPU is port ( CLOCK : in std_logic; RESET : in std_logic); end CPU; architecture BEHAVIORAL of CPU is type STATE_TYPE is (ADS, IFT, DEC, LDA, STA, ADD, BRA, BRP); type MEMORY is array(0 to 6) of std_logic_vector(15 downto 0); signal CURRENT_STATE, NEXT_STATE : STATE_TYPE; signal MEM : MEMORY := MEMORY'(" ", " ", " ", " ", " ", " ", " " ); signal ACC, IR : std_logic_vector(15 downto 0); signal MAR, IAR : integer range 0 to 1023; begin -- process to hold combinational logic COMBIN : process(current_state, RESET) variable temp : std_logic_vector(15 downto 0); begin if RESET = '1' then MAR <= '0'; IAR <= '0'; NEXT_STATE <= ADS; 59

60 else case CURRENT_STATE is when ADS => MAR <= IAR; IAR <= IAR+1; NEXT_STATE <= IFT; when IFT => IR <= MEM(MAR); NEXT_STATE <= DEC; when DEC => MAR <= vector2int(ir(9 downto 0)); case IR(15 downto 10) is when "000100" => NEXT_STATE <= LDA; when "001000" => NEXT_STATE <= STA; when "010000" => NEXT_STATE <= ADD; when "100000" => NEXT_STATE <= BRA; when "100001" => NEXT_STATE <= BRP; when others => null; end case; 60

61 when LDA => ACC <= MEM(MAR); NEXT_STATE <= ADS; when STA => MEM(MAR) <= ACC; NEXT_STATE <= ADS; when ADD => temp := add_sub(acc, MEM(MAR), TRUE); ACC <= temp; NEXT_STATE <= ADS; when BRA => IAR <= MAR; NEXT_STATE <= ADS; when BRP => if ACC(15) = '0' then IAR <= MAR; end if; end case; end if; end process; -- process to hold syschronous elements (flip-flops) SYSCH : process begin wait until CLOCK'event and CLOCK = '1'; CURRENT_STATE <= NEXT_STATE; end process; end BEHAVIORAL; configuration CFG_CPU_BEHAVIORAL of CPU is for BEHAVIORAL end for; end CFG_CPU_BEHAVIORAL; 61

62 library IEEE; use IEEE.std_logic_1164.all; package MATH is function add_sub(l, R : std_logic_vector; ADD : BOOLEAN) return std_logic_vector; function vector2int(s : std_logic_vector(9 downto 0)) return INTEGER; end MATH; package body MATH is function add_sub(l, R : std_logic_vector; ADD : BOOLEAN) return std_logic_vector is variable carry : std_logic; variable A, B, sum : std_logic_vector(l'length-1 downto 0); begin if ADD then -- prepare for an "add" operation A := L; B := R; carry := '0'; else -- prepare for a "subtract" operation A := L; B := not R; carry := '1'; end if; -- create a ripple-carry chain; sum up bits for i in 0 to A'left loop sum(i) := A(i) xor B(i) xor carry; carry := (A(i) and B(i)) or (A(i) and carry) or (carry and B(i)); end loop; return sum; -- result end; 62

63 function vector2int(s : std_logic_vector(9 downto 0)) return INTEGER is variable result : INTEGER range 0 to 1023 := 0; begin for i in 9 downto 0 loop result := result * 2; if S(i) = '1' then result := result + 1; end if; end loop; return result; end vector2int; end MATH; 63

64 64

65 Time CLK <(10)> <TIME> CLK <(10)>. <AUTOMATION> CPU : CLK : <STATES> ADS : MAR <- IAR, IAR <- IAR+1, -> IFT IFT : IR <- M(MAR), -> DEC. DEC : MAR <- ADR,?OP #4 -> LDA #8 -> STA #16 -> ADD #32 -> BRA #33 -> BPR. LDA : ACC <- M(MAR), -> ADS. STA : M(MAR) <- ACC, -> ADS. ADD : ACC <- ACC+M(MAR), -> ADS. BRA : IAR <- ADR, -> ADS. BRP : * -ACC(0) * IAR <- ADR, -> ADS. <END>. <END> CPU. MAR IAR ADS IFT n-1 n n n+1 <TIME> CLK<(1)>. <STATES> ADS : MAR<-IAR, IAR<-IAR+1, ->IFT. 65

66 SCL (Simulation Control Language) Memory Address 0 LOAD 3 Program 1 ADD 4 Program 2 STORE 5 Program 3 7 Data 4 9 Data 5 16 Result 66

67 Slmulation Control program by SCL INIT M(0) = X 1003 Memory Initialization INIT M(1) = X 4004 INIT M(2) = X 2005 INIT M(3) = X 0007 INIT M(4) = X 0009 INIT CPU = ADS = B 0 CPU CPU Initialization TRACEX AT 0 AT 1000 IAR, MAR, IR, ACC, M(5) START 0 Output Format Simulation Start Time Setting 67

68 Program Example & memory Initialization 68

69 Simulation Execution Result CLK IAR MAR IR ACC M(5) 0 X 000 X 0000 X 0000 X 0000 X X 001 X 0000 X 0000 X 0000 X X 001 X 0000 X 1003 X 0000 X X 001 X 0003 X 1003 X 0000 X X 001 X 0003 X 1003 X 0007 X X 002 X 0001 X 1003 X 0007 X X 002 X 0001 X 4004 X 0007 X X 002 X 0004 X 4004 X 0007 X X 002 X 0004 X 4004 X 0010 X X 003 X 0002 X 4004 X 0010 X X 003 X 0002 X 2005 X 0010 X X 003 X 0005 X 2005 X 0010 X X 003 X 0005 X 2005 X 0010 X LOAD 3 ADD 4 STORE 5

70 Circuit Design Terminal HDL Description Circuit Symbol BUS a <Terminal> A, B, C ----A ----B ----C BUS b <Terminal> BUS(4) ----BUS(0) ----BUS(1) --/--BUS ----BUS(2) BUS(3) 70

71 Boolean 71

72 Combination Logic 72

73 Register HDL Description Circuit Diagram F L I P - F L O P R E G I S T E R a R E G I S T E R b <REGISTER> R <REGISTER> R(4) <TERMINAL> DATA 1(4) DATA 2(4), C1, C2, DATA1 <REGISTER> R(4) <AUTOMATION> MPXR:CLK: <LOGIC> *C1* R<-DATA1., *C2* R<-DATA2.. <END> MPXR Data 1 C1 Data 2 C2 73 R Input Data L Clock CG 4 / 4 / R(0) R(1) R(2) R(3) / 4 / 4 cond / 4 / 4 clk / 4 Output Data R / 4 R / 4

74 Flip-Flop Name Circuit Symbol Truth Table SET RSFF S R Q Q S Q R CLR Q Q 0 Q JKFF J K Q Q J K SET CLR Q Q Q 0 Q Q 0 Q 0 GLFF G L Q Q L S R SET CLR Q Q Q 0 Q 0 Q 0 Q G 74

75 Collection of Conditions <AUTOMATION> CPU:CLK: <LOGIC> *ADS* MAR(0:9) <- IAR(0:9), *ADS* IAR(0:9) <- IAR(0:9) +1., *ADS* -> IFT., *IFT* IR(0:15) <- M(MAR(0:9), 0:15)., *IFT* -> DEC., *DEC* MAR(0:9) <- IR(6:15)., *DEC & (IR(0:5) := 4)* -> LDA., *DEC & (IR(0:5) := 8)* -> STA., *DEC & (IR(0:5) := 16)* -> ADD., *DEC & (IR(0:5) := 32)* -> BRA., *DEC & (IR(0:5) := 33)* -> BRP., *LDA* ACC(0:15) <- M(MAR(0:9), 0:15)., *LDA* -> ADS., *STA* M(MAR(0:9), 0:15) <- ACC(0:15)., *STA* -> ADS., *ADD* ACC(0:15) <- ACC(0:15)+M(MAR(0:9), 0:15)., *ADD* -> ADS., *BRA* IAR(0:9) <- IR(6:15)., *BRA* -> ADS., *BRP & ACC(0)* IAR(0:9) <- IR(6:15)., *BRP* ->ADS., <END> CPU. Transition Condition to Reg. & Memory Device Name Source Transfer Condi. MAR(0:9) IAR(0:9) IR(6:15) ADS DEC IR(0:15) M(MAR(0:9), 0:15) IFT IAR(0:9) ACC(0:15) M(MAR(0:9),0: 15) IAR(0:9)+1 IR(6:15) M(MAR(0:9), 0:15) ACC(0:15)+M(MAR(0:9), 0:15) ACC(0:15) ADS BRA BRP & ~ACC(0) LDA ADD STA 75

76 *ADS* -> IFT., *IFT* -> DEC., *DEC & (IR(0:5) := 4)* -> LDA., *DEC & (IR(0:5) := 8)* -> STA., *DEC & (IR(0:5) :=16)* -> ADD., *DEC & (IR(0:5) :=32)* -> BRA., *DEC & (IR(0:5) :=33)* -> BRP., *LDA* -> ADS., *STA* -> ADS., *ADD* -> ADS., *BRA* -> ADS., *BRP* -> ADS., Next State ADS IFT DEC Current State LDA STA ADD BRA BRP ADS IFT Transfer Condition LDA DEC IR(0:5):=4 STA DEC IR(0:5):=8 ADD DEC IR(0:5):=16 BRA DEC IR(0:5):=32 BRP DEC IR(0:5):=33 76

77 Circuit Design IAR ADS IR(6:15) DAC DEC MAR MAR(0:9) CLK MAR Design M(MAR,0:15) IR IR(0:15) CLK IFT IR Design 77

78 IAR(0:9) IAR ADS IR(6:15) IAR(0:9) BRA CLK BRP ACC(0) IAR Design M(MAR,0:15) ACC LDA ACC(0:15)+M(MAR,0:15) ACC(0:15) ADD CLK ACC Design 78

79 ACC(0:15) 16 STA MAR(0:9) 10 M 16 M(MAR,0:15) Data Transfer of M source Cond M(MAR(0:9),0:15) ACC(0:15) STA 79

80 Data Path Design ADS IAR(0:9) CLK CLK 16 IR(0:15) ADR 16 OP 10 6 ACC(0) ADS DEC CLK MAR(0:9) WRITE ENABLE 16 M CONTROL LOGIC ADS IFT DEC LDS STA ADD BRA BRP LDA ADD 16 ACC 16 ADDER CLK ACC(0:15) STA 80

81 Control Logic Design State ST(0) ST(1) St(2) ADS IFT DEC LDA STA ADD Number of States : 8 Required F.F : 3 ST(0) ST(1) ST(2) BRA BRP

82 State Assignment 1. 목적 순서회로 (Sequential circuit) 에대해 최소길이의 2진코드를이용. 각상태에서로다른코드를할당. 조합회로부를최소화. 2. 필요성 순서회로의최적설계를위함 각내부상태에대한코드할당 최종회로의논리관계형성. 할당방식에따라서로다른회로면적을갖음. 상태간의연관관계를고려최적할당코드를구함. 82

83 State Assignment 3. 순서회로의기본구조 현상태및입력조건에의한다음상태및출력을결정. 구성 조합회로 (PLA, random logic) 기억소자 (register) 주입력 주출력 그림 1. 순서회로의일반구조 순서회로는상태천이도 or 상태천이표로나타냄 83

84 State Assignment 4. 상태할당의기본개념 Present State Q 1 Q 2 S1 S2 S3 S4 Input X 0 1 S4 S3 S1 -- S4 S2 S4 S2 그림 2. 상태천이표 S1->00, S2->01 S3->11, S4->10 Present State Q 1 Q Input X 그림 3. 상태천이표 X 0 1 Q 1 Q 그림 4. Output(D1) 그림 5. Output(D2) D1 = Q 1`Q 2`+Q 1`X`+Q 1 Q 2 X D2 = Q 1`Q 2 + Q 1 Q 2` X 0 1 Q 1 Q

85 * ㄱ ST(0)& ㄱ ST(1)& ㄱ ST(2)* ST(2)<-1 * ㄱ ST(0)& ㄱ ST(1)&ST(2)* ST(1)<-1, ST(2)<-0. * ㄱ ST(0)&ST(1)& ㄱ ST(2)&(IR(0:5):=4)* ST(2)<-1. * ㄱ ST(0)&ST(1)& ㄱ ST(2)&(IR(0:5):=8)* ST(0)<-1,ST(1)<-0. * ㄱ ST(0)&ST(1)& ㄱ ST(2)&(IR(0:5):=16)* ST(0)<-1,ST(1)<-0.ST(2)<-1 * ㄱ ST(0)&ST(1)& ㄱ ST(2)&(IR(0:5):=32)* ST(0)<-1. * ㄱ ST(0)&ST(1)& ㄱ ST(2)&(IR(0:5):=33)* ST(0)<-1.ST(2)<-1 * ㄱ ST(0)&ST(1)&ST(2)* ST(1)<-0, ST(2)<-0. *ST(0)& ㄱ ST(1)& ㄱ ST(2)* ST(0)<-0. *ST(0)& ㄱ ST(1)&ST(2)* ST(0)<-0, ST(2)<-0. *ST(0)&ST(1)& ㄱ ST(2)* ST(0)<-0, ST(1)<-0. *ST(0)&ST(1)&ST(2)* ST(0)<-0, ST(1)<-0,ST(2)<-0. ST(0) SET CONDITION ㄱ ST(0)&ST(1)& ㄱ ST(2)&(IR(0:5):=8 IR(0:5):=16 IR(0:5):=32 IR(0:5):=33) ST(0) RESET CONDITION ST(0) ST(1) SET CONDITION ㄱ ST(0)& ㄱ ST(1)&ST(2) ST(1) RESET CONDITION ST(1)&( ㄱ ST(0)& ㄱ ST(2)&((IR(0:5):=8) (IR(0:5):=16)) ST(2)) ST(2) SET CONDITION ㄱ ST(0)& ㄱ ST(2) &( ㄱ ST(1) IR(0:5):=4) (IR(0:5):=16 IR(0:5):=33) ST(2) RESET CONDITION ST(2) 85

86 Control Path op S ST(0) Q R S Q ST(1) Q R S ST(2) Q Q R Q ADS IFT DEC LDA STA ADD BRA BRP 86

87 ADS ST(0) ST(1) ADS 0 0 IFT IFT 0 1 DEC 1 1 DEC EXC EXC 1 0 LDA = EXC & (OP := 4) STA = EXC & (OP := 8) ADD = EXC & (OP := 16) BRA = EXC & (OP := 32) BRP = EXC & (OP := 33) Op code is stored in IR Reg. OP during decode the instruction and execution the instruction. 87

88 Simplified Control Bus S R Q Q S R Q Q ADS IFT DEC EXE OP LDA STA ADD BRA EXE 88

89 Design of Adder HDL Descript Symbol Truth table Circuit Diagram A B C S C <TERMINAL> (a) Full Adder A B c F A C S A,B,C,S,C. <BOOLEAN> C = A & B A B c S C B) & C, S = A@B@C (b) Half Adder A B H A C S A B S C <TERMINAL> A, B, S, C. <BOOLEAN> A B C S C=A&B. S=A@B. 89

90 A(0) B(0) FA C(0) S(0) A(1) B(1) C(2) FA C(1) S(1) A(14) B(14) FA C(14) S(14) A(15) B(15) FA C(15) S(15) < OPERATOR > S<(A, B)> (16) < TERMINAL > A(16), B(16), C(16). < BOOLEAN > C = A & B B) & C(1:15) B 0, S = C(1:15) B 0. < END > S. 90

91 A(0) HA C(0) I(0) A(1) HA C(1) I(1) C(2) A(8) HA C(8) I(8) A(9) I HA C(9) I(9) < OPERATOR > I <A> (10) < TERMINAL > A(10), C(10). < BOOLEAN > C = A & C (1:9) B 1, I = C(1:9) B 1. < END > I. 91

92 Micro-Programmed CPU Design 16 LSI 1 16 LSI 2 ABUS LSI BBUS LSI IR SIR LIR 6 16 ACC IR SACC LACC 6 16 IAR IR SIAR LIAR LSI 4 ADDER 10 MAR MAD LMAR 16 M READ WRITE B 1(16) ONE OPC 16 SOP 6 NEG 16 COND LSI CBUS NEXT OPA RBUS 4 ROM ADR 4 RAD ROM ABUS = sir& IR SACC&ACC siar& B IAR BBUS = READ&M(MAR) ONE&B CBUS INPUT = ABUS + BBUS CBUS OUTPUT ACC <- *LACC* CBUS., IR <- *LIR* CBUS., IAR <- *LIAR* CBUS(0:9)., MAR <- *LMAR* CBUS(0:9)., M(MAR) <- *WRITE* CBUS.. 92

93 S L S L S L L R W O S C I I A A I I M E R N O O R R C C A A A A I E P N C C R R R D T D E NEXT(4) ADS : MAR <- IAR IAR <- IAR + 1L. IFT : IR <- M(MAR). DEC : MAR <- ADR. LDA : ACC <- M(MAR). STA : M(MAR) <- ACC. ADD : ACC <- ACC + M(MAR). BRP : BRA : IAR <- ADR. -> ADS. 93

94 Empties represent 0 Control Rom 16 bit first 10bit => control signal final 4bit => next address to read add change => set 10th, 11th SOP COND to 1 Address 0 : SIAR, LMAR is 1, then MAR IAR next address is 0001 Address 1 : SIAR, LIAR, ONE is 1, then IAR IAR+1 Address 3 : SIR, LMAR is 1, so MAR ADR of IR Next instruction <= OP (set SOP=1) Address 7 : COND=1, first bit of ACC = 0 then ROM ADR become 1000, first bit of ACC = 1 then ROM ADR become

95 <SYSTEM> MICRO: <TIME> CLK<(10)>. <STORAGE> M(1024,16), ROM(16,16). <REGISTER> IR(16)=OP(6) ADR(10). ACC(16),IAR(10),MAR(10),ROMADR(4). <TERMINAL> ABUS(16),BBUS(16),CBUS(16),RBUS(4),OPA(4), CONTROL(16) =SIR LIR SACC LACC SIAR LIAR LMAR READ WRITE ONE SOP COND NEXT(4). <BOOLEAN> ABUS=SIR & IR SACC & ACC SIAR & B IAR, BBUS=READ & M(MAR) ONE & B 1(16), CBUS=ABUS+BBUS, CONTROL=ROM(ROMADR), RBUS=SOP & OPA ~SO[P & NEXT B 000 (COND & ~ACC(0),? OP # B OPA = B 0100 # B OPA = B 0101 # B OPA = B 0110 # B OPA = B 1000 # B OPA = B <AUTOMATION> CPU:CLK: <LOGIC> * LIR * IR <- CBUS., * LACC * ACC <- CBUS., * LIAR * IAR <- CBUS(0:9)., * LMAR * MAR <- CBUS(0:9)., * WRITE * M(MAR) <- CBUS., ROMADR <- RBUS. <END> CPU. <END> MICRO. 95

96 Partition <SYSTEM> LSIS: <TIME> CLK<(10).. <STORAGE> M(1024,16), ROM(16,16). <TERMINAL> ABUS(16), BBUS(16), CBUS(16), OPC(6), NEG, MAD(10), RAD(4), CONTROL(16)=SIR LIR SACC LACC SIAR LMAR READ WRITE ONE SOP COND NEXT(4),ABUS1(16),AB US2(16),ABUS3(16). <BOOLEAN> ABUS=ABUS1 ABUS2 ABUS3, CONTROL=ROM(RAD). <AUTOMATION> LSI1: CLK: <REGISTER> IR(16)=OP(6) ADR(10). <LOGIC> ABUS1=SIR&IR, IR & a peripheral circuit OPC=OP, * LIR * IR <- CBUS.. <END> LSI1. <AUTOMATION> LSI2: CLK: <REGISTER> ACC(16). <LOGIC> ABUS2 = SACC & ACC, NEG = ~ACC(0), ACC & a peripheral circuit * LACC * ACC <- CBUS.. <END> LSI2. <AUTOMATION> LSI3: CLK: <REGISTER> IAR(10). <LOGIC> ABUS3(0:9) = SIAR & IAR, * LIAR * IAR <- CBUS.. <END> LSI3. 96

97 <AUTOMATION> LSI4: CLK: <BOOLEAN> CBUS = S < (ABUS,BBUS) >. <OPERATOR> S < (A,B) > (16) <TERMINAL> A(16), B(16), C(16). <BOOLEAN> C = A & B (A@B) & C(1:15) B1 0, S = A@B@C(1:15) B 0. <END> S. <END> LSI4. <AUTOMATION> LSI5: CLK: <REGISTER> MAR(10). <LOGIC> MAD = MAR, * LMAR * MAR <- CBUS(0:9).. <END> LSI5. <AUTOMATION> LSI6: CLK: <BOOLEAN> BBUS = READ & M(MAD) ONE & B 1(16). <END> LSI6. <AUTOMATION> LSI7: CLK: <REGISTER> ROMADR(4). <TERMINAL> OPA(4), RBUS(4). <LOGIC> RBUS = SOP & OPA ~SOP & NEXT B 000 (NEG & COND), RAD = ROMADR,? OPC # B OPA = B 0100 # B OPA = B 0101 # B OPA = B 0110 # B OPA = B 1000 # B OPA = B 0111., ROMADR <- RBUS. <END> LSI7. <END> LSIS. 97

98 <AUTOMATION> LSI1 IR -- and its peripherals <AUTOMATION> LSI2 ACC -- and its peripherals <AUTOMATION> LSI3 IAR -- and its peripherals <AUTOMATION> LSI4 ADDER <AUTOMATION> LSI5 MAR --and its peripherals <AUTOMATION> LSI6 BBUS -- and its peripherals <AUTOMATION> LSI7 ROMADR, RBUS -- and its peripherals <SYSTEM> TEST7: <ENTERANCE> OPC(6), NEG. <TIME> CLK <(10)>. <STORAGE> ROM(16,16). <TERMINAL> RAD(4), CONTROL(16) = SIR LISR SACC LACC SIAR LIAR LMAR READ WRITE ONE SOP COND NEXT (4). <BOOLEAN> CONTROL = ROM(RAD). <AUTOMATION> LSI7: CLK: <END> LSI7. <END> TEST7. 98

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

歯이시홍).PDF

歯이시홍).PDF cwseo@netsgo.com Si-Hong Lee duckling@sktelecom.com SK Telecom Platform - 1 - 1. Digital AMPS CDMA (IS-95 A/B) CDMA (cdma2000-1x) IMT-2000 (IS-95 C) ( ) ( ) ( ) ( ) - 2 - 2. QoS Market QoS Coverage C/D

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

±èÇö¿í Ãâ·Â

±èÇö¿í Ãâ·Â Smartphone Technical Trends and Security Technologies The smartphone market is increasing very rapidly due to the customer needs and industry trends with wireless carriers, device manufacturers, OS venders,

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

ecorp-프로젝트제안서작성실무(양식3)

ecorp-프로젝트제안서작성실무(양식3) (BSC: Balanced ScoreCard) ( ) (Value Chain) (Firm Infrastructure) (Support Activities) (Human Resource Management) (Technology Development) (Primary Activities) (Procurement) (Inbound (Outbound (Marketing

More information

Information Memorandum Danam Communications Inc

Information Memorandum Danam Communications Inc Information Memorandum 2000. 7. 6 Danam Communications Inc 2 TABLE OF CONTENTS... 5 I.... 6 1....6 2....7 3....9 4....10 5....11 6....12 7....13 8....14 II.... 16 1....16 2....16 3....16 4....17 III. R&D...

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

Something that can be seen, touched or otherwise sensed

Something that can be seen, touched or otherwise sensed Something that can be seen, touched or otherwise sensed Things about an object Weight Height Material Things an object does Pen writes Book stores words Water have Fresh water Rivers Oceans have

More information

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 13 Lightweight BPM Engine SW 13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 BPM? 13 13 Vendor BPM?? EA??? http://en.wikipedia.org/wiki/business_process_management,

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

AV PDA Broadcastin g Centers Audio /PC Personal Mobile Interactive (, PDA,, DMB ),, ( 150km/h ) (PPV,, ) Personal Mobile Interactive Multimedia Broadcasting Services 6 MHz TV Channel Block A Block

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

#Ȳ¿ë¼®

#Ȳ¿ë¼® http://www.kbc.go.kr/ A B yk u δ = 2u k 1 = yk u = 0. 659 2nu k = 1 k k 1 n yk k Abstract Web Repertoire and Concentration Rate : Analysing Web Traffic Data Yong - Suk Hwang (Research

More information

SW¹é¼Ł-³¯°³Æ÷ÇÔÇ¥Áö2013

SW¹é¼Ł-³¯°³Æ÷ÇÔÇ¥Áö2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX 20062 () wwwexellencom sales@exellencom () 1 FMX 1 11 5M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX D E (one

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 6, December, 30, 2016:275~289 Received: 2016/12/02, Accepted: 2016/12/22 Revised: 2016/12/20, Published: 2016/12/30 [ABSTRACT] SNS is used in various fields. Although

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

Service-Oriented Architecture Copyright Tmax Soft 2005

Service-Oriented Architecture Copyright Tmax Soft 2005 Service-Oriented Architecture Copyright Tmax Soft 2005 Service-Oriented Architecture Copyright Tmax Soft 2005 Monolithic Architecture Reusable Services New Service Service Consumer Wrapped Service Composite

More information

/ TV 80 () DAB 2001 2002 2003 2004 2005 2010 Analog/Digital CATV Services EPG TV ( 60 ) TV ( Basic, Tier, Premiums 60 ) VOD Services Movies In Demand ( 20 ) Education N- VOD (24 ) Digital Music

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

Voice Portal using Oracle 9i AS Wireless

Voice Portal using Oracle 9i AS Wireless Voice Portal Platform using Oracle9iAS Wireless 20020829 Oracle Technology Day 1 Contents Introduction Voice Portal Voice Web Voice XML Voice Portal Platform using Oracle9iAS Wireless Voice Portal Video

More information

<3034B1E2B9DD32302DBAB8B0EDBCAD2D524150412DC0FCC6C4C0DABFF8303528BAB0C3A53420C8A8B3D7C6AEBFF6C5A9292E687770>

<3034B1E2B9DD32302DBAB8B0EDBCAD2D524150412DC0FCC6C4C0DABFF8303528BAB0C3A53420C8A8B3D7C6AEBFF6C5A9292E687770> 2004년 12월 31일 주관연구기관 : 한국전파진흥협회 연구 책임자 : 정 신 교 참여 연구원 : 정 성 진 안 준 오 우 현 주 김 선 영 이 영 란 서 지 영 High Data Rate WPAN 기술 UWB / W1394 PDA 지능형에이젼트기술 방범 전력검침 RF ZigBee 수도검침 802.15.3 Web PAD UWB/무선1394

More information

歯I-3_무선통신기반차세대망-조동호.PDF

歯I-3_무선통신기반차세대망-조동호.PDF KAIST 00-03-03 / #1 1. NGN 2. NGN 3. NGN 4. 5. 00-03-03 / #2 1. NGN 00-03-03 / #3 1.1 NGN, packet,, IP 00-03-03 / #4 Now: separate networks for separate services Low transmission delay Consistent availability

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

감각형 증강현실을 이용한

감각형 증강현실을 이용한 대한산업공학회/한국경영과학회 2012년 춘계공동학술대회 감각형 증강현실을 이용한 전자제품의 디자인 품평 문희철, 박상진, 박형준 * 조선대학교 산업공학과 * 교신저자, hzpark@chosun.ac.kr 002660 ABSTRACT We present the recent status of our research on design evaluation of digital

More information

` Companies need to play various roles as the network of supply chain gradually expands. Companies are required to form a supply chain with outsourcing or partnerships since a company can not

More information

½Éº´È¿ Ãâ·Â

½Éº´È¿ Ãâ·Â Standard and Technology of Full-Dimension MINO Systems in LTE-Advances Pro Massive MIMO has been studied in academia foreseeing the capacity crunch in the coming years. Presently, industry has also started

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

歯김한석.PDF

歯김한석.PDF HSN 2001 Workshop Session IX Service Providers and Business Model Future Business Models for Telecom Industry 1. Internet Economy 2. E-business 3. Internet Economy 4.? 1 1. Internet Economy 1.1 Internet

More information

, N-. N- DLNA(Digital Living Network Alliance).,. DLNA DLNA. DLNA,, UPnP, IPv4, HTTP DLNA. DLNA, DLNA [1]. DLNA DLNA DLNA., [2]. DLNA UPnP. DLNA DLNA.

, N-. N- DLNA(Digital Living Network Alliance).,. DLNA DLNA. DLNA,, UPnP, IPv4, HTTP DLNA. DLNA, DLNA [1]. DLNA DLNA DLNA., [2]. DLNA UPnP. DLNA DLNA. http://dx.doi.org/10.5909/jeb.2012.17.1.37 DLNA a), a), a) Effective Utilization of DLNA Functions in Home Media Devices Ki Cheol Kang a), Se Young Kim a), and Dae Jin Kim a) DLNA(Digital Living Network

More information

-

- World Top 10 by 2030 CONTENTS CONTENTS 02 03 PRESIDENT S MESSAGE 04 05 VISION GOALS VISION GOALS STRATEGIES 06 07 HISTORY 2007 2008 2009 2010 2011 08 09 UNIST POWER 10 11 MPI USTC UNIST UCI UTD U-M GT

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

소프트웨어개발방법론

소프트웨어개발방법론 사용사례 (Use Case) Objectives 2 소개? (story) vs. 3 UC 와 UP 산출물과의관계 Sample UP Artifact Relationships Domain Model Business Modeling date... Sale 1 1..* Sales... LineItem... quantity Use-Case Model objects,

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

00내지1번2번

00내지1번2번 www.keit.re.kr 2011. 11 Technology Level Evaluation ABSTRACT The Technology Level Evaluation assesses the current level of industrial technological development in Korea and identifies areas that are underdeveloped

More information

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt 고속 Row Cycle 동작이가능한 VPM (Virtual Pipelined Memory) 구조에 대한연구 1998. 12. 28. 윤치원 1 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제

More information

Å©·¹Àγ»Áö20p

Å©·¹Àγ»Áö20p Main www.bandohoist.com Products Wire Rope Hoist Ex-proof Hoist Chain Hoist i-lifter Crane Conveyor F/A System Ci-LIFTER Wire Rope Hoist & Explosion-proof Hoist Mono-Rail Type 1/2ton~20ton Double-Rail

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

ÀüÀÚ Ä¿¹ö-±¹¹®

ÀüÀÚ Ä¿¹ö-±¹¹® 1 TV 20011 TV TV Top 3. 63 TV 40 TFT-LCD TV, TFT-LCD LCoS(Liquid Crystal on Silicon). 2002 TV 15, 17, 22, 24, 29 40 TFT-LCD TV 1. VCR & 1979 VCR 2001., VCR, AOL Time Warner TV. 2002 SDTV HDTV,,. DVD DVD/VCR

More information

DW 개요.PDF

DW 개요.PDF Data Warehouse Hammersoftkorea BI Group / DW / 1960 1970 1980 1990 2000 Automating Informating Source : Kelly, The Data Warehousing : The Route to Mass Customization, 1996. -,, Data .,.., /. ...,.,,,.

More information

The Self-Managing Database : Automatic Health Monitoring and Alerting

The Self-Managing Database : Automatic Health Monitoring and Alerting The Self-Managing Database : Automatic Health Monitoring and Alerting Agenda Oracle 10g Enterpirse Manager Oracle 10g 3 rd Party PL/SQL API Summary (Self-Managing Database) ? 6% 6% 12% 55% 6% Source: IOUG

More information

Intro to Servlet, EJB, JSP, WS

Intro to Servlet, EJB, JSP, WS ! Introduction to J2EE (2) - EJB, Web Services J2EE iseminar.. 1544-3355 ( ) iseminar Chat. 1 Who Are We? Business Solutions Consultant Oracle Application Server 10g Business Solutions Consultant Oracle10g

More information

SchoolNet튜토리얼.PDF

SchoolNet튜토리얼.PDF Interoperability :,, Reusability: : Manageability : Accessibility :, LMS Durability : (Specifications), AICC (Aviation Industry CBT Committee) : 1988, /, LMS IMS : 1997EduCom NLII,,,,, ARIADNE (Alliance

More information

Á¶Áø¼º Ãâ·Â-1

Á¶Áø¼º Ãâ·Â-1 FMC Service Case Analysis: UMA and Femtocell In this paper, we explain UMA(Unlicensed Mobile Alliance) and Femtocell as a key driving factor for FMC(Fixed Mobile Convergence) and QPS(Quadruple Play Service).

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

untitled

untitled 9 hamks@dongguk.ac.kr : Source code Assembly language code x = a + b; ld a, %r1 ld b, %r2 add %r1, %r2, %r3 st %r3, x (Assembler) (bit pattern) (machine code) CPU security (code generator).. (Instruction

More information

solution map_....

solution map_.... SOLUTION BROCHURE RELIABLE STORAGE SOLUTIONS ETERNUS FOR RELIABILITY AND AVAILABILITY PROTECT YOUR DATA AND SUPPORT BUSINESS FLEXIBILITY WITH FUJITSU STORAGE SOLUTIONS kr.fujitsu.com INDEX 1. Storage System

More information

<BACEBDBAC5CD20BAEAB7CEBCC52D A2DC3D6C1BE2D312D E6169>

<BACEBDBAC5CD20BAEAB7CEBCC52D A2DC3D6C1BE2D312D E6169> DOOCH PUMP Intelligent pressure boosting system 5Hz BOOSTER PUMP SYSTEM Water supply system Pressure boosting system Irrigation system Water treatment system Industrial plants 두크펌프 www.doochpump.com CONTENTS

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

歯3이화진

歯3이화진 http://www.kbc.go.kr/ Abstract Terrestrial Broadcasters Strategies in the Age of Digital Broadcasting Wha-Jin Lee The purpose of this research is firstly to investigate the

More information

1. 회사소개 및 연혁 - 회사소개 회사소개 회사연혁 대표이사: 한종열 관계사 설립일 : 03. 11. 05 자본금 : 11.5억원 인 원 : 18명 에스오넷 미도리야전기코리 아 미도리야전기(일본) 2008 2007 Cisco Premier Partner 취득 Cisco Physical Security ATP 취득(진행) 서울시 강남구 도심방범CCTV관제센터

More information

12È«±â¼±¿Ü339~370

12È«±â¼±¿Ü339~370 http://www.kbc.go.kr/ k Si 2 i= 1 Abstract A Study on Establishment of Fair Trade Order in Terrestrial Broadcasting Ki - Sun Hong (Professor, Dept. of Journalism & Mass Communication,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph 인터그래프코리아(주)뉴스레터 통권 제76회 비매품 News Letters Information Systems for the plant Lifecycle Proccess Power & Marine Intergraph 2008 Contents Intergraph 2008 SmartPlant Materials Customer Status 인터그래프(주) 파트너사

More information

9

9 9 hamks@dongguk.ac.kr : Source code Assembly language code x = a + b; ld a, %r1 ld b, %r2 add %r1, %r2, %r3 st %r3, x (Assembler) (bit pattern) (machine code) CPU security (code generator).. (Instruction

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

http://www.kbc.go.kr/pds/2.html Abstract Exploring the Relationship Between the Traditional Media Use and the Internet Use Mee-Eun Kang This study examines the relationship between

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770>

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770> i ii iii iv v vi 1 2 3 4 가상대학 시스템의 국내외 현황 조사 가상대학 플랫폼 개발 이상적인 가상대학시스템의 미래상 제안 5 웹-기반 가상대학 시스템 전통적인 교수 방법 시간/공간 제약을 극복한 학습동기 부여 교수의 일방적인 내용전달 교수와 학생간의 상호작용 동료 학생들 간의 상호작용 가상대학 운영 공지사항,강의록 자료실, 메모 질의응답,

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

11¹Ú´ö±Ô

11¹Ú´ö±Ô A Review on Promotion of Storytelling Local Cultures - 265 - 2-266 - 3-267 - 4-268 - 5-269 - 6 7-270 - 7-271 - 8-272 - 9-273 - 10-274 - 11-275 - 12-276 - 13-277 - 14-278 - 15-279 - 16 7-280 - 17-281 -

More information

歯1.PDF

歯1.PDF 200176 .,.,.,. 5... 1/2. /. / 2. . 293.33 (54.32%), 65.54(12.13%), / 53.80(9.96%), 25.60(4.74%), 5.22(0.97%). / 3 S (1997)14.59% (1971) 10%, (1977).5%~11.5%, (1986)

More information

<3132BFF93136C0CFC0DA2E687770>

<3132BFF93136C0CFC0DA2E687770> 2005-12-16 1 합계 음반시장 온라인음악시장 5,000 4,000 3,000 4,104 4,104 3,530 3,530 3,800 3,800 4,554 4,104 4,644 3,733 4,203 2,861 3,683 3,352 2,000 1,000 450 911 1,342 1,833 1,850 1,338 2,014 0- - - - 199797 199898

More information

Preliminary spec(K93,K62_Chip_081118).xls

Preliminary spec(K93,K62_Chip_081118).xls 2.4GHz Antenna K93- Series KMA93A2450X-M01 Antenna mulilayer Preliminary Spec. Features LTCC Based designs Monolithic SMD with small, low-profile and light-weight type Wide bandwidth Size : 9 x 3 x 1.0mm

More information

10주차.key

10주차.key 10, Process synchronization (concurrently) ( ) => critical section ( ) / =>, A, B / Race condition int counter; Process A { counter++; } Process B { counter ;.. } counter++ register1 = counter register1

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

thesis

thesis ( Design and Implementation of a Generalized Management Information Repository Service for Network and System Management ) ssp@nile nile.postech.ac..ac.kr DPE Lab. 1997 12 16 GMIRS GMIRS GMIRS prototype

More information

UML

UML Introduction to UML Team. 5 2014/03/14 원스타 200611494 김성원 200810047 허태경 200811466 - Index - 1. UML이란? - 3 2. UML Diagram - 4 3. UML 표기법 - 17 4. GRAPPLE에 따른 UML 작성 과정 - 21 5. UML Tool Star UML - 32 6. 참조문헌

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

08SW

08SW www.mke.go.kr + www.keit.re.kr Part.08 654 662 709 731 753 778 01 654 Korea EvaluationInstitute of industrial Technology IT R&D www.mke.go.kr www.keit.re.kr 02 Ministry of Knowledge Economy 655 Domain-Specific

More information