(ok).hwp

Size: px
Start display at page:

Download "(ok).hwp"

Transcription

1 수요자연계형 04 Fan-out 패키지용본딩 / 디본딩소재및장비개발

2

3 과제명 1 개요 기존의패키지공정은그림 1에나타낸것과같이소자가제작된웨이퍼에서각칩 ( 다이 ) 을분리한후각다이를유기또는세라믹기판위에실장후전기적으로연결하고물리적인보호층을입히는순서로진행됨. 따라서, 다이수에비례하여공정비용이증가하는단점이있음. 그림 1. 기존의패키지공정 이에반해 Fan-out 패키지는그림 2에나타낸것과같이캐리어기판위에다이를배열한후몰딩, 재배선, 다이싱공정을진행함으로써종래의패키지와달리기판이없어얇은특징이있고, 웨이퍼단위로몰딩및재배선공정이진행됨에따라다이수가증가해도공정비용이큰변화가없는장점이있음. 그림 2. fan-out 패키지공정 - 3 -

4 이때재배선및솔더의배열위치에따라 fan-in 또는 fan-out 의두가지형태로나뉘며, 전체 I/O의확장성및이종칩의수용여부면에서 fan-out 형태가유리함 그림 3. fan-in vs fan-out 본딩 / 디본딩소재및장비는 Fan-out 패키지제조공정중얇게몰딩된웨이퍼혹은패널을캐리어에본딩후후속공정을수행한다음디본딩공정에사용되는소재및장비기술임 현재반도체산업은한국이주도하는소자분야 (IDM) 와대만중심의파운드리, 그리고한국과중화권이양분하는패키징분야 (OSAT) 로구분되어각자의사업영역을지켜왔으나, 최근대만의 TSMC가 fan-out 패키지기술을기반으로파운드리와패키징에대한일괄서비스를제공하면서한국반도체산업에위협이되고있음 2016년파운드리업체인 TSMC 가 fan-out 패키지기술로애플의 A10칩에대한독점생산을시작하면서기존의소자업체및패키지업체들의 fan-out 패키지기술에대한수요가급격히높아짐반도체산업의주도권을유지하기위해서는패키지업체들의 fan-out 패키지기술에대한신속한대응이필요하나, 현재중소기업중심의패키지업체들은자체기술개발력의부족과고가의설비 / 소재의수입으로인한높은투자비용으로인해대응이어려운상황임따라서 fan-out 패키지기술에대한국내장비 / 소재 / 소자기술에대한정부의지원을통해국내산업의가장큰비중을차지하고있는반도체소자업체의글로벌경쟁력의유지및발전을도모해야함 - 4 -

5 무어의법칙으로대변되는반도체집적화기술이최근물리적, 기술적한계에부딪히게됨으로써, 3D IC 등과같이새로운기술을이용하여반도체집적화와신기능을추구하는기술동향이나타남이가운데 fan-out 패키지기술은새로운공정을이용한제작비용의절감외에도칩두께의감소, 이종칩의패키징을통한신기능패키지의구현이가능하게되어기존의 SOC, SiP, PoP 등의기술을능가할신기술로평가받고있음특히모바일가전분야에서는낮은두께, 저렴한비용, 다기능성의장점으로높은주목을받고있으며, 애플의차세대 A10칩에전격적인적용으로관련산업에서의중요도가급격하게높아지고있음향후패키지기술분야뿐만아니라 IoT, 메모리등소자분야에도큰영향을미칠것으로판단되는 fan-out 패키지기술에대해기술개발초기부터적극적인지원이필요함 TSMC의애플칩수주에서보듯이 fan-out 패키지기술은패키지산업분야뿐만아니라소자업체전반에큰영향을미치는기술로대한민국이글로벌반도체산업의주도권을유지하기위해서는필수적으로개발이필요함삼성전자에서관련기술을자체개발하며관련업체의관심을유도하고있으나, 네패스, 한미반도체등패키지주력업체들은 fan-out 패키지기술개발을주도하기에는기업규모가작아, 관련장비 / 소재산업을리드하는데한계가있음현재관련장비및소재는대부분외국기업에의존하고있어, 가격경쟁력이중요한패키지산업의특성상국내중소규모기업들이사업을추진하는데어려움이있어, 정부주도의기술개발지원이절실한상황임정부지원을통해관련소재, 장비, 소자업체들이공동으로기술개발을함으로써외산제품대비가격경쟁력을확보하고, 공동기술개발을통한적기기술개발을통해글로벌반도체산업경쟁력을제고하고자함 - 5 -

6 2 기획과제분석 fan-out 패키지기술은프리스케일과인피니온에서처음개발하였으나, 현재자체생산보다는기술라이선싱에주력하고있음 fan-out 패키지기술을이용한생산은 TSMC 과스태트칩팩, 나니움등에서하고있음애플의 A10 프로세서에의전격적인 fan-out 패키지기술채용이후관심이급격히높아지고있으며, 자동차용 ADAS 용레이더등적용분야가확대되고있음독일 IZM에서는 PLP(panel level package) 형태의 fan-out 패키지콘소시움을형성하는등관련기관들간의기술교류및협력이활발하게일어나고있음 네패스에서인피니온기술을라이선싱하여 fan-out 패키지제품을전장용반도체로양산하고있으며자체기술개발도진행하고있음 Amkor Korea, 하나마이크론등도자체적으로관련기술개발을진행하고있으며, 양산시기를저울질하고있음삼성전기, 삼성전자등은 PLP 형태의 fan-out 패키기기술개발을 2015년부터시작하였으며, 내부제품용으로기술을개발하고있음 fan-out 패키지용본딩 / 디본딩소재및장비는모두해외에서전량수입하고있어관련소재 / 장비의국산화개발이시급함 - 6 -

7 Fan-out 패키지용본딩 / 디본딩소재및장비분야는기존의미국, 일본등에서많은기술개발이이루어져있으나, 국내에는관련특허출원이많지않은상황으로, 국내외에서지재권을확보할수있는미개척기술분야로판단됨 국내에서는현재 fan-out 패키지기술에대한연구가본격적으로이루어지는상황에서향후 3~4 년안에본격적으로산업이성장할것으로판단되는바, 본기술개발을통해시장형성초기에진입할경우해외소재 / 장비에대해성공적인시장선점을이룰수있음 Fan-out 패키지는두께가얇은장점이있어서최근스마트폰에적용되기시작하였고다양한반도체칩에적용이가능하여시장및기술의고도화가지속적으로유지 성장할것으로예상됨반도체후공정소재시장은전공정소재시장과대등한규모임과동시에대한민국이반도체강국을유지하고위해서는반도체후공정소재, 장비, 공정, 설계기술에지속적인투자가요구됨 Fan-out 패키지관련소재및장비는대부분해외수입중이고향후이와관련된지속적인시장확대가예상됨으로관련된소재및장비기술이확보되면관련산업체의차세대먹거리및국제경쟁력이확보될수있을것으로판단됨 현재모바일 AP를양산하는대만 TSMC 를제외하면모든소자업체들이 fan-out 패키지기술의적용분야및양산가능성에대해서소재 / 장비 / 공정을최적화하는단계로 TRL 4/5 단계에해당함 TRL 단계 ( 현재기준 ) : 4단계 ( 소재 / 장비 ) 및 5단계 ( 소자 ) - 소재및장비분야의경우다양한본딩 / 디본딩소재에대해서적용공정에따른최적의조성및형태를개발하는단계로 TRL 4단계의부품 / 시스템성능검증단계에해당함 - 소자분야의경우다양한모듈에적용하여공정을최적화하는 TRL 5단계의부품 / 시스템시제품제작에해당함 - 7 -

8 Fan-out 패키지용본딩 / 디본딩소재및장비개발분야와관련된국내 외특허건수를살펴보면, Thinned device wafer 핸들링을위한임시접착필름 (AA) 는한국 229 건, 미국 146 건, 일본 407 건및유럽 24건, Bump 및 Mold 가포함된완성된 Device wafer(ab) 는한국 249건, 미국 432건, 일본 370건및유럽 19건및 Temporary-bonding 및 De-bonding 등 wafer handling 을위한공정및장비기술 (AB) 은한국 209건, 미국 285건, 일본 104건및유럽 10건이유효특허로선별되었음 Fan-out 패키지용본딩 / 디본딩소재및장비개발분야와관련된주요시장국연도별특허동향을살펴보면, 분석초기구간부터증가하였으나, 2010년이후다소감소하고있는것으로분석됨주요시장국내 외국인특허출원현황을살펴보면, 일본출원이 881 건, 미국출원이 863 건에해당하여각각 34% 로가장높은점유율을보이고, 한국출원과유럽출원이그뒤를이어각각 30%, 2% 의점유율을차지하고있는것으로나타남기술시장성장단계를살펴보면, 1구간 (1995년 ~1999년 ) 부터 3구간 (2005년 ~ 2009년 ) 까지출원인수와출원건수가증가하여성장기단계에있는것으로평가됨주요출원인 Top20 을살펴보면, 한국의삼성이 193건으로전체다출원인 1위로나타냈으며그뒤를이어일본의 HITACHI, 한국의앰코테크놀로지코리아등이이기술분야에서다수의특허를출원하고있는것으로나타남. 특히, 주요출원인 Top20 중일본국적의출원인이 12명으로과반수넘게차지하고있으며, 이는일본기업이상대적으로 Fan-out 패키지용본딩 / 디본딩소재및장비에관한연구개발에보다많은노력을하는것으로분석됨다출원인 2위인일본의 HITACHI 및일본의 NITTO 는공통적으로주요시장국모두에서고르게활발한특허출원을하고있는것으로나타나국제적인시장경쟁력을확보한것으로판단되며, 특히, 일본의 NITTO 는특허출원증가율은증가세를나타내어최근특허출원이증가한것으로분석됨 Fan-Out 패키지기술개발은일본과미국이먼저시작했으나, 실제양산기술과소재 / 장비는대만과일본이주도하고있는상황에서국내업체들이양산기술을따라잡고있음소자기술의경우네패스에서이미양산을하고있으며, Amkor Korea, 하나마이크론등도관련기술개발을완료하고양산적용을검토하고있는상황이며, 최근삼성전자, 삼성전기에서전사적으로관련기술을개발하고있어소자기술면에서는 TSMC 등해외선진사와동등또는 1년이내의격차를갖고있는것으로파악됨 - 8 -

9 소재기술의경우일본및미국업체들이강세를보이고있으며, 국내의경우본격적인기술개발초기단계로 2~3년의격차를갖고있는것으로파악되나, 장비및소자와함께개발되어야하는패키지소재의특성을고려할때본격적으로소자업체들이개발을시작하는현시점에서공동개발이이루어질경우양산시점에서는충분히동등또는나은수준을확보할수있을것으로판단됨장비의경우미국, 일본등선발업체에비해서아직시작단계이나, 관련패키지장비에대한국내업체의개발경험및보유시장을고려할때장비기술력자체로는 2년이내의기술격차를갖고있으나소재및소자업체와의공동개발시 2년이내에동등수준을보유할것으로판단됨 < 기술수준및격차 > 관련기술명 기술선도국및기업 / 연구소 상대적수준 (%) 기술격차 ( 년 ) 본딩 / 디본딩소재 일본 NItto Denko 60 3 본딩 / 디본딩장비일본 Hitachi 60 3 fan-out 패키지공정기술대만 TSMC 60 1 본과제의목표시장은 Fan Out Wafer Level Package(FOWLP) 시장으로 Fan-out 패키지용본딩및디본딩관련소재와장비를의미함 - Wafer level package 는칩을실리콘웨이퍼에직접실장하는반도체패키지공정으로, 원가경쟁력이높으며얇은두께와높은방열기능등의장점을지님 - Fan-out 패키지용본딩 / 디본딩소재란 fan-out 패키지제작공정중얇게몰딩된웨이퍼혹은패널을 carrier에본딩후후속공정을진행한이후디본딩공정에사용되는소재및장비기술임 - 기존패키지대비 1/16 수준으로칩크기를줄일수있고하나의패키지에여러개칩을넣는시스템인패키지가가능해스마트폰, 사물인터넷및웨어러블기기에적용이유리한제품임본과제에서개발되는기술의적용제품으로는데이터센서용메모리, 프로세서모듈, FPGA, 이미지센서등으로, 이는반도체패키지, 스마트폰, 사물인터넷 / 웨어러블기기, 자동차전자부품등에사용됨 - 9 -

10 그림 4. Fan Out Wafer Level Package 최근스마트폰에 Fan-out 구조의 AP채택과함께웨어러블기기, 자동차등으로그수요가확대되고있음 - 스마트폰시장은성장세가정체되었으나애플리케이션프로세서 (application processor) 적용으로 FOWLP 시장의규모는급증하고있음 - 자율주행등신기술적용확대로자동차의전자부품시장이확대됨에따라, FOWLP 시장의성장이예상됨반도체패키지경박단소, 고밀도, 고대역폭, 고품질, 집적, 저원가가지향되면서, FOWLP 소비는지속적으로증가할것으로예상됨 국내 FOWLP 본딩 / 디본딩소재및장비시장은연평균 22.6% 의성장률을보이며, 2015년 179억원에서 2021년약 606억원규모의시장을형성할것으로전망됨 - FOWLP 본딩 / 디본딩소재시장은 Yole development(2016) 의전세계 FOWLP 시장데이터에해당제품의비중 5% 와국내시장점유율 18% 를적용하여국내시장규모를추정함 - FOWLP 본딩 / 디본딩장비시장은 Glass Wafer Carrier 크기, FOWLP 패키지크기, 수율을감안하여장비사용량을추정함국외 FOWLP 본딩 / 디본딩소재및장비시장은연평균 22.1% 의성장률보이며, 2015 년 1.0억달러에서 2021년약 3.2억달러규모의시장을형성할것으로전망됨 - FOWLP 본딩 / 디본딩소재시장은 Yole development(2016) 의 Fan-Out: Technologies & Market Trends 2016 데이터에해당제품의비중 5% 를적용하여산정함 - FOWLP 본딩 / 디본딩장비시장은 Glass Wafer Carrier 크기, FOWLP 패키지크기, 수율을감안하여장비사용량을추정함

11 ( 단위 : 억원, 억달러 ) 구분 2015 년 2017 년 2019 년 2021 년 CAGR 국내 ( 억원 ) 국외 ( 억달러 ) FOWLP 본딩 / 디본딩소재및장비 FOWLP 본딩 / 디본딩소재및장비 % % 출처 : ( 국내 ) Yole(2016) 과 semi(2015) 의자료를사용하여 이노싱크컨설팅에서재구성함 ( 국외 ) Fan-Out: Technologies & Market Trends 2016, Yole development, 2016 < 국내시장규모및성장률 > < 국외시장규모및성장률 > 예상시장점유율 - 처음으로매출발생이기대되는 2022년에국내 FOWLP 본딩 / 디본딩장비, 소재의예상시장점유율은 15%, 국외의예상시장점유율은 10% 로예측됨 ( 단위 : % ) 구분 2022년 2023년 2024년 2025년 2026년 국내 (%) FOWLP 장비, 소재 15% 20% 25% 30% 40% 국외 (%) FOWLP 장비, 소재 10% 15% 15% 20% 20% 예상시장총매출 ( 장비 + 소재 ) - 국내 FOWLP 본딩 / 디본딩장비및소재의 2022년에서 2026년까지 5년간예상시장총매출액은 1,541억원이고, 국외의 2022년에서 2026년까지 5년간예상시장총매출액은 5,128 억원이며, 국내외의 2022 년에서 2026 년까지 5년간예상시장총매출액은 6,670억원으로전망됨

12 ( 단위 : 억원, %) 구분 2022 년 2023 년 2024 년 2025 년 2026 년합계 국내 ( 억원 ) 국외 ( 억원 ) 시장규모 (A) ,062 1,268 1,513 5,481 점유율 (B) 15% 20% 25% 30% 40% - 예상시장총매출액 (C)=(A) (B) ,541 시장규모 (A) 4,143 4,944 5,899 7,039 8,399 30,425 점유율 (B) 10% 15% 15% 20% 20% - 예상시장총매출액 (C)=(A) (B) ,408 1,680 5,128 국내 외예상시장총매출액 ,151 1,788 2,285 6,670 적용환율 : $1=1100 원 해당기술의적용대상시장인 FOWLP 시장은반도체패키지등의기존수요외에 Apple 사의 AP 도입에따른모바일칩의신규수요추가로시장확대가예상됨그러나현재까지 FOWLP 공급이 TSMC 외의소수업체들에의해서만이루어지고있으며, 수요자가증가하시장의단계는도입기로판단됨목표시장인 FOWLP 본딩 / 디본딩소재및장비의시장진입장벽은높은것으로판단됨 - FOWLP 산업은반도체소자의기술조건이매우민감하게적용되어주요공급업체는반도체산업의초기부터시장에진입한업체들을중심으로시장이구성되어있음 - 미국, 유럽, 일본의글로벌기업이기술력을기반으로시장을장악하고있어이는후발기업들에게진입장벽으로작용할수있음 - 국내공급업체들의경우, 현재까지는주요공급업체들의높은진입장벽으로세계시장진입이여의치못했으나, 2015년이후일부기업 ( 네패스등 ) 이진입을시작하고있음초기진입제품의출시시기및경쟁자수를고려했을때, FOWLP 본딩 / 디본딩소재및장비의시장은도입기로판단됨 Fan-out 패키지용본딩및디본딩소재및장비개발의사업화에는약 2.5년미만 (2년이상 ~2.5년미만 ) 의기간이소요될것으로예상되고, 약 100억원미만 (50억원이상 ~100억원미만 ) 의비용이필요한것으로판단됨

13 본과제의기술및제품의마케팅과판로확보가능성이높고, 원재료 부품입수는수월하여사업화적합성이높은것으로판단됨 - 국내에는 FOWLP 의주요수요기업인삼성전자, SK하이닉스등의세계적인반도체생산업체와삼성전자, LG전자, 현대자동차등의스마트폰및자동차생산업체가다수있어마케팅및판로가능성이높은것으로전망됨 - 또한위기업들의 FOWLP 관심도가높아지면서, Fan-out 패키지용본딩 / 디본딩소재및장비제품화에필요한원재료및부품입수는수월할것으로예상됨 IP부상도의현황분석을살펴본결과, 특허평가지표의평가점수는 2점으로 IP부상도가낮음에해당하는것으로평가되었음. 패키지관련한제반의기술이상당히과거에다수출원된것이그원인인것으로분석미국등록특허의가치평가를통해살펴본평가점수가각중분류기술별로높지않은것으로분석되었으나, 이는개발기술분야를주도하고있는일본에서미국, 한국등의외국에서의 IP확보보다는각자국내에서의기술력개발에중점을두고있기때문으로분석됨따라서, 본 Fan-out 패키지용본딩 / 디본딩소재및장비개발 분야는현재비교적많이출원되지않은분야로서, 국내외에서지재권을확보할수있는미개척기술분야내지시장이여전히존재하는것으로분석되며, 향후성과창출이기대되는유망기술분야라고판단됨 IP장벽도분석결과, Thinned device wafer 핸들링을위한임시접착필름및 Temporary-bonding 및 De-bonding 등 wafer handling 을위한공정및장비기술은선행기술들에개시되어있어선행특허들에개시된내용을면밀히검토하고두께 450 μm이하의스마트폰 AP 모듈개발을위한 Fan-out 패키지용본딩 / 디본딩소재및장비개발을위한과제해결수단을선행특허와의차별화하여 IP확보 ( 권리화 ) 전략이필요할것으로판단되며, 이를통해국내외 IP확보 ( 권리화 ) 가가능할것으로보임

14 현재해외반도체제조기업및국내기술개발업체들은모두기존의글로벌메이저공급업체들로부터소재및부품을전량수급하고있는등, 미국, 유럽, 일본의글로벌기업이기술력을기반으로시장을장악하고있어이는후발기업들에게진입장벽으로작용할수있음 개발기업후보 ㅇ이녹스, TACS, AMC, LG 화학, AP Systems, 코스텍시스템 수요기업 후보 ㅇ네패스, 암코코리아, 하나마이크론, 삼성전기, 삼성전자, SK 하이닉스, ASE, 스태트칩팩

15 3 연구목표및내용 최종목표 (1) Fan-out패키지제조용본딩 / 디본딩소재개발 - 패키지제조공정온도및화학물질에안정적인본딩 / 디본딩소재설계기술 - 본딩 / 디본딩시Void,particle, crack이발생하지않는본딩 / 디본딩소재설계기술 (2) Fan-out패키지제조용본딩 / 디본딩장비개발 - 본딩 / 디본딩소재에적합한장비기술개발 - 공정생산성을만족시키는장비기술개발 (3) Fan-out 모듈개발및신뢰성확보 - 본과제에서개발된본딩 / 디본딩소재및장비를적용한 Fan-out 모듈개발 - 개발된 Fan-out 모듈의 JEDEC Level 3 신뢰성확보 확보기술내용 핵심소재및사업화기술성능지표단위달성목표국내최고수준 세계최고수준 ( 보유국, 기업 / 기관명 ) 1 본딩 / 디본딩공정양산성 UPH > ( 日 /Hitachi) 2 몯딩휨 (warpage) μm < ( 日 /Nitto Denko) 3 본딩후접착력 gf/mm > 9-9 ( 日 /Nitto Denko) 4 디본딩시접착력 gf/mm < ( 日 /Nitto Denko) 5 Fan-out 모듈 JEDEC Level 신뢰성 - > ( 대만 /TSMC)

16 4 추진체계 주관기관 : 중소 / 중견기업 참여기관 : 제한없음 5 연구기간및연구비 연구기간 : 3 년이내 연구비 ( 현금 ) : 총정부출연금 3,600 백만원이내 ( 단위 : 백만원 ) 필요연구비현금 구분 주요기술개발내용 TRL 단계 인건비 ( 학생인건비포함 ) 연구시설 장비및재료비 기타 ( 연구활동비, 연구과제추진비, 연구수당, 간접비 ) 1 차년도 본딩 / 디본딩소재선정및개발본딩 / 디본딩장비설계및모듈제작본딩 / 디본딩소자설계 , 차년도 본딩 / 디본딩소재개발및최적화본딩 / 디본딩장비제작본딩 / 디본딩소자공정기술개발 , 차년도 본딩 / 디본딩소재개선본딩 / 디본딩장비신뢰성평가본딩 / 디본딩소자신뢰성개선 ,

17 기획위원 번호성명소속직급 1 홍승남키포유앤키월드수석연구원 2 최광성전자통신연구원수석연구원 3 김성동서울과학기술대학교교수

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

태양광산업 경쟁력조사.hwp

태양광산업 경쟁력조사.hwp 태양광산업산업경쟁력조사 1 Ⅰ. 1. 52 2. 53 Ⅱ. 1. 54 2. 60 3. 64 III. 1. 71 2. 82 Ⅳ. 1. 98 2. 121 3. 132 Ⅴ. 1. 147 2. 160 3. 169 4. SWOT 181 Ⅵ. 1. 187 2. 202 3. 217 Ⅶ. 225 < 요약 > Ⅰ. 서론 II. 태양광산업의개요 III. 태양광기술개발현황

More information

Microsoft Word - pcb_index_report.doc

Microsoft Word - pcb_index_report.doc 보고서무단복사및유통금지 2008 년 10 월 10 일 Cischem. Com Co., Ltd./Consulting Division http://www.cischem.com E-mail : cischem@cischem.com Tel(02-322-0144), Fax(02-322-0147) 121-869, 서울시마포구연남동 565-15호지남빌딩 503호 Contents

More information

<B3EBC6AE322E687770>

<B3EBC6AE322E687770> 특허등록건수 120000 100000 80000 60000 40000 20000 0 63 66 69 72 75 78 81 84 87 90 93 96 99 180000 160000 140000 120000 100000 80000 60000 40000 20000 0 특허출원건수 내 국 인 에 의 한 특 허 등 록 건 수 내 국 인 에 의 한 특 허 출 원 4000

More information

보도자료 2014 년국내총 R&D 투자는 63 조 7,341 억원, 전년대비 7.48% 증가 - GDP 대비 4.29% 세계최고수준 연구개발투자강국입증 - (, ) ( ) 16. OECD (Frascati Manual) 48,381 (,, ), 20

보도자료 2014 년국내총 R&D 투자는 63 조 7,341 억원, 전년대비 7.48% 증가 - GDP 대비 4.29% 세계최고수준 연구개발투자강국입증 - (, ) ( ) 16. OECD (Frascati Manual) 48,381 (,, ), 20 보도자료 2014 년국내총 R&D 투자는 63 조 7,341 억원, 전년대비 7.48% 증가 - GDP 대비 4.29% 세계최고수준 연구개발투자강국입증 - (, ) 2014 10 30() 16. OECD(Frascati Manual) 48,381 (,, ), 2014,. * 통계법국가승인지정통계 ( 제 10501 호 ) 로서 1963 년에최초실시된이래, 매년시행하고있는전국

More information

Microsoft Word _semicon_comment_final.doc

Microsoft Word _semicon_comment_final.doc Issue&News 산업분석 211.16 반도체 Overweight [ 비중확대, 유지 ] DRAM 상승세 2 월까지지속될전망 투자포인트 Top pick 강정원 769.362 jeffkang@daishin.com 삼성전자 Buy 목표주가 32% 2,, 종목명 투자의견 목표주가 삼성전자 Buy 2,, 원 SK 하이닉스 Buy 32, 원 한미반도체 Buy 1,5

More information

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378>

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378> 점접착제 1-No. 20150900 Multi Client Report 점 접착제시장분석및전망 (2015) Sep., 2015 화학경제연구원 CHEMICAL MARKET RESEARCH INC. #1204, JnK Digital Tower, 111 Digital 26th, Guro-gu, Seoul 152-050, Korea TEL : +822-6124-6660

More information

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26 5월전체 2005.6.9 5:7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26개 지역지식재산센터 를 통해 발명가와 중소기업들에게 기술개발에서 선진국은 첨단기술을 바탕으로

More information

반도체 i ii iii iv v 2011 산업기술로드맵 정보통신 반도체분야 . 개요 3 2011 산업기술로드맵 정보통신 반도체분야 . 산업의환경변화 7 2011 산업기술로드맵 반도체분야 8 . 산업의환경변화 9 2011 산업기술로드맵 반도체분야 10 . 산업의환경변화 11 2011 산업기술로드맵 반도체분야 12 . 산업의환경변화 13 2011

More information

1

1 2014 년도기술수준평가결과 ( 안 ) - 120 개국가전략기술 - ( 1 ) 2014 가. 10대기술분야 ( 2 ) 나. 120개국가전략기술분야 ( 3 ) 다. 미래성장동력산업 (13 개 ) 분야 4. ( 4 ) 2014 년도기술수준평가결과 ( 안 ) -120 개국가전략기술 - 목차 1. 평가개요 1 2. 평가단계별추진절차 2 3. 평가결과 3 4. 국가전략기술로본미래성장동력산업별기술수준

More information

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials OLED 시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials Market, 2017-2 -

More information

해외과학기술동향

해외과학기술동향 Overseas Science and Technology Trends CONTENTS 해외과학기술동향 Overseas Science and Technology Trends 지구과학 및 자원공학 해외과학기술동향 Overseas Science and Technology Trends 해외과학기술동향 해외과학기술동향 Overseas Science and Technology

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 특집 : 3D 마이크로시스템패키징및장비 Warpage Study of Ultra Thin Package Used in Mobile Devices Cha-Gyu Song, Kyoung-Ho Kim and Sung-Hoon Choa 1. 서론 모바일제품에사용되는패키지는더작고 얇은동 시에고성능 다기능을요구하고있다 특히패키지두 께의감소가지속적으로요구되기때문에패키지의각

More information

<BFE4BEE0BCAD D20B1E2C8B9B0FA20BCF6C1A4BABB292D E687770>

<BFE4BEE0BCAD D20B1E2C8B9B0FA20BCF6C1A4BABB292D E687770> 제 2 절연구주체별특허동향 제 2 절 연구주체별특허동향 분석내용요약 2003 년및 2004 년내국인특허출원의급증은외환위기이후 국내연구개발투자의지속적증가에영향을받은것으로나타남 기업의경우삼성전자, 공공기관의경우한국전자통신연구원그리고대학의경우한국과학기술원이각각다출원 1위를유지하고있는가운데, 2004년에기업의경우삼성SDI, 공공기관의경우생명공학연구원그리고대학의경우서울대학교의출원이급증한것이특징임

More information

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770>

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770> 가정용 지능로봇의 기술동향 머리말 목 차 제1장 서 론 1 제2장 기술의 특징 4 제3장 가정용 로봇 산업 및 기술수요 전망 14 4장 가정용 로봇의 기술동향 27 5장 주요국의 가정용 로봇의 기술정책 분석 61 6장 국제표준화와 특허출원 동향 80 7장 결론 및 정책 제언 86 참고문헌 92 표 목차 그림 목차 제1장 서 론 1. 기술동향분석의 목적 및

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

0904fc5280257b90

0904fc5280257b90 02-768-3722 james.song@dwsec.com 02-768-4168 will.lee@dwsec.com Valuation 저평가 + 사상 최대 실적 + 재무 구조 개선의 3박자 2분기 OP 1.05조원, 연간 OP 4.1조원의 사상 최대 실적 예상 메모리 시장과 하이닉스를 확신하는 이유 중장기 Level up의 변곡점! I. Valuation 및

More information

아래그림처럼웨이퍼 (wafer) 한장에는동일한전기회로가인쇄된반도체칩 (chip) 이수백개에서수천개가들어있다. 이런반도체칩 (First Chip, Second Chip) 은접착제역할을하는 DAF를이용하여 Substrate(Lead-frame, PCB) 에쌓여올려지고, 금

아래그림처럼웨이퍼 (wafer) 한장에는동일한전기회로가인쇄된반도체칩 (chip) 이수백개에서수천개가들어있다. 이런반도체칩 (First Chip, Second Chip) 은접착제역할을하는 DAF를이용하여 Substrate(Lead-frame, PCB) 에쌓여올려지고, 금 INFOSTOCK SECTOR BRIEFING 2012/ 03/12 >> 반도체패키징 (Packaging) 반도체생산업체는제조공정에따라크게종합반도체업체 (IDM : Integrated Device Manufacturer), 설계전문업체 (Fabless), 수탁제조업체 (Foundry), IP 개발업체 (Chipless) 등의전공정 (Front-end Process)

More information

학교교과교습학원 ( 예능계열 ) 및평생직업교육학원의시설 설비및교구기준적정성연구 A Study on the Curriculum, Facilities, and Equipment Analysis in Private Academy and It's Developmental Ta

학교교과교습학원 ( 예능계열 ) 및평생직업교육학원의시설 설비및교구기준적정성연구 A Study on the Curriculum, Facilities, and Equipment Analysis in Private Academy and It's Developmental Ta www.sen.go.kr 학교교과교습학원 ( 예능계열 ) 및평생직업교육학원의 시설 설비및교구기준연구 2012. 10. 학교교과교습학원 ( 예능계열 ) 및평생직업교육학원의시설 설비및교구기준적정성연구 A Study on the Curriculum, Facilities, and Equipment Analysis in Private Academy and It's Developmental

More information

메모리반도체시장규모및성장률 ( 억달러, %) 비메모리반도체시장규모및성장률 ( 억달러, %) Ⅰ 3/21 4/21

메모리반도체시장규모및성장률 ( 억달러, %) 비메모리반도체시장규모및성장률 ( 억달러, %) Ⅰ 3/21 4/21 제2018-7호 2018. 4. 6. 조사국국제경제부국제종합팀국제경제리뷰이창기차장 (4280) 차준열조사역 (4279) 세계반도체시장의호황배경및시사점 IoT 부문별반도체시장규모전망 ( 억달러 ) 주요 D램업체점유율변화 1) (%) 글로벌반도체시장매출추이 ( 분기별 ) D 램 1) 및낸드플래시 2) 가격 ( 달러 ) 자료 : Gartner 주 : 1) 점유율

More information

<4D F736F F D205F D F20C0AFC0CDC7D1C6AFC7E3BBF3BDC45FB1E8B0C7C7FC5F2E646F63>

<4D F736F F D205F D F20C0AFC0CDC7D1C6AFC7E3BBF3BDC45FB1E8B0C7C7FC5F2E646F63> 유익한특허상식 친환경에너지절감형 LED 조명기술특허동향 특허청복합기술심사 3 팀김건형 1. 배경 3. 동향분석 최근글로벌에너지, 환경문제가크게대두되면서절전형청정광원으로평가받는 LED 조명에대한관심이더욱급증하고있다. 에너지절감, CO 절감, 지구환경친화효과의극대화를위한일반조명용 LED 조명에대한기초 / 원천기술및제품응용기술개발, 세계정상급성능의일반조명용 LED

More information

(강의자료)구매단가 절감 전략 및 예상효과

(강의자료)구매단가 절감 전략 및 예상효과 n 손익계산서 대차대조포 노무비 \ 390,000 재료비 \ 3,000,000 (\ 2,850,000) 경비 \ 410,000 재고자산 \ 500,000 (\ 350,000) 외상매입금 \ 300,000 현금 \ 300,000 매출액 \ 5,000,000 빼기 제조원가 \ 3,800,000 (\ 3,650,000) 더하기 SG&A \ 800,000 유동자산

More information

(72) 발명자 최석문 서울관악구봉천 6 동우성아파트 장범식 경기성남시분당구정자동한솔마을청구아파트 110 동 301 호 정태성 경기화성시반월동신영통현대 4 차아파트

(72) 발명자 최석문 서울관악구봉천 6 동우성아파트 장범식 경기성남시분당구정자동한솔마을청구아파트 110 동 301 호 정태성 경기화성시반월동신영통현대 4 차아파트 (51) Int. Cl. (19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) H01L 23/12 (2006.01) (21) 출원번호 10-2007-0057147 (22) 출원일자 2007 년 06 월 12 일 심사청구일자 (56) 선행기술조사문헌 JP2004014722 A US6861288 B2 2007 년 06 월 12 일 (45) 공고일자 2008년10월24일

More information

STEPI STAT 지표통계 통계로보는혁신유형별기업성과 ( 특허출원부문 ) Ⅰ. 혁신유형별기업의특허출원성과 혁신유형별로기업의특허출원성과에어떤차이가있는지혁신조사결과 ( 08년) 와기업특허자료 ( 15년) 1) 를연계 활용하여비교 분석함 - 기업혁신조사제조업응답기업 (3,

STEPI STAT 지표통계 통계로보는혁신유형별기업성과 ( 특허출원부문 ) Ⅰ. 혁신유형별기업의특허출원성과 혁신유형별로기업의특허출원성과에어떤차이가있는지혁신조사결과 ( 08년) 와기업특허자료 ( 15년) 1) 를연계 활용하여비교 분석함 - 기업혁신조사제조업응답기업 (3, STEPI STAT 지표통계 통계로보는혁신유형별기업성과 ( 특허출원부문 ) Ⅰ. 혁신유형별기업의특허출원성과 혁신유형별로기업의특허출원성과에어떤차이가있는지혁신조사결과 ( 08년) 와기업특허자료 ( 15년) 1) 를연계 활용하여비교 분석함 - 기업혁신조사제조업응답기업 (3,081개사, 2007년말기준 ) 과특허정보연계기업 (1,358 개사 ) 의국내특허출원평균추이

More information

http://www.etnews.com/tools/article_print.html?art_code=20150828000272&charset=utf 8 2/7

http://www.etnews.com/tools/article_print.html?art_code=20150828000272&charset=utf 8 2/7 [차이나 특별기획]이차전지 전기차 등 차세대 모바일 에너지가 몰려온다 [ 2015년 08월 30일 ] http://www.etnews.com/tools/article_print.html?art_code=20150828000272&charset=utf 8 1/7 http://www.etnews.com/tools/article_print.html?art_code=20150828000272&charset=utf

More information

3저널(2월호)-사 16.2.2 3:26 PM 페이지31 DK

3저널(2월호)-사 16.2.2 3:26 PM 페이지31 DK 3저널(2월호)-사 16.2.2 3:26 PM 페이지30 DK IoT 및 웨어러블 디바이스 기술 담당위원 : 김동환 교수(서울과기대) THEME 01 사물인터넷(IoT) 기술동향과 전망 THEME 02 IoT 응용 및 적용사례 THEME 03 착용형 로봇(wearable robot)의 기술 현황 THEME 04 웨어러블 디바이스의 현재 가치와 미래 THEME

More information

<3230313520C8B8B0E8BFACB5B520BBEAC7D0C7F9B7C2B4DCC8B8B0E820B0E1BBEABCAD322E786C7378>

<3230313520C8B8B0E8BFACB5B520BBEAC7D0C7F9B7C2B4DCC8B8B0E820B0E1BBEABCAD322E786C7378> 2015회계연도 산학협력단회계 결 산 서 제12기 제11기 2015년 3월 1일부터 2016년 2월 29일까지 2014년 3월 1일부터 2015년 2월 28일까지 조선대학교 산학협력단 목 차 1. 재무상태표 2 2. 운영계산서 5 3. 현금흐름표 10 4. 운영차익처분계산서 15 5. 결산부속명세서 17 1. 재 무 상 태 표 2 [별지 제3호 서식] 조선대학교산학협력단

More information

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63>

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2012. 9. 25 반도체 Overweight 메모리는솔루션으로진화한다! Top Picks 삼성전자 (005930) Buy, TP 1,800,000원 SK 하이닉스 (000660) Buy, TP 33,000 원 결론 - 메모리산업은 Commodity

More information

마이크로, TAS, 패키지, 범프, 관통홀 명세서 도면의 간단한 설명 도 1은 종래 기술에 따른 외부의 압력을 센싱하는 압력센서 패키지의 단면도 도 2a와 2b는 본 발명에 따라 마이크로 타스(TAS, Total Analysis System)칩이 실장된 패키지 단면도

마이크로, TAS, 패키지, 범프, 관통홀 명세서 도면의 간단한 설명 도 1은 종래 기술에 따른 외부의 압력을 센싱하는 압력센서 패키지의 단면도 도 2a와 2b는 본 발명에 따라 마이크로 타스(TAS, Total Analysis System)칩이 실장된 패키지 단면도 (51) Int. Cl. 7 H01L 21/60 (19)대한민국특허청(KR) (12) 등록특허공보(B1) (45) 공고일자 (11) 등록번호 (24) 등록일자 2005년10월07일 10-0519222 2005년09월28일 (21) 출원번호 10-2003-0085619 (65) 공개번호 10-2005-0051933 (22) 출원일자 2003년11월28일 (43)

More information

투자자유의사항 ( 십억원 ) 3,000 2,500 2,000 1,500 1,000 952 1,203 1,533 2,042 2,579 500 0 2011 2012 2013E 2014E 2015E 국내총생산제조업총생산화장품산업총생산 25.00 20.00 15.00 15.86 19.77 16.37

More information

행당중학교 감사 7급 12000001 ~ 12000616 성동구 왕십리로 189-2호선 한양대역 4번출구에서 도보로 3-4분 6721 윤중중학교 감사 7급 12000617 ~ 12000619 영등포구 여의동로 3길3 용강중학교 일반행정 9급 13000001 ~ 1300

행당중학교 감사 7급 12000001 ~ 12000616 성동구 왕십리로 189-2호선 한양대역 4번출구에서 도보로 3-4분 6721 윤중중학교 감사 7급 12000617 ~ 12000619 영등포구 여의동로 3길3 용강중학교 일반행정 9급 13000001 ~ 1300 2016년도 서울특별시 지방공무원 임용 필기시험 장소 시험장 교통편, 소요시간 등은 반드시 응시자 본인이 해당학교 인터넷 홈페이지 등을 통해 미리 꼭 확인 하시기 바랍니다 장애편의지원 대상자는 별도로 첨부된 엑셀파일에서 본인의 최종 편의지원 내역을 반드시 확인하시기 바랍니다. (장애편의지원 시험장 : 윤중중학교, 서울맹학교) 경신중학교 일반행정 7급 10001741

More information

< 표 1> 폴란드의 3 대이동통신기업현황 구분사업현황영업현황주요주주 피티케이 센터텔 폴콤텔 l 2) 피티씨 - 설립연도 : 1994 년 - 시장점유율 : 30.9% - 가입자수 : 14.1 백만명 ( 선불제 : 7.3 백만명, 후불제 : 6.8 백만명 ) - 고용자수

< 표 1> 폴란드의 3 대이동통신기업현황 구분사업현황영업현황주요주주 피티케이 센터텔 폴콤텔 l 2) 피티씨 - 설립연도 : 1994 년 - 시장점유율 : 30.9% - 가입자수 : 14.1 백만명 ( 선불제 : 7.3 백만명, 후불제 : 6.8 백만명 ) - 고용자수 < 표 1> 폴란드의 3 대이동통신기업현황 구분사업현황영업현황주요주주 피티케이 센터텔 폴콤텔 l 2) 피티씨 - 설립연도 : 1994 년 - 시장점유율 : 30.9% - 가입자수 : 14.1 백만명 ( 선불제 : 7.3 백만명, 후불제 : 6.8 백만명 ) - 고용자수 : 3,659 명 - 설립연도 : 1996 년 - 시장점유율 : 29.8% - 가입자수 :

More information

Microsoft PowerPoint - MonthlyInsighT-2018_9월%20v1[1]

Microsoft PowerPoint - MonthlyInsighT-2018_9월%20v1[1] * 넋두리 * 저는주식을잘한다고생각합니다. 정확하게는주식감각이있다는것이맞겠죠? 예전에애널리스트가개인주식을할수있었을때수익률은엄청났었습니다 @^^@. IT 먼쓸리가 4주년이되었습니다. 2014년 9월부터시작하였으니지난달로만 4년이되었습니다. 4년간누적수익률이최선호주는 +116.0%, 차선호주는 -29.9% 입니다. 롱-숏으로계산하면 +145.9% 이니나쁘지않은숫자입니다.

More information

수출및수입액현황 (2016) 6억 1,284 만달러억 1 7,045 만달러 4억 4,240 만달러 2015 년대비 15.4 % 증가 2015 년대비 11.1 % 증가 2015 년대비 1.3 % 증가 수출액 수출입차액 수입액 지역별수출액 ( 비중 ) 일본 4,129만달러

수출및수입액현황 (2016) 6억 1,284 만달러억 1 7,045 만달러 4억 4,240 만달러 2015 년대비 15.4 % 증가 2015 년대비 11.1 % 증가 2015 년대비 1.3 % 증가 수출액 수출입차액 수입액 지역별수출액 ( 비중 ) 일본 4,129만달러 국내캐릭터산업현황 사업체수및종사자수 사업체 종사자 2,069 개 2,213 개 30,128 명 33,323 명 2015 년 7.0 % 10.6 % 증가증가 2016년 2015년 2016 년 매출액및부가가치액 매출액 부가가치액 11 조 662 억원 4 조 3,257 억원 10 조 807 억원 3 조 9,875 억원 2015 년 9.8 % 8.5 % 증가증가 2016년

More information

<30375FC6AFC7E3BBF3BDC45F28C1D629C0ACBDBA5F66696E5F D E687770>

<30375FC6AFC7E3BBF3BDC45F28C1D629C0ACBDBA5F66696E5F D E687770> 한일전자업계대표기업의특허전략비교 ( 주 ) 윕스기업블로그 아이디어놀이터 개요 과거일본은캐논, 파나소닉, 히타치, 소니, 샤프등전세계최고의기업들을보유한전자업계의강대국이었으나, 최근에는후발기업들이시장에서큰폭으로성장하면서그판도가바뀌고있는실정입니다. 일본의전자기업들이모바일기기등신규시장대응에실패하면서오랜부진을겪고있는반면, 삼성전자, LG전자, 노키아등은시장변화에탄력적으로대응하며모바일시장의선두주자로발돋움했습니다.

More information

현금유입액 1,632,535 7,428,567-5,796,032 운영활동으로인한현금유입액 I. 산학협력수익현금유입액 1. 연구수익 1,632,535 7,428,567-5,796, ,625 1,040, ,198 90, , ,13

현금유입액 1,632,535 7,428,567-5,796,032 운영활동으로인한현금유입액 I. 산학협력수익현금유입액 1. 연구수익 1,632,535 7,428,567-5,796, ,625 1,040, ,198 90, , ,13 총괄표 현금유입부 현금유출부 계정 2017 회계연도예산 (A) 2016 회계연도최종예산 (B) 계정 2017 회계연도예산 (A) 2016 회계연도최종예산 (B) 산학협력수익 287,625 1,040,823-753,198 산학협력비 240,825 1,026,787-785,962 지원금수익 1,290,000 6,196,671-4,906,671 지원금사업비 1,147,130

More information

2010 산업원천기술로드맵요약보고서 - 화학공정소재

2010 산업원천기술로드맵요약보고서 - 화학공정소재 2010 산업원천기술로드맵요약보고서 - 화학공정소재 - 2010. 7 본요약보고서는한국산업기술진흥원주관으 로수립되고있는 2010 년도산업원천기술로 드맵의일부내용을발췌한것입니다. 산업원천기술로드맵전체내용을담은 2010 산업원천기술로드맵보고서 는오는 8월한국산업기술진흥원홈페이지 (www.kiat.or.kr) 를통해공개될예정입니다. 목 차 Ⅰ. 화학공정소재산업의정의및범위

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

C O N T E N T S 목 차 요약 / 3 Ⅰ. 브라질소비시장동향및특성 경제현황 2. 소비시장의특성 Ⅱ. 브라질소비시장히트상품분석 최근히트상품 년소비시장, 이런상품을주목하라! Ⅲ. 우리기업의 4P 진출전략

C O N T E N T S 목 차 요약 / 3 Ⅰ. 브라질소비시장동향및특성 경제현황 2. 소비시장의특성 Ⅱ. 브라질소비시장히트상품분석 최근히트상품 년소비시장, 이런상품을주목하라! Ⅲ. 우리기업의 4P 진출전략 Global Market Report 16-035 2016 브라질소비시장, 이런상품을주목하라! C O N T E N T S 목 차 요약 / 3 Ⅰ. 브라질소비시장동향및특성 4 5 1. 경제현황 2. 소비시장의특성 Ⅱ. 브라질소비시장히트상품분석 11 20 1. 최근히트상품 2. 2016 년소비시장, 이런상품을주목하라! Ⅲ. 우리기업의 4P 진출전략 27 30

More information

<31305FC6AFC7E3C3BB5FBFB5BEF7BAF1B9D0C0C720C0CCC7D85F66696E5F D E687770>

<31305FC6AFC7E3C3BB5FBFB5BEF7BAF1B9D0C0C720C0CCC7D85F66696E5F D E687770> 이흥재 특허청반도체심사과 개요 특허동향분석 본특허동향요약서는특허정보를분석하여우리나라와해외에서고속광차단유기전기변색소재의기술개발추이및수준을객관적으로파악하고기초자료를제공하고자, 특허청이발주하고지식재산전략원이주관한특허동향조사보고서의내용중출원동향에대한부분을발췌한것으로전문은 e- 특허나라홈페이지 (http://www.patentmap.or.kr) 에서보실수있습니다..

More information

<30375F315FC6AFC7E3BBF3BDC45F28C1D629C0ACBDBA5F66696E5F35312D35352E687770>

<30375F315FC6AFC7E3BBF3BDC45F28C1D629C0ACBDBA5F66696E5F35312D35352E687770> (주)윕스 기업블로그 아이디어 놀이터 특허 동향분석 1. 분석목적 및 방법 지난 2월, 세계경제포럼(world economic forum)은 2013 세계 10대 유망기술 중 하나로 3D 프린터 를 꼽았고, 미국 오바마 대통령 또한 3D 프린터가 제 3의 산업혁명 을 일으킬 것이라고 언급한 바 있을 뿐만 아니라 세계미래학회(world future society)는

More information

Slide 1

Slide 1 Java 기반의오픈소스 GIS(GeoServer, udig) 를지원하는국내공간 DBMS 드라이버의개발 2013. 08. 28. 김기웅 (socoooooool@gmail.com) 임영현 (yhlim0129@gmail.com) 이민파 (mapplus@gmail.com) PAGE 1 1 기술개발의목표및내용 2 기술개발현황 3 커뮤니티운영계획 4 활용방법및시연 PAGE

More information

<3034BFEDC0CFBDC2C3B5C7CFB4C2C1DFB1B9BFECB8AEC0C7BCF6C3E2BDC3C0E52E687770>

<3034BFEDC0CFBDC2C3B5C7CFB4C2C1DFB1B9BFECB8AEC0C7BCF6C3E2BDC3C0E52E687770> KOTRA 소규모 국별 설명회 욱일승천하는 -우리의 수출시장 KOTRA KOTRA 소규모 국별 설명회 욱일승천하는 -우리의 수출시장 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 중국경제 현안문제 가. 경기과열과 인플레이션 논의 2003년 중국경제가 9.1%의 고성장을 달성하는 가운데 통화량(M2) 증 가율이

More information

<312E B3E BFF C1D6BFE4C7B0B8F1B5BFC7E2C1B6BBE75F2E687770>

<312E B3E BFF C1D6BFE4C7B0B8F1B5BFC7E2C1B6BBE75F2E687770> 59 1. ICT 기업경기조사 (BSI) 1. ICT 기업경기조사 (BSI) 1.1 ICT 종합경기 ( 경기판단 ) 18 년 2 월 ICT 분야의종합경기실적 BSI 는 으로전월대비악화된것으로나타났으며, 3 월전망 BSI 는 로 2 월실적 BSI 와비교하여개선될것으로전망됨 BSI 가기준치인 인경우긍정적인응답업체수와부정적인응답업체수가같음을의미하며, 초과인경우에는긍정응답업체수가

More information

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe Smart & Green Technology Innovator 경선추 2-18. 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixer 등, MMIC 설계기술임. -0- 1 기술개요 2 개발기술의주요내용 3 기술적용분야및기술의시장성

More information

목 차 Ⅰ. 조사개요 2 1. 조사목적 2 2. 조사대상 2 3. 조사방법 2 4. 조사기간 2 5. 조사사항 2 6. 조사표분류 3 7. 집계방법 3 Ⅱ 년 4/4 분기기업경기전망 4 1. 종합전망 4 2. 창원지역경기전망 5 3. 항목별전망 6 4. 업종

목 차 Ⅰ. 조사개요 2 1. 조사목적 2 2. 조사대상 2 3. 조사방법 2 4. 조사기간 2 5. 조사사항 2 6. 조사표분류 3 7. 집계방법 3 Ⅱ 년 4/4 분기기업경기전망 4 1. 종합전망 4 2. 창원지역경기전망 5 3. 항목별전망 6 4. 업종 2 0 1 4 년 4 / 4 분기 2014. 9 목 차 Ⅰ. 조사개요 2 1. 조사목적 2 2. 조사대상 2 3. 조사방법 2 4. 조사기간 2 5. 조사사항 2 6. 조사표분류 3 7. 집계방법 3 Ⅱ. 2014 년 4/4 분기기업경기전망 4 1. 종합전망 4 2. 창원지역경기전망 5 3. 항목별전망 6 4. 업종별전망 7 5. 규모및유형별전망 8 6. 정부경기부양정책발표에따른영향

More information

Microsoft PowerPoint _Monthly InsighT 19년 1월.pptx

Microsoft PowerPoint _Monthly InsighT 19년 1월.pptx 2019년 1월 Monthly InsighT 우려보다는 용기가 필요한 2019년 박원재 02-3774-1426 william.park@miraeasset.com 김영건 02-3774-1583 younggun.kim.a@miraeasset.com 김철중 02-3774-1464 chuljoong.kim@miraeasset.com * 넋두리 * 드디어 2019년황금돼지해가밝았습니다.

More information

핵 심 교 양 1 학년 2 학년 3 학년합계 문학과예술 역사와철학 사회와이념 선택 교양학점계 학년 2 학년 3 학년합계비고 14 (15) 13 (

핵 심 교 양 1 학년 2 학년 3 학년합계 문학과예술 역사와철학 사회와이념 선택 교양학점계 학년 2 학년 3 학년합계비고 14 (15) 13 ( 1 학년 2 학년 3 학년 합계 6 5 11 5 5 16 문학과예술 핵 심 교 역사와철학 사회와이념 3 3 3 양 3 3 3 3 3 3 선택 4 4 1 1 3 3 6 11 교양학점계 12 12 24 5 1 6 3 3 6 36 ㆍ제 2 외국어이수규정 이수규정 또는 영역에서 과목 학점 이수하고 수량적석과추론 과학적사고와실험 에서 과목 학점 이수해도됨 외국어및고전어

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

반도체부품연구회 최종보고서 주력산업반도체부품 ( 시스템반도체 ) 육성방안연구회 2010. 4 대표위원 / 황인록 지식경제부 / 한국산업기술진흥원 ː ː ː ː ː ː ː ː ː ː ː 휴대폰용반도체 디지털 TV 용반도체 자동차용반도체 터치패널반도체 11.2% 0.1% [ 단위 : Billion $] 113.4 29.1%

More information

농림축산식품부장관귀하 본보고서를 미생물을활용한친환경작물보호제및비료의제형화와현장적용매뉴 얼개발 ( 개발기간 : ~ ) 과제의최종보고서로제출합니다 주관연구기관명 : 고려바이오주식회사 ( 대표자 ) 김영권 (

농림축산식품부장관귀하 본보고서를 미생물을활용한친환경작물보호제및비료의제형화와현장적용매뉴 얼개발 ( 개발기간 : ~ ) 과제의최종보고서로제출합니다 주관연구기관명 : 고려바이오주식회사 ( 대표자 ) 김영권 ( 농림축산식품부장관귀하 본보고서를 미생물을활용한친환경작물보호제및비료의제형화와현장적용매뉴 얼개발 ( 개발기간 :2014. 7. 29 ~ 2016. 7. 28.) 과제의최종보고서로제출합니다. 2016. 7. 28. 주관연구기관명 : 고려바이오주식회사 ( 대표자 ) 김영권 ( 인 ) 협동연구기관명 : 목원대학교산학협력단 ( 대표자 ) 고대식 ( 인 ) 협동연구기관명

More information

일시 : 2013 년 6 월 12 일 ( 수 ) 10:00 ~ 18:00 장소 : 중소기업중앙회중회의실 (2 층 ) 주최 : 한국경제연구원 ( 사 ) 한국규제학회 ( 사 ) 한국규제학회 Korea Society For Regulatory Studies

일시 : 2013 년 6 월 12 일 ( 수 ) 10:00 ~ 18:00 장소 : 중소기업중앙회중회의실 (2 층 ) 주최 : 한국경제연구원 ( 사 ) 한국규제학회 ( 사 ) 한국규제학회 Korea Society For Regulatory Studies 일시 : 2013 년 6 월 12 일 ( 수 ) 10:00 ~ 18:00 장소 : 중소기업중앙회중회의실 (2 층 ) 주최 : 한국경제연구원 ( 사 ) 한국규제학회 ( 사 ) 한국규제학회 Korea Society For Regulatory Studies 2013 년한국규제학회춘계학술대회일정 일시 : 2013 년 6 월 12 일 ( 수 ) 10:00 장소 :

More information

Issue & Tech 2015 이슈앤테크 vol.42 반도체패키징공정기술의이해와전망 연구진 김병욱 ( 재 ) 전북테크노파크책임연구원 CONTENTS 1 2. 반도체산업의발전. 반도체패키징공정기술 가. 반도체패키징공정의이해나. 반도체패키징종류와구조 3. 반도체패키징기술

Issue & Tech 2015 이슈앤테크 vol.42 반도체패키징공정기술의이해와전망 연구진 김병욱 ( 재 ) 전북테크노파크책임연구원 CONTENTS 1 2. 반도체산업의발전. 반도체패키징공정기술 가. 반도체패키징공정의이해나. 반도체패키징종류와구조 3. 반도체패키징기술 Issue & Tech 반도체패키징공정기술의이해와전망 연구진 김병욱 ( 재 ) 전북테크노파크책임연구원 CONTENTS 1 2. 반도체산업의발전. 반도체패키징공정기술 가. 반도체패키징공정의이해나. 반도체패키징종류와구조 3. 반도체패키징기술의진화와전망 가. 반도체패키징기술의진화나. 반도체패키징기술의전망 4. 결론및시사점 CONTENTS Summary 1 2 3

More information

09³»Áö

09³»Áö CONTENTS 06 10 11 14 21 26 32 37 43 47 53 60 임금피크제 소개 1. 임금피크제 개요 2. 임금피크제 유형 3. 임금피크제 도입절차 Ⅰ 1 6 7 3) 임금피크제 도입효과 임금피크제를 도입하면 ① 중고령층의 고용안정성 증대 연공급 임금체계 하에서 연봉과 공헌도의 상관관계 생산성 하락에 맞추어 임금을 조정함으로써 기업은 해고의

More information

Microsoft Word - 이녹스

Microsoft Word - 이녹스 2013. 3. 18 l 리서치센터 Analyst l 박상하 Tel.3770-5081 sangha_park@hygood.co.kr 이녹스 (088390) - 탐방노트 : 신규 FPCB 소재본격매출가시화, Valuation 재평가시기도래 FPCB 핵심소재전문기업 이녹스는초기 INNOSEM( 반도체패키징소재 ) 사업을시작으로폴리이미드계및에폭시계등의접착제제조를위한합성,

More information

ÁÖ5Àϱٹ«Á¦Á¶»ç(03).hwp

ÁÖ5Àϱٹ«Á¦Á¶»ç(03).hwp 51 49 47 49.3 44 48.9 56.5 71.7 48.4 84.6 46.1 50 105.8 110 100 90 48.3 70 50 45 1990 1992 1994 1996 1998 2000 30 ( ) ( ) 15.9% 15.3% 16.4% 14.7% 14.5% 11.9% 14.8% 1. 귀사의 현재 토요일

More information

210 법학논고제 50 집 ( )

210 법학논고제 50 집 ( ) 경북대학교법학연구원 법학논고 제 50 집 (2015.05) 209~236 면. Kyungpook Natl. Univ. Law Journal Vol.50 (May 2015) pp.209~236. 주제어 : 산업재해보상보험, 급여지급체계, 업무상재해, 휴업급여, 장해급여, 상병보상연금, 중복급여, 급여의조정 투고일 : 2015.04.30 / 심사일 : 2015.05.15

More information

2/21

2/21 지주회사 LG의 설립과정 및 특징 소유구조를 중심으로 이은정_좋은기업지배구조연구소 기업정보실장 이주영_좋은기업지배구조연구소 연구원 1/21 2/21 3/21 4/21 5/21 6/21 7/21 8/21 9/21 10/21 11/21 12/21 13/21 14/21 15/21 16/21 17/21 18/21 19/21 20/21 [별첨1] 2000.12.31.현재

More information

<4D6F6E69746F72696E F D C6D0C5B0C2A120BBEABEF720B5BFC7E25FC3D6C1BE5FBCDBBACEBFEB292E687770>

<4D6F6E69746F72696E F D C6D0C5B0C2A120BBEABEF720B5BFC7E25FC3D6C1BE5FBCDBBACEBFEB292E687770> IT 부품 Monitoring Report 08-20 패키징(Packaging) 산업동향과시사점 2008년 9월 - 1 - 패키징 (Packaging) 산업동향과시사점 1. 패키징(Packaging) 산업정의및특성 적용영역에따라다양한패키징형태공존 패키징 ( 테스트포함 ) 은반도체제조과정중후공정에속하는산업으로, 칩에전기적인 연결을해주고, 형상을갖게해주는공정을말함

More information

Microsoft Word - 베스트로 2012년 05월.doc

Microsoft Word - 베스트로 2012년 05월.doc 2 0 1 2 [NEWS] 2012년 4월 주요이슈 [ISSUE] 5.10 대책, 효과와 전망 [SPECIAL] 요즘 뜨는 단독주택 마을 [INVESTMENT] 초기 비용 부담을 낮춰라 [MARKET] 5월 새 아파트 공급시장 동향과 투자 전략 05 U차 례 Ⅰ NEWS 01. 2012년 4월 주요이슈 Ⅱ ISSUE 5.10 대책,

More information

01....-1

01....-1 ISSN 1016-9288 제41권 11호 2014년 11월호 The Magazine of the IEIE 융합 IT 반도체 설계 기술 vol.41. no.11 웨어러블 기기 UI/UX를 위한 SoC 설계기술 동향 무선전력전송 겸용 의료용 통신반도체 기술 동향 및 과제 무선 전력 전송 시스템을 위한 회로 저전압 저면적 임베디드 메모리에서의 오류 정정 방법 Virtual

More information

2003report250-9.hwp

2003report250-9.hwp 2003 연구보고서 250-9 여성의 IT 직종교육훈련후취업현황및취업연계방안 : () : () 한국여성개발원 발간사 2003 12 연구요약 1. 2. 전체정부위탁훈련기관의취업관련서비스제공정도에서, 취업정보, 취업상담, 취업알선을 적극적이며많이제공 한다가각각 76.6%, 70.3%, 65.6% 로알선기능이약간떨어지며, 취업처개척 개발 (50.0%) 이가장낮다.

More information

consulting

consulting CONSULTING 전략 컨설팅 클라우드 마이그레이션 애플리케이션 마이그레이션 데이터 마이그레이션 HELPING YOU ADOPT CLOUD. 클라우드로 가기로 결정했다면 누구와 함께 갈지를 선택해야 합니다. 처음부터 끝까지 믿을만한 파트너를 찾는다면 베스핀글로벌이 정답입니다. 전략 컨설팅 다양한 클라우드 공급자가 존재하고, 클라우드 공급자마다 다른 장단점을

More information

Sector. 반도체 TSMC (2330.TW) China Center( 中國中心 ) AT A GLRANCE ( 기준 ) 현재가 (TWD) 시가총액 ( 억TWD) 45,249 발행주식수 ( 백만 )

Sector. 반도체 TSMC (2330.TW) China Center( 中國中心 ) AT A GLRANCE ( 기준 ) 현재가 (TWD) 시가총액 ( 억TWD) 45,249 발행주식수 ( 백만 ) TSMC (233.TW) China Center( 中國中心 ) china.center@samsung.com AT A GLRANCE (216. 8. 4기준 ) 현재가 (TWD) 174.5 시가총액 ( 억TWD) 45,249 발행주식수 ( 백만 ) 259 52주최저 / 최고 (TWD) 112.5/177.5 현지증권사의견 HSBC 216. 7.14 평가 매수 목표가

More information

Contents

Contents 2006. 5. 2 Intel, Qualcomm MK TANAKA, Heraus STS LF : BGA : ASE Amkor STATSChiPAC SPIL ASTAT LF : BGA : IBIDEN Shinko, Nanya MK GDS, IBIDEN, Compeq, Nanya Contents Gold Wiring Bumping Lead Frame Package

More information

Microsoft Word - C001_UNIT_ _

Microsoft Word - C001_UNIT_ _ 14 LG 는 IT 가아닌자동차의르네사스를인수한셈 매수 ( 유지 ) T.P 32,000 원 ( 유지 ) Analyst 정한섭 hanchong@sk.com +823773900 Company Data 자본금 81 억원 발행주식수 1,626 만주 자사주 74 만주 액면가 00 원 시가총액 4,326 억원 주요주주 ( 주 ) 코멧네트워크 16.2% 한대근 4. 외국인지분률.

More information

Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일

Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일 Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일 부품 01 Products 02 시장점유율 베트남법인 성장 본격화 04 우호적인 업황 3.

More information

- 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - 제 1 장연구개발과제의개요 - 17 - - 18 - - 19 - - 20 - - 21 - 제 2 장재료및방법 - 22 - - 23 - - 24 - - 25 - 시료번호 596 항목에따라해당점수위에표기해주십시오.

More information

<B3EDB4DC28B1E8BCAEC7F6292E687770>

<B3EDB4DC28B1E8BCAEC7F6292E687770> 1) 초고를읽고소중한조언을주신여러분들게감사드린다. 소중한조언들에도불구하고이글이포함하는오류는전적으로저자개인의것임을밝혀둔다. 2) 대표적인학자가 Asia's Next Giant: South Korea and Late Industrialization, 1990 을저술한 MIT 의 A. Amsden 교수이다. - 1 - - 2 - 3) 계량방법론은회귀분석 (regression)

More information

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원 반도체산업 3D NAND 투자가앞당겨진다 216. 4. 1 Analyst 박유악 (698-6688) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 실리콘웍스 STRONG BUY TP 5, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 디엔에프 BUY

More information

Microsoft Word - 오창석

Microsoft Word - 오창석 전자소자및반도체패키징기술동향 오창석 * 최근, 중국은정부차원에서대규모의펀드를조성하여반도체산업육성에나서면서추격의속도를높이고있으며, 이는대한민국의반도체산업에대한차세대고성능반도체소자및재료개발의중요성을부각시키고있다. 본고는차세대전자소자및반도체산업의근간이될패키징재료분야에초점을맞추어각재료별보유한물성및요구되는특성을조사하였고, 앞으로차세대전자소자및패키징시장을주도할재료의동향을살펴보고자한다.

More information

16-27( 통권 700 호 ) 아시아분업구조의변화와시사점 - 아세안, 생산기지로서의역할확대

16-27( 통권 700 호 ) 아시아분업구조의변화와시사점 - 아세안, 생산기지로서의역할확대 16-27( 통권 700 호 ) 2016.07.08 - 아세안, 생산기지로서의역할확대 본보고서에있는내용을인용또는전재하시기위해서는본연구원의허락을얻어야하며, 보고서내용에대한문의는아래와같이하여주시기바랍니다. 총괄 ( 경제연구실장 ) : 주원이사대우 (2072-6235, juwon@hri.co.kr) 경제연구실 / 동향분석팀 : : 조규림선임연구원 (2072-6240,

More information

[2016년조사대상 (19곳)] 대림산업, 대한항공, 동부화재해상, ( 주 ) 두산, 롯데쇼핑, 부영주택, 삼성전자, CJ제일제당, 아시아나항공, LS니꼬동제련, LG이노텍, OCI, 이마트, GS칼텍스, KT, 포스코, 한화생명보험, 현대자동차, SK이노베이션 [ 표

[2016년조사대상 (19곳)] 대림산업, 대한항공, 동부화재해상, ( 주 ) 두산, 롯데쇼핑, 부영주택, 삼성전자, CJ제일제당, 아시아나항공, LS니꼬동제련, LG이노텍, OCI, 이마트, GS칼텍스, KT, 포스코, 한화생명보험, 현대자동차, SK이노베이션 [ 표 - 1 - [2016년조사대상 (19곳)] 대림산업, 대한항공, 동부화재해상, ( 주 ) 두산, 롯데쇼핑, 부영주택, 삼성전자, CJ제일제당, 아시아나항공, LS니꼬동제련, LG이노텍, OCI, 이마트, GS칼텍스, KT, 포스코, 한화생명보험, 현대자동차, SK이노베이션 [ 표 1] 입사지원서의학력과출신학교정보기재란유무 - 2 - 회사명 학력기입 고등학교대학석사박사출신학교명

More information

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 (

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 ( 제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 02.003 생활용품검사 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 2017-032 호 (2017.2.8.)) 03. 재료및부품 03.001 자동차부품검사 기능안전심사 ISO 26262-2 : 2011

More information

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다.

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다. 차세대 반도체 공정, 노광에서 식각 증착 등으로 무게 이동 핀펫(FinFET) 3차원(3D) 낸드플래시 등 차세대 반도체 시장을 놓고 국내 장비 업체들의 기대감이 커지고 있다. 그동안 반도체 미세공정을 주도한 핵심 장비는 노광기였으나, 해외 선두 장비 업체들이 극자외선(EUV) 등 차세대 노광기 개발에 한계를 보이면서 반도체 업체들은 최근 화학 증기증착(CVD)

More information

< E372E322E20C1A4C3A5C1F620C6EDC1FD5FC7D5BABB2E687770>

< E372E322E20C1A4C3A5C1F620C6EDC1FD5FC7D5BABB2E687770> 제 30 권 12 호통권 672 호 4) 오정숙 * 1. 개요 글로벌스마트폰산업은선진국은물론전세계스마트폰판매의 1/3을차지하는중국까지시장포화로성장을멈추면서 2016년이후한자리수대의저성장을이어가고있다. 이러한글로벌스마트폰시장성숙기에인도는두자리수가까이꾸준히성장하면서중국다음의판매규모의시장으로부상함에따라글로벌스마트폰시장에서인도의중요성은증가하고있다. 이에따라, 중국거대시장을발판으로글로벌업체로도약한중국업체도중국을대신할성장동력으로인도스마트폰시장공략을강화하면서삼성전자와중국업체와의경쟁은중국에이어인도에서도치열하게전개되고있다.

More information

2015-12 전자업종.hwp

2015-12 전자업종.hwp 2015-12 이슈페이퍼 2016년 금속산업 전망: 전자업종 이유미 (금속노조 노동연구원 객원연구위원) 1. 전자업종 생산동향과 전망 2015년 9월(누적) 정보통신방송기기 1) 생산액(매출액)이 242조9,272억원으로 전년대비 1.8% 하락했고 수출액 역시 1,295억 2,474만달러로 2.1% 하락했다. 휴대폰 생산액 및 수출액 (단위:생산액/억원,

More information

C O N T E N T S 목 차 요약 / 1 Ⅰ. 태국자동차산업현황 2 1. 개관 5 2. 태국자동차생산 판매 수출입현황 우리나라의대태국자동차 부품수출현황 Ⅱ. 태국자동차산업밸류체인현황 개관 완성차브랜드현황 협력업체 ( 부

C O N T E N T S 목 차 요약 / 1 Ⅰ. 태국자동차산업현황 2 1. 개관 5 2. 태국자동차생산 판매 수출입현황 우리나라의대태국자동차 부품수출현황 Ⅱ. 태국자동차산업밸류체인현황 개관 완성차브랜드현황 협력업체 ( 부 Global Market Report 17-039 Global Market Report 태국자동차산업글로벌밸류체인 (GVC) 진출방안 방콕무역관 C O N T E N T S 목 차 요약 / 1 Ⅰ. 태국자동차산업현황 2 1. 개관 5 2. 태국자동차생산 판매 수출입현황 13 3. 우리나라의대태국자동차 부품수출현황 Ⅱ. 태국자동차산업밸류체인현황 16 1. 개관

More information

<4D F736F F F696E74202D20B0E6BBF3B4EBB9DFC7A55FC6AFC7E3C3BB2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20B0E6BBF3B4EBB9DFC7A55FC6AFC7E3C3BB2E BC8A3C8AF20B8F0B5E55D> OLED 주요기술특허동향분석 2008 년 9 월 26 일 특허청디스플레이심사팀 목차 목차 1 3 제 1 장분석대상 2 제 2 장분석개요 3 제 3 장특허동향분석 4 제 4 장결론 -2- 분석대상 분석대상기술개요 유연기판기술 유연기판재료기술 하드코팅기술 ( 보호막 ) 차단막기술 ( 배리어 ) 유기박막 / 화소형성기술 대면적증착기술 (FMM) : 증착기, 마스크

More information

1000 900 (명, 건 ) 3572 800 700 600 500 400 300 200 100 테러공격 발생건수 테러로 인한 사망자 수 0 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003

1000 900 (명, 건 ) 3572 800 700 600 500 400 300 200 100 테러공격 발생건수 테러로 인한 사망자 수 0 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 테러는 인명 및 재산 손실 등 직접적 피해 뿐만 아니라 경제 각 부문에 걸쳐 광범위한 영향을 미치는데 우선 금융시장의 경우 테러사태 직후 주 가가 급락하고 채권수익률은 하락하는 한편 당사국 통화가 약세를 보인 것으로 나타남. 또한 실물경제에 있어서도 국제유가의 불안정, 관광객 및 항공수입 감소, 보험료 인상 및 보상범위 축소 등이 나타나고 국제무역, 외국인

More information

Contents MAY Economic ISSN http www chungnam net http

Contents MAY Economic ISSN http www chungnam net http 1 Economic 2 3 4 ISSN 2093 0844 Chungnam Economic Trends May 2016. 5 인재를둘러싼두뇌전쟁! 충남이인재를유인 양성하려면? 수도권으로 G o! G o! 지역내인재유출 H i g h 2013 인재와기업입지 고용 지역성장간관계 1 66 1 1 2 21 4 5 3 9 ➊ 관용성확충 ➌ 혁신지구조성및유형별인재조기경보시스템개발

More information

½Å½Å-Áß±¹³»Áö.PDF

½Å½Å-Áß±¹³»Áö.PDF 특 별 연 구 과 제 중 소 기 업 의 중 국 시 장 개 척 방 안 연 구 2 0 1 3 9 특별연구과제 2013-6 중소기업의 중국시장 개척방안연구 특별연구과제 2013-6 중소기업의 중국시장 개척방안연구 Contents v 08 09 09 11 12 12 14 16 22 22 25 30 35 37 41 41 42 49 52 55 55 61 71 76

More information

경북지역일자리공시제내실화방안

경북지역일자리공시제내실화방안 2011-49 경북지역일자리공시제내실화방안 차례 표차례 요약. 5.,,.,.,..,..,,. 2010 7 2011 8 244 227. 2011 6 i 2011 6 221 (www.reis.or.kr) 8 224. 23 2010 100%, 5 2011. 5 224,025 13. 33,536 8, 10,219. 21,499 1/3. 8,796 21,872,

More information

목 차 1. LED/ 광 1 2. 자동차 의료기기 정보가전 플랜트엔지니어링 생산시스템 조선 로봇 화학공정 세라믹 디스플레이 이차전지

목 차 1. LED/ 광 1 2. 자동차 의료기기 정보가전 플랜트엔지니어링 생산시스템 조선 로봇 화학공정 세라믹 디스플레이 이차전지 주요산업별글로벌기술규제 2015. 12. 산업통상자원부 한국산업기술진흥원 목 차 1. LED/ 광 1 2. 자동차 38 3. 의료기기 71 4. 정보가전 88 5. 플랜트엔지니어링 105 6. 생산시스템 119 7. 조선 133 8. 로봇 165 9. 화학공정 189 10. 세라믹 206 11. 디스플레이 231 12. 이차전지 246 13. 섬유의류 265

More information

Microsoft Word - 박웅.doc

Microsoft Word - 박웅.doc 주간기술동향통권 1423 호 2009. 11. 18. IC with EMC 분야의전세계특허동향분석 이병남 * 박웅 ** 여순일 *** 이상근 **** 본고에서는 EMC(Electro-Magnetic Compatibility, 전기자기파적합성 ) 를구비한 IC(integrated circuits) 에대한한국, 미국, 일본, 유럽등전세계특허출원및등록동향을분석하여정리하였다.

More information

최종보고서-2011년_태양광등_FIT_개선연구_최종.hwp

최종보고서-2011년_태양광등_FIT_개선연구_최종.hwp Ⅰ Ⅱ - i - Ⅲ - ii - - iii - - iv - Ⅳ Ⅴ - v - 1 1 33 1.1 33 1.1.1. 33 1.1.2. 33 1.2 35 1.2.1. 35 1.2.2. 36 2 40 2.1 40 2.1.1. 40 2.1.2. 43 2.2 47 2.2.1. 47 2.2.2. 51 3 54 3.1 54 3.1.1. 54 3.1.2. 60 3.1.3.

More information

KC CODE KCS 국가건설기준표준시방서 Korean Construction Specification KCS : 2017 상수도공사 공기기계설비 2017 년 8 월일제정 국가건설기준

KC CODE KCS 국가건설기준표준시방서 Korean Construction Specification KCS : 2017 상수도공사 공기기계설비 2017 년 8 월일제정   국가건설기준 KC CODE KCS 57 80 20 국가건설기준표준시방서 Korean Construction Specification KCS 57 80 20 : 2017 상수도공사 공기기계설비 2017 년 8 월일제정 http://www.kcsc.re.kr 국가건설기준 목차 KCS 57 80 20 상수도공사공기기계설비 1. 일반사항 1.1 적용범위,,,,,,, 1.2 참고기준

More information

임베디드2014(가을)

임베디드2014(가을) 2014 Autumn Vol.8 www.givet.re.kr 2014 Autumn News Letter 2014 Autumn Vol.8 News Letter CONTENTS GIVET Autumn 04 05 이천십사년 가을호 뉴스레터 FOCUS_ 2014년 기업지원사업 성과 기업지원성과 1 2013 경북디지털기기부품산업 패키지역량강화사업 사업기간 : 2013년

More information

2013_1_14_GM작물실용화사업단_소식지_내지_인쇄_앙코르130.indd

2013_1_14_GM작물실용화사업단_소식지_내지_인쇄_앙코르130.indd GM작물실용화사업단 인식조사 및 실용화 방향 설정 GM작물 인식조사 및 실용화 방향 설정 한국사회과학데이터센터 김욱 박사 1. 조사목적 GM 작물 관련 인식조사는 사회과학자들을 바탕으로 하여 국내 다양한 이해관계자들의 GM 작물 관련 인식 추이를 지속적이고, 체계적으로 모니터링하여 인식이 어떻게 변화하고 있는가를 탐구하기 위한 것입니다. 2. 조사설계 2.1.

More information

( 1 ) ( 2 )

( 1 ) ( 2 ) 보건의료기술육성기본계획 ( 13 17) 2016 년시행계획 ( 안 ) ( 1 ) ( 2 ) 0 비전중장기 5대추진전략 국민건강 G7 선진국도약 - 2020 건강수명 75 세시대 달성 - 건강 R&D 투자지속적확대 글로벌수준의기술개발을위한선택과집중 성과창출형 R&D 지원시스템고도화 연구생태계조성 건강산업촉진을위한제도개선 건강 R&D 투자지속적확대 보건의료 R&D

More information

<4D F736F F D F535344B4C220C4C1C6AEB7D1B7AFB0A120C7D9BDC92E646F63>

<4D F736F F D F535344B4C220C4C1C6AEB7D1B7AFB0A120C7D9BDC92E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2012. 11. 20 반도체 Overweight SSD 는컨트롤러가핵심! Top Picks 삼성전자 (005930) Buy, TP 1,800,000원 SK 하이닉스 (000660) Buy, TP 33,000 원 결론 - 한국반도체업체, SDD

More information

< > 수출기업업황평가지수추이

< > 수출기업업황평가지수추이 Quarterly Briefing Vol. 2017- 분기 -02 (2017.4.) 해외경제연구소 2017 년 1 분기수출실적평가 및 2 분기전망 < > 수출기업업황평가지수추이 산업별 기업규모별수출업황평가지수추이 (%) : 수출선행지수추이 1 2 2.. 3 - ㅇ 4 . 5 6 . 1 ( ) 7 1 (). 1 8 1 ( ) 1 () 9 1 () < 참고

More information

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Youngin Equipment Solution Technology Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Why YEST? 01, YEST 38.3%, YEST 580 2015

More information

92302 대한무역투자진흥공사 대한무역투자진흥공사

92302 대한무역투자진흥공사 대한무역투자진흥공사 92302 대한무역투자진흥공사 357 92302 대한무역투자진흥공사 92302 대한무역투자진흥공사 359 총괄요약표 리더십 전략 경영 시스템 경영 성과 평가범주지표명평가방법가중치등급 리더십 전략 주요 사업 활동 경영 효율화 주요 사업 성과 고객 성과 경영 효율 성과 (1) 경영진리더십 6등급평가 3 A (2) 지배구조및윤리경영 6등급평가 4 B (3) CS

More information

Untitled-1

Untitled-1 영역별 욕구조사 설문지 예시 자료 3 장애인영역 평택시 사회복지시설 욕구조사 실무도움서 _ 201 202 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 2 3 평택시 사회복지시설 욕구조사 실무도움서 _ 203 204 _ 평택복지재단 영역별 욕구조사 설문지 예시 자료 2 3 4 평택시 사회복지시설 욕구조사 실무도움서 _ 205 2 3 4 5 6 7

More information