아래그림처럼웨이퍼 (wafer) 한장에는동일한전기회로가인쇄된반도체칩 (chip) 이수백개에서수천개가들어있다. 이런반도체칩 (First Chip, Second Chip) 은접착제역할을하는 DAF를이용하여 Substrate(Lead-frame, PCB) 에쌓여올려지고, 금

Size: px
Start display at page:

Download "아래그림처럼웨이퍼 (wafer) 한장에는동일한전기회로가인쇄된반도체칩 (chip) 이수백개에서수천개가들어있다. 이런반도체칩 (First Chip, Second Chip) 은접착제역할을하는 DAF를이용하여 Substrate(Lead-frame, PCB) 에쌓여올려지고, 금"

Transcription

1 INFOSTOCK SECTOR BRIEFING 2012/ 03/12 >> 반도체패키징 (Packaging) 반도체생산업체는제조공정에따라크게종합반도체업체 (IDM : Integrated Device Manufacturer), 설계전문업체 (Fabless), 수탁제조업체 (Foundry), IP 개발업체 (Chipless) 등의전공정 (Front-end Process) 업체와후공정 (Back-end Process) 의패키징 (packaging) 및테스트 (test) 전문업체가있다. 특히반도체는복잡해지는구조를더욱작은크기의칩 (chip) 에구현하기위해팹리스, 파운드리, 후공정업체로더욱빠르게전문화가이루어지고있다. 여기서는반도체후공정중패키징사업을소개하기로하겠다. < 표 1> 반도체제조공정별특성과주요기업 자료 : STS 반도체분기보고서 1. 반도체패키징 (Packaging) 의정의및역할반도체칩은수많은미세전기회로가집적되어있으나그자체만으로는반도체완제품으로서의역할을할수없다. 또한, 반도체칩은미세한회로를담고있어외부의물리적, 화학적충격에의해손상될수있다. 이에따라반도체칩을와이어본딩혹은플립칩등의접합기술을이용해전기적으로외부와연결하고, 온도와습도및외부의충격으로부터보호하기위해수지로겉을포장하는패키징작업이필요하다. 즉, 반도체칩에전기적인연결을해주고, 외부의충격에견디게끔밀봉포장해주어비로소실생활에서사용할수있게물리적인기능과형상을갖게해주는것이패키징의역할이다. 이부분을반도체생산과정에있어서는상품출하직전단계라후공정이라한다 인포스탁주식섹터

2 아래그림처럼웨이퍼 (wafer) 한장에는동일한전기회로가인쇄된반도체칩 (chip) 이수백개에서수천개가들어있다. 이런반도체칩 (First Chip, Second Chip) 은접착제역할을하는 DAF를이용하여 Substrate(Lead-frame, PCB) 에쌓여올려지고, 금선 (Gold Wire) 은이를전기적으로연결해준다. 이후온도와습도및외부의충격으로부터보호하기위해 EMC(Epoxy Molding Compound) 라는수지로밀봉포장하여반도체로서기능을할수있게해주는기술을반도체패키징이라고한다. 우리가보는반도체의몸통이검은것은이처럼화학수지를덮었기때문이다. < 그림 1> 반도체패키징 자료 : 제일모직공식블로그 ( 2. 반도체패키징 (Packaging) 의중요성반도체칩성능이아무리개선돼도외부로전기적신호를전달하는패키징기술이따라오지못하면반도체칩은제기능을할수없다. 고성능의칩을지속적으로발전시켜오다보니이제는반도체칩자체의한계보다는패키지의물리적특성에따른제약이많아졌다는인식이확대되어, 칩의크기축소, 열방출능력및전기적수행능력향상, 신뢰성향상, 그리고가격저하등이패키징기술에좌우되고있다. 따라서반도체설계나웨이퍼가공의전공정에비해상대적으로주목받지못했던패키징과테스트등후공정의중요성은더욱부각되고있으며, 이를전문으로하는아웃소싱전문업체들이각광받고있다. 3. 반도체패키징 (Packaging) 산업의경쟁요소반도체패키징산업은종합반도체업체 (IDM), 설계전문업체 (Fabless), 수탁제조업체 (Foundry) 로부터수주를받아납품하는사업구조형태로각패키징별제조설비및공정보유여부, 공정및설비기술대응능력, 주문에따른최단납기대응능력, 엄격한품질관리능력및원가수준등이패키징업체의경쟁력을결정짓는주요요소로작용하고있다. 산업의특성상초정밀작업을수행할수있는설비를보유하고있어야하며, 양산체제를통한규모의경제를실현 인포스탁주식섹터

3 하기위해서대규모의설비투자가필수적이며, 전방산업인반도체제조 ( 전공정 ) 와최종응용제품의급속한기술발전으로인해지속적인설비투자가요구된다. 세계반도체패키징 ( 테스트포함 ) 시장은 Top 4 업체 (ASE, Amkor, SPIL, STATS ChipPAC) 의매출비중이 45% 를차지하고있으며, 이들업체는월등한기술력과규모의경제를바탕으로패키징기술의발전과함께산업을선도하고있다. 세계적으로패키징시장은상위 10개업체 (63.3% 시장점유 ) 에의한과점체제가구축되어있으며, 반도체패키징수요업체의전환비용과 Risk 요인으로인해신규업체의진입장벽이비교적높은편이다. < 표 2> 해외주요패키징업체매출실적 4. 반도체패키징 (Packaging) 산업현황종합반도체업체 (IDM) 의대규모설비투자에대한위험등으로외주패키징비중은지속적으로높아지고있다. 최근모바일기기및정보기기시장의다양화로패키징형태가더욱더소형화, 복합화, 다양화됨에따라패키징산업은과거노동집약적산업에서벗어나고부가가치제품위주로기술과시장이변화되고있다. 초박형모바일용패키지, 적층패키지등다양한고부가가치패키징수요덕분에패키징설비투자가늘고있고, 삼성전자와하이닉스의외주물량이늘어국내반도체패키징산업은르네상스시대를맞고있다. 반도체패키징산업은부가가치가낮아반도체제조사대부분이외주에의존하고있다. 이에따라팹리스나파운드리 ( 위탁생산 ) 산업에비해패키징산업의단기성장세가더높을수있다는게업계의분석이다. 특히 STS반도체, 하나마이크론, 시그네틱스등국내톱3는과감한설비투자와생산능력강화에나서고있어앞으로도꾸준한성장세를유지할것으로전망되고있다. < 그림 2> 국내반도체패키징업체 4 곳의매출추이 자료 : 인포스탁 인포스탁주식섹터

4 < 표 3> 국내반도체패키징업체 4 곳의시장점유율 자료 : 시그네틱스분기보고서 5. 반도체패키징 (Packaging) 산업전망시장조사업체인가트너에따르면세계패키징 ( 테스트포함 ) 시장규모는 2010년 217억불에서연평균 8.9% 의안정적인성장률을보여, 2014년에는 306억불에이를것으로전망된다. 후공정아웃소싱비중도 2010년 50%( 테스트는 50%) 에서 2014년 52%( 테스트는 57%) 로비중이확대될것으로전망되고있다. 외주패키징산업은 2009년전체반도체산업비중이 7.5% 를차지하고있어반도체산업의성장에따라지속적으로성장을유지하고있으며, 후공정외주화의진전으로 2014년에는전체반도체산업의 8.9% 로시장규모가 310억불로확대될전망이다. < 표 4> 후공정외주시장전망 종목 (code) STS 반도체 (036540) 기업개요반도체조립및테스트, 메모리카드, 기타디지털응용제품을생산하는보광그룹계열의반도체전문회사. 삼성전자, 하이닉스의메모리반도체후공정외주물량은물론국내 Set 업체및해외고객의 High-end 급패키징물량을수주하여메모리와시스템 LSI를패키징하고있음. 과거 Low level 비메모리반도체생산에서점차경박단소, 적층, 고용량의 High-end 제품생산및 SiP(System-in- Package), SSD등차세대제품을생산하고있음 인포스탁주식섹터

5 하나마이크론 (067310) 시그네틱스 (033170) 세미텍 (081220) 네패스 (033640) 01년8월삼성전자반도체부문에서분사한반도체패키징및디지털응용제품전문회사. 반도체산업의후공정분야인반도체조립및 TEST 제품을주력으로생산하고있으며, 기술력을바탕으로삼성전자, 하이닉스등종합반도체기업뿐만아니라신흥벤처기업들과신패키지기술개발을공동으로진행하고있음. 영풍그룹의계열의반도체패키징 ( 테스트포함 ) 전문업체. 신규제품인 stacked die( 적층형반도체칩 ) 패키지기술개발을통해삼성전자로부터대량물량수주및신규고객사인 Audience의수주를성공함으로써, 매출을증대시켰고신규패키지기술인 flip chip 패키징을개발함으로써, 향후매출증가의기반을마련하고있음. 주요매출처로삼성전자, 하이닉스, 브로드컴등이있음. 패키징, 테스팅등반도체후공정전문업체. 반도체후공정을주사업으로하여조립 (Assembly) 과조립후최종검사 (Package Final Test) 를수행하고있음. 주요제품으로는 MCP, SIP, CIS, BOC, FBGA, QFN, QFP, TSOP 등이있으며, System IC 제품에대한 Final Test를제공하고있음. 주요매출처는하이닉스, 삼성전자, 매그나칩반도체, 티엘아이, 실리콘웍스등. 반도체및 LCD 핵심소재전문업체. 웨이퍼레벨패키지 (WLP) 를구현, 2009년양산에나서면서차별화된시장을개척하고있음. 웨이퍼레벨패키지는모든칩들을한꺼번에패키징하는기술로각각의칩을다뤄야하는수고가줄어생산성이높으며, 칩레벨패키지방식대비 5~6배얇게만들수있다는강점이있음. 자료 : 인포스탁 - 본자료는고객의투자에참고가될수있는정보제공을목적으로제작되었습니다. - 해당자료는당사에서신뢰할수있는각종정보로부터얻어진것이나, 그정확성이나완전성이보장되지는않으므로종목선택이나투자에대해서는투자자자신의책임하에있으며, 본자료를근거로행해진거래에대해서당사는책임을지지않습니다 인포스탁주식섹터

<4D6F6E69746F72696E F D C6D0C5B0C2A120BBEABEF720B5BFC7E25FC3D6C1BE5FBCDBBACEBFEB292E687770>

<4D6F6E69746F72696E F D C6D0C5B0C2A120BBEABEF720B5BFC7E25FC3D6C1BE5FBCDBBACEBFEB292E687770> IT 부품 Monitoring Report 08-20 패키징(Packaging) 산업동향과시사점 2008년 9월 - 1 - 패키징 (Packaging) 산업동향과시사점 1. 패키징(Packaging) 산업정의및특성 적용영역에따라다양한패키징형태공존 패키징 ( 테스트포함 ) 은반도체제조과정중후공정에속하는산업으로, 칩에전기적인 연결을해주고, 형상을갖게해주는공정을말함

More information

반도체 i ii iii iv v 2011 산업기술로드맵 정보통신 반도체분야 . 개요 3 2011 산업기술로드맵 정보통신 반도체분야 . 산업의환경변화 7 2011 산업기술로드맵 반도체분야 8 . 산업의환경변화 9 2011 산업기술로드맵 반도체분야 10 . 산업의환경변화 11 2011 산업기술로드맵 반도체분야 12 . 산업의환경변화 13 2011

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

Contents

Contents 2006. 5. 2 Intel, Qualcomm MK TANAKA, Heraus STS LF : BGA : ASE Amkor STATSChiPAC SPIL ASTAT LF : BGA : IBIDEN Shinko, Nanya MK GDS, IBIDEN, Compeq, Nanya Contents Gold Wiring Bumping Lead Frame Package

More information

Microsoft Word - 아이테스트_120213

Microsoft Word - 아이테스트_120213 BUY (Initiate) 주가 (2/1) 3,원목표주가 5, 원 212. 2. 13 (8953) 국내비메모리반도체테스트외주비중확대국면진입 반도체 Senior Analyst 김성인 2) 3787-5172 sikim@kiwoom.com 이재윤 2) 3787-475 jlee1855@kiwoom.com 국내메모리반도체업황호전으로주력고객사인하이닉스대상실적 Upside

More information

기업분석(Update)

기업분석(Update) 1.. Quantitative Analyst 이창환 91-73 ch.lee@ibks.com Sector Monitor: 업종별수익률 : Cyclical 업종이 Defensive 업종대비아웃퍼폼 이익모멘텀 : 1개월전대비 EPS 전망치변화율은업종전반적으로 (+) 를기록. ERR 역시전반적으로 (+) 를기록한가운데 와, 업종이두드러짐. 개월선행 EPS 전망치는,,

More information

Issue & Tech 2015 이슈앤테크 vol.42 반도체패키징공정기술의이해와전망 연구진 김병욱 ( 재 ) 전북테크노파크책임연구원 CONTENTS 1 2. 반도체산업의발전. 반도체패키징공정기술 가. 반도체패키징공정의이해나. 반도체패키징종류와구조 3. 반도체패키징기술

Issue & Tech 2015 이슈앤테크 vol.42 반도체패키징공정기술의이해와전망 연구진 김병욱 ( 재 ) 전북테크노파크책임연구원 CONTENTS 1 2. 반도체산업의발전. 반도체패키징공정기술 가. 반도체패키징공정의이해나. 반도체패키징종류와구조 3. 반도체패키징기술 Issue & Tech 반도체패키징공정기술의이해와전망 연구진 김병욱 ( 재 ) 전북테크노파크책임연구원 CONTENTS 1 2. 반도체산업의발전. 반도체패키징공정기술 가. 반도체패키징공정의이해나. 반도체패키징종류와구조 3. 반도체패키징기술의진화와전망 가. 반도체패키징기술의진화나. 반도체패키징기술의전망 4. 결론및시사점 CONTENTS Summary 1 2 3

More information

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63>

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2012. 9. 25 반도체 Overweight 메모리는솔루션으로진화한다! Top Picks 삼성전자 (005930) Buy, TP 1,800,000원 SK 하이닉스 (000660) Buy, TP 33,000 원 결론 - 메모리산업은 Commodity

More information

사업보고서 ( 제 15 기 ) 사업연도 2016 년 01 월 01 일부터 2016 년 12 월 31 일까지 금융위원회 한국거래소귀중 2017 년 3 월 31 일 제출대상법인유형 : 면제사유발생 : 주권상장법인 해당사항없음 회사명 : 주식회사윈팩대표이사 : 이한규본점소재

사업보고서 ( 제 15 기 ) 사업연도 2016 년 01 월 01 일부터 2016 년 12 월 31 일까지 금융위원회 한국거래소귀중 2017 년 3 월 31 일 제출대상법인유형 : 면제사유발생 : 주권상장법인 해당사항없음 회사명 : 주식회사윈팩대표이사 : 이한규본점소재 목 사업보고서...1 대표이사등의확인...2 I. 회사의개요...3 1. 회사의개요...3 2. 회사의연혁...4 3. 자본금변동사항...7 4. 주식의총수등...9 5. 의결권현황...10 6. 배당에관한사항등...10 II. 사업의내용...12 III. 재무에관한사항...44 1. 요약재무정보...44 2. 연결재무제표...45 3. 연결재무제표주석...45

More information

Microsoft Word - pcb_index_report.doc

Microsoft Word - pcb_index_report.doc 보고서무단복사및유통금지 2008 년 10 월 10 일 Cischem. Com Co., Ltd./Consulting Division http://www.cischem.com E-mail : cischem@cischem.com Tel(02-322-0144), Fax(02-322-0147) 121-869, 서울시마포구연남동 565-15호지남빌딩 503호 Contents

More information

Microsoft PowerPoint 산업전망_통장전부_v9.pptx

Microsoft PowerPoint 산업전망_통장전부_v9.pptx Contents 3 4 5 6 7 8 9 10 11 12 13 14 주 : Murata 는 3 월 31 일결산, Chiyoda Integre 는 8 월 31 일결산자료 : Bloomberg, 미래에셋대우리서치센터 15 자료 : Bloomberg, 미래에셋대우리서치센터 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32

More information

I. 회사의 개요 1. 회사의 개요 가. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기 ㆍ반기보고서를 제출하는 경우에 한함) 자본시장과 금융투자업에 관한 법률 시행령 부칙 <제20947호> 제23조에따라 2012년 1월 1일 이후 최초로

I. 회사의 개요 1. 회사의 개요 가. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기 ㆍ반기보고서를 제출하는 경우에 한함) 자본시장과 금융투자업에 관한 법률 시행령 부칙 <제20947호> 제23조에따라 2012년 1월 1일 이후 최초로 반 기 보 고 서 (제 31 기) 사업연도 2012년 01월 01일 2012년 06월 30일 부터 까지 금융위원회 한국거래소 귀중 2012년 8월 14일 회 사 명 : 엠케이전자(주) 대 표 이 사 : 최 윤 성 본 점 소 재 지 : 경기도 용인시 처인구 포곡읍 금어리 316-2 (전 화)031-330-1900 (홈페이지) http://www.mke.co.kr

More information

FLIP-CHIP 반도체패키지용 HEAT SPREADER 개발및사업화 결과보고서 창업기업명 : ( 주 ) 이투아이기술 대표 : 김광호 한국산업기술평가원 - 1 -

FLIP-CHIP 반도체패키지용 HEAT SPREADER 개발및사업화 결과보고서 창업기업명 : ( 주 ) 이투아이기술 대표 : 김광호 한국산업기술평가원 - 1 - FLIP-CHIP 반도체패키지용 HEAT SPREADER 개발및사업화 결과보고서 창업기업명 : ( 주 ) 이투아이기술 대표 : 김광호 한국산업기술평가원 - 1 - 결과보고서 2001 년도신기술창업보육 (TBI) 사업에의하여완료된 FLIP-CHIP 반도체패키지 용 HEAT SPREADER 개발 의결과보고서를별첨과같이제출합니다. 첨부 : 결과보고서 10 부. 끝

More information

태양광산업 경쟁력조사.hwp

태양광산업 경쟁력조사.hwp 태양광산업산업경쟁력조사 1 Ⅰ. 1. 52 2. 53 Ⅱ. 1. 54 2. 60 3. 64 III. 1. 71 2. 82 Ⅳ. 1. 98 2. 121 3. 132 Ⅴ. 1. 147 2. 160 3. 169 4. SWOT 181 Ⅵ. 1. 187 2. 202 3. 217 Ⅶ. 225 < 요약 > Ⅰ. 서론 II. 태양광산업의개요 III. 태양광기술개발현황

More information

메모리반도체시장규모및성장률 ( 억달러, %) 비메모리반도체시장규모및성장률 ( 억달러, %) Ⅰ 3/21 4/21

메모리반도체시장규모및성장률 ( 억달러, %) 비메모리반도체시장규모및성장률 ( 억달러, %) Ⅰ 3/21 4/21 제2018-7호 2018. 4. 6. 조사국국제경제부국제종합팀국제경제리뷰이창기차장 (4280) 차준열조사역 (4279) 세계반도체시장의호황배경및시사점 IoT 부문별반도체시장규모전망 ( 억달러 ) 주요 D램업체점유율변화 1) (%) 글로벌반도체시장매출추이 ( 분기별 ) D 램 1) 및낸드플래시 2) 가격 ( 달러 ) 자료 : Gartner 주 : 1) 점유율

More information

Vol. 234 2012. August 04 28 38 54 KCC Inside Special Theme KCC Life KCC News 04 KCC 하이라이트Ⅰ KCC 울산 신공장 준공식 거행 06 KCC 하이라이트Ⅱ 김천공장 통전식 및 안전 기원제 실시 08 KCC

Vol. 234 2012. August 04 28 38 54 KCC Inside Special Theme KCC Life KCC News 04 KCC 하이라이트Ⅰ KCC 울산 신공장 준공식 거행 06 KCC 하이라이트Ⅱ 김천공장 통전식 및 안전 기원제 실시 08 KCC www.kccworld.co.kr 08 2012. August vol. 234 KCC Inside_ KCC 하이라이트Ⅰ KCC 울산 신공장 준공식 거행 Special Theme_ Essay 편한 마음으로 여름을 이기자 KCC Life_ 책과 함께Ⅰ 스티븐 호킹의 시간의 역사 & 위대한 설계 KCC News_ KCC News KCC건설 News Vol. 234

More information

2힉년미술

2힉년미술 제 회 Final Test 문항 수 배점 시간 개 00 점 분 다음 밑줄 친 부분의 금속 공예 가공 기법이 바르게 연결된 것은? 금, 은, 동, 알루미늄 등의 금속을 ᄀ불에 녹여 틀에 붓거나 금속판을 ᄂ구부리거나 망치로 ᄃ두들겨서 여러 가지 형태의 쓸모 있는 물건을 만들 수 있다. ᄀ ᄂ ᄃ ᄀ ᄂ ᄃ 조금 단금 주금 주금 판금 단금 단금 판금 주금 판금 단금

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 특집 : 3D 마이크로시스템패키징및장비 Warpage Study of Ultra Thin Package Used in Mobile Devices Cha-Gyu Song, Kyoung-Ho Kim and Sung-Hoon Choa 1. 서론 모바일제품에사용되는패키지는더작고 얇은동 시에고성능 다기능을요구하고있다 특히패키지두 께의감소가지속적으로요구되기때문에패키지의각

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

반도체부품연구회 최종보고서 주력산업반도체부품 ( 시스템반도체 ) 육성방안연구회 2010. 4 대표위원 / 황인록 지식경제부 / 한국산업기술진흥원 ː ː ː ː ː ː ː ː ː ː ː 휴대폰용반도체 디지털 TV 용반도체 자동차용반도체 터치패널반도체 11.2% 0.1% [ 단위 : Billion $] 113.4 29.1%

More information

Microsoft Word _semicon_comment_final.doc

Microsoft Word _semicon_comment_final.doc Issue&News 산업분석 211.16 반도체 Overweight [ 비중확대, 유지 ] DRAM 상승세 2 월까지지속될전망 투자포인트 Top pick 강정원 769.362 jeffkang@daishin.com 삼성전자 Buy 목표주가 32% 2,, 종목명 투자의견 목표주가 삼성전자 Buy 2,, 원 SK 하이닉스 Buy 32, 원 한미반도체 Buy 1,5

More information

Issue Br ief ing Vol E01 ( ) 해외경제연구소산업투자조사실 하반기경제및산업전망 I II IT : (

Issue Br ief ing Vol E01 ( ) 해외경제연구소산업투자조사실 하반기경제및산업전망 I II IT : ( Issue Br ief ing Vol. 2013-E01 (2013.07.22) 해외경제연구소산업투자조사실 하반기경제및산업전망 I. 1 1. 1 2. 2 3. 6 4. 7 II. 8 1. 8 2. 10 3. IT 15 4. 17 5. 20 6. 21 : (3779-6685) (3779-6679) (3779-5327) (3779-6677) (3779-6680)

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

Microsoft Word - 오창석

Microsoft Word - 오창석 전자소자및반도체패키징기술동향 오창석 * 최근, 중국은정부차원에서대규모의펀드를조성하여반도체산업육성에나서면서추격의속도를높이고있으며, 이는대한민국의반도체산업에대한차세대고성능반도체소자및재료개발의중요성을부각시키고있다. 본고는차세대전자소자및반도체산업의근간이될패키징재료분야에초점을맞추어각재료별보유한물성및요구되는특성을조사하였고, 앞으로차세대전자소자및패키징시장을주도할재료의동향을살펴보고자한다.

More information

Microsoft Word - 120816_KDB대우증권 Small Cap 나침반 No.10.doc

Microsoft Word - 120816_KDB대우증권 Small Cap 나침반 No.10.doc 212. 8. 16 탐방메모 KDB대우증권 Small Cap 나침반 No.1 주간 탐방메모 주요 PCB기업: 대덕전자, 대덕GDS, 코리아써키트 대덕전자(86/Not Rated) 상반기의 기다림이 헛되지 않을 하반기 하반기 FC-CSP 양산 시작에 따라 반도체 부문 중심으로 수익성 개선 가능할 전망 갤럭시 S3 출하 증가로 HDI 매출 하반기부터 본격적으로

More information

Microsoft Word - C001_UNIT_ _

Microsoft Word - C001_UNIT_ _ 14 LG 는 IT 가아닌자동차의르네사스를인수한셈 매수 ( 유지 ) T.P 32,000 원 ( 유지 ) Analyst 정한섭 hanchong@sk.com +823773900 Company Data 자본금 81 억원 발행주식수 1,626 만주 자사주 74 만주 액면가 00 원 시가총액 4,326 억원 주요주주 ( 주 ) 코멧네트워크 16.2% 한대근 4. 외국인지분률.

More information

Sector. 반도체 TSMC (2330.TW) China Center( 中國中心 ) AT A GLRANCE ( 기준 ) 현재가 (TWD) 시가총액 ( 억TWD) 45,249 발행주식수 ( 백만 )

Sector. 반도체 TSMC (2330.TW) China Center( 中國中心 ) AT A GLRANCE ( 기준 ) 현재가 (TWD) 시가총액 ( 억TWD) 45,249 발행주식수 ( 백만 ) TSMC (233.TW) China Center( 中國中心 ) china.center@samsung.com AT A GLRANCE (216. 8. 4기준 ) 현재가 (TWD) 174.5 시가총액 ( 억TWD) 45,249 발행주식수 ( 백만 ) 259 52주최저 / 최고 (TWD) 112.5/177.5 현지증권사의견 HSBC 216. 7.14 평가 매수 목표가

More information

01 충남반도체산업기본현황 충남반도체산업사업체수는전국 2 위 (1 위경기 ), 종사자수는 3 위 (1 위경기, 2 위충북 ) 규모로나타남충남사업체수는지속적으로증가하였으나종사자수는감소함 매출액은 2010 년 5 조원에서 2015 년 8 조원으로성장하였으나비중은전국대비 8

01 충남반도체산업기본현황 충남반도체산업사업체수는전국 2 위 (1 위경기 ), 종사자수는 3 위 (1 위경기, 2 위충북 ) 규모로나타남충남사업체수는지속적으로증가하였으나종사자수는감소함 매출액은 2010 년 5 조원에서 2015 년 8 조원으로성장하였으나비중은전국대비 8 충남정책지도 2017-07 호통권 16 호 Decision-Making Support Map 충남반도체산업 현황과전망 김혜정, 임병철충남경제동향분석센터전임연구원 디자인 & 인쇄디자인화랑 (042.625.5310) 01 충남반도체산업기본현황 충남반도체산업사업체수는전국 2 위 (1 위경기 ), 종사자수는 3 위 (1 위경기, 2 위충북 ) 규모로나타남충남사업체수는지속적으로증가하였으나종사자수는감소함

More information

국내시스템반도체산업의취약성분석및육성전략 31 구분내용 Micro Component 컴퓨터를제어하기위한핵심부품으로 MPU(Micro Processor Unit, CPU), MCU(Micro Controller Unit), DSP(Digital Signal Processo

국내시스템반도체산업의취약성분석및육성전략 31 구분내용 Micro Component 컴퓨터를제어하기위한핵심부품으로 MPU(Micro Processor Unit, CPU), MCU(Micro Controller Unit), DSP(Digital Signal Processo 30 산업이슈 국내시스템반도체산업의취약성분석및육성전략 Ⅰ. 시스템반도체시장의구성과동향 목 차 Ⅲ. 시스템반도체산업의육성필요성 Ⅱ. 국내시스템반도체산업의취약성분석 Ⅳ. 발전전략 Ⅰ. 시스템반도체시장의구성과동향 반도체산업내위상 41) 비메모리반도체는정보저장없이연산, 제어등논리적정보처리를수행하며, 시스템반도체및개별소자 (Discrete) 등으로분류 반도체의분류 메모리반도체

More information

歯자료db통합0928

歯자료db통합0928 1 Memory PKG DIP * DUAL IN-LINE PACKAGE PIN PKG LEAD LEAD PITCH 100 MIL (254mm), PKG WIDTH 300/400/600 MIL (PIN HOLE WIDTH ), PIN 6-64 P-DIP C-DIP SDIP SK-DIP SIP * PLASTIC DIP MOLD ( ) PLASTIC( EPOXY

More information

Microsoft Word 시스템반도체_ doc

Microsoft Word 시스템반도체_ doc 자료공표일 11 May 2011 2011 하반기스몰캡이슈분석 (5) 유진 Small-Cap (5) 시스템반도체 : DRAM 신화를꿈꾸며 Small-Cap 박종선 Tel. 368-6076 jongsun.park@eugenefn.com Small-Cap 변준호 Tel. 368-6141 juno.byun@eugenefn.com 시스템반도체는휴대폰, 가전, 자동차산업의경쟁력과직결

More information

(72) 발명자 최석문 서울관악구봉천 6 동우성아파트 장범식 경기성남시분당구정자동한솔마을청구아파트 110 동 301 호 정태성 경기화성시반월동신영통현대 4 차아파트

(72) 발명자 최석문 서울관악구봉천 6 동우성아파트 장범식 경기성남시분당구정자동한솔마을청구아파트 110 동 301 호 정태성 경기화성시반월동신영통현대 4 차아파트 (51) Int. Cl. (19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) H01L 23/12 (2006.01) (21) 출원번호 10-2007-0057147 (22) 출원일자 2007 년 06 월 12 일 심사청구일자 (56) 선행기술조사문헌 JP2004014722 A US6861288 B2 2007 년 06 월 12 일 (45) 공고일자 2008년10월24일

More information

슬기로운주식생활 2 월 지하에서 10 층으로가는엘리베이터올라타기 에이디테크놀로지 (200710) 매출도성장, 주가도상승 NR 액면가 종가 (2019/01/31) 500 원 13,300 원 시스템반도체설계전문칩리스 (Chipless) 업체동사는 2002 년에설립된시스템반

슬기로운주식생활 2 월 지하에서 10 층으로가는엘리베이터올라타기 에이디테크놀로지 (200710) 매출도성장, 주가도상승 NR 액면가 종가 (2019/01/31) 500 원 13,300 원 시스템반도체설계전문칩리스 (Chipless) 업체동사는 2002 년에설립된시스템반 에이디테크놀로지 (200710) 매출도성장, 주가도상승 NR 액면가 종가 (2019/01/31) 500 원 13,300 원 시스템반도체설계전문칩리스 (Chipless) 업체동사는 2002 년에설립된시스템반도체설계전문칩리스 (Chipless) 업체로서, Frontend 설계 ( 고객의요구사양에따른회로설계 ) 부터 Back-end 설계 ( 실제반도체회로의구현 )

More information

주간_KDB리포트(Weekly_KDB_Report)_ hwp

주간_KDB리포트(Weekly_KDB_Report)_ hwp 중국반도체굴기 ( 倔起 ) 의동향및대응전략 김광섭 ( 산업분석부, bokslml@kdb.co.kr) 글로벌 IT기기업체들의주요생산기지인중국은세계반도체소비량의약 60% 를차지하는거대한내수시장을보유하고있다. 반도체는사물인터넷, 자율주행차, 로봇등 4차산업혁명의기반산업으로앞으로도무한한잠재수요가예상되는가운데, 최근미래반도체시장선점을위한중국의 반도체倔起 가주목을받고있다.

More information

마이크로, TAS, 패키지, 범프, 관통홀 명세서 도면의 간단한 설명 도 1은 종래 기술에 따른 외부의 압력을 센싱하는 압력센서 패키지의 단면도 도 2a와 2b는 본 발명에 따라 마이크로 타스(TAS, Total Analysis System)칩이 실장된 패키지 단면도

마이크로, TAS, 패키지, 범프, 관통홀 명세서 도면의 간단한 설명 도 1은 종래 기술에 따른 외부의 압력을 센싱하는 압력센서 패키지의 단면도 도 2a와 2b는 본 발명에 따라 마이크로 타스(TAS, Total Analysis System)칩이 실장된 패키지 단면도 (51) Int. Cl. 7 H01L 21/60 (19)대한민국특허청(KR) (12) 등록특허공보(B1) (45) 공고일자 (11) 등록번호 (24) 등록일자 2005년10월07일 10-0519222 2005년09월28일 (21) 출원번호 10-2003-0085619 (65) 공개번호 10-2005-0051933 (22) 출원일자 2003년11월28일 (43)

More information

하나마이크론 2 하나마이크론 하나마이크론 (6731) Stock Data KOSDAQ (6/1) 시가총액 pt 1,499 억원 52 주주가동향최고가최저가 7,9 원 5,12 원 최고 / 최저가대비등락율 % 3.86% 주가수익률절대상대 Company

하나마이크론 2 하나마이크론 하나마이크론 (6731) Stock Data KOSDAQ (6/1) 시가총액 pt 1,499 억원 52 주주가동향최고가최저가 7,9 원 5,12 원 최고 / 최저가대비등락율 % 3.86% 주가수익률절대상대 Company 기업분석 하나마이크론 (6731) BUY(Reinitiate) 주가 (6/1) 6,7원목표주가 11,원 214. 6. 11 응답하라 21! 국내반도체후공정산업은최근 2 년간전방산업의외주물량감소로실적부진을겪었다. 그러나하나마이크론은시련기를체질개선의전기로활용했다. 고부가제품중심의믹스개선과원가절감노력을통해 1Q14 턴어라운드에성공했고, 2Q14 부터본격적인이익성장국면이전개될전망이다.

More information

BUY 이오테크닉스 기업분석 OLED 장비 그어느때보다높은성장가시성 목표주가 ( 유지 ) 120,000 원 현재주가 (03/13) 89,700 원 Up/Downside +33.8% 투자의견 ( 유지 ) Buy Analyst 권휼 02

BUY 이오테크닉스 기업분석 OLED 장비 그어느때보다높은성장가시성 목표주가 ( 유지 ) 120,000 원 현재주가 (03/13) 89,700 원 Up/Downside +33.8% 투자의견 ( 유지 ) Buy Analyst 권휼 02 BUY 393 기업분석 OLED 장비 그어느때보다높은성장가시성 목표주가 ( 유지 ) 12, 원 현재주가 (3/13) 89,7 원 Up/Downside +33.8% 투자의견 ( 유지 ) Buy Analyst 권휼 Investment Points 17 년역대최대매출액전망 : 의 17 년매출추정치를 5,75 억원 (64.9%YoY) 으로, 기존대비 12.2% 상향조정한다.

More information

슬라이드 1

슬라이드 1 Contents Prologue Chapter 01 Silicon Works Co., Ltd. Chapter 02 Market Expansion Chapter 03 Investment Highlights Appendix Prologue 01. 디스플레이시장의진화 02. 진화의중심, 실리콘웍스 01. 디스플레이시장의진화 지금도 LCD 는다양한 Application

More information

<4D F736F F D20302E20C7DAB5E5BCC220B5E0BEF320C4ABB8DEB6F35F4A2E646F6378>

<4D F736F F D20302E20C7DAB5E5BCC220B5E0BEF320C4ABB8DEB6F35F4A2E646F6378> Sector update Korea / Handsets 21 March 2016 OVERWEIGHT Stocks under coverage Company Rating Price Target price, Analyst 3774 3831 jinho.cho@miraeasset.com See the last page of this report for important

More information

Microsoft PowerPoint Outlook [음식료].pptx

Microsoft PowerPoint Outlook [음식료].pptx Contents 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 HMR 4 대주력카테고리 밥 Rice 기반 One Meal 형주식 :1) 햇반컵반, 2) 냉동밥 면 Noodle 기반 One Meal 형주식 : 냉장편의컵면 (CVS 중심확대 ) Side Dish 식사시주식을도와주는부식 : 1) 탕 찌개류

More information

<4D F736F F D20C1F5BDC3BBEABEF7C0FCB8C15FC3D6C1BE5F2E646F63>

<4D F736F F D20C1F5BDC3BBEABEF7C0FCB8C15FC3D6C1BE5F2E646F63> 반도체부품 / 장비 모바일 AP 와미세공정전환이주요키워드 비중확대 Top picks 네패스 (33, 매수, TP 1, 원 ) 11F 1F 13F PER(x) 3.9. 7.1 PBR(x). 1. 1.3 EV/EBITDA(x).. 3. EPS( 원 ) 39 1,75,13 BPS( 원 ) 7, 9,3 11, 삼성전자모바일 AP 전략수혜주 WLP 의이익비중확대 1F

More information

Microsoft Word - IR VR Hot Air Convetion.docx

Microsoft Word - IR VR Hot Air Convetion.docx IR VS Air Convection Reflow Oven 비교표 본내용은 IR 와 Full Hot Air Convection Reflow Oven에대한변천과 IR Reflow Oven에사용시문제에대한내용을서술하였습니다. 1. 초기적용 Reflow를사용하기시작한시기는 1980년초 Computer CPU 및 Micro Control 사용하게되었다. 이전엔 PLCC

More information

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원 반도체산업 3D NAND 투자가앞당겨진다 216. 4. 1 Analyst 박유악 (698-6688) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 실리콘웍스 STRONG BUY TP 5, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 디엔에프 BUY

More information

Company Brief NR 싸이맥스 (160980) 로봇싸이의맥스스타일 액면가 500 원반도체웨이퍼이송장비전문업체 종가 (2019/03/29) Stock Indicator 자본금 발행주식수 시가총액 10,500 원 5.3 십억원 1,058 만주

Company Brief NR 싸이맥스 (160980) 로봇싸이의맥스스타일 액면가 500 원반도체웨이퍼이송장비전문업체 종가 (2019/03/29) Stock Indicator 자본금 발행주식수 시가총액 10,500 원 5.3 십억원 1,058 만주 Company Brief 2019-04-01 NR 싸이맥스 (160980) 로봇싸이의맥스스타일 액면가 500 원반도체웨이퍼이송장비전문업체 종가 (2019/03/29) Stock Indicator 자본금 발행주식수 시가총액 10,500 원 5.3 십억원 1,058 만주 111 십억원 외국인지분율 3.8% 52 주주가 7,090~15,000 원 60 일평균거래량

More information

- Wedge bonding Wedge bonding 은반도체 pad 에수평적으로찍히게되고 wedge 를들어올리면 wire 를잡아당겨접착부분을끊어주게된다. 그다음다시 wire 가공급되어찍히고자르고하는과정이반복된다. 이방법의장점은여러지점의접착점을 wire 를일일히끊지않고

- Wedge bonding Wedge bonding 은반도체 pad 에수평적으로찍히게되고 wedge 를들어올리면 wire 를잡아당겨접착부분을끊어주게된다. 그다음다시 wire 가공급되어찍히고자르고하는과정이반복된다. 이방법의장점은여러지점의접착점을 wire 를일일히끊지않고 Wire bonding 1.Wirebonding 이란? 집적회로를패키지의리드에매우가는고순도금 (Au), 알루미늄 (Al), 구리 (Cu) 선으로연결하는공정을 wirebonding 이라한다. 반도체칩은제대로동작하기위해서는외부와전기적으로연결되어야한다. 이것은미세한금속선으로반도체칩의끝부분과다른전기적연결단자와연결하게된다. 반도체칩의표면에선을붙이는것은어려운작업이다.

More information

Microsoft Word be5c802ecae8.docx

Microsoft Word be5c802ecae8.docx 213. 1. 24 Company Update (3364) 과도한우려를이기는펀더멘탈 WHAT S THE STORY? Event: 삼성파운드리를이용하는고객사의스마트폰물량이지속적으로늘어나고, 동시에태블릿시장도큰폭으로성장할것으로전망되면서 WLP 와 DDI 를포함한동사반도체사업부를중심으로올해동사의성장이두드러질것으로기대. Impact: 213 년연결기준매출액은전년대비

More information

04(IK12-16)p fm

04(IK12-16)p fm Journal of the Microelectronics & Packaging Society Vol. 19, No. 1, p. 25-32. 2012 http://dx.doi.org/10.6117/kmeps.2012.19.1.025 특집 : 인공위성용메모리패키징기술 인공위성용 3 차원메모리패키징기술 임재성 김진호 김현주 정진욱 이혁 박미영 채장수 3D SDRAM

More information

Microsoft Word - 3ICT Zoom

Microsoft Word - 3ICT Zoom 주간기술동향 2017. 12. 27. * 최근국내외반도체시장현황및이슈 I. 세계반도체시장현황 2017 년세계반도체시장 ( 반도체매출기준 ) 은사상처음 4,000 억달러진입예상 4 차산업혁명으로의패러다임전환에따라글로벌기업의데이터센터등관련투자가증가하고있어, 세계반도체시장은매출및영업이익동반상승등본격적인호황에진입 ( 매출 ) 2016 년하반기이후성장세를기록중이며,

More information

Microsoft Word - Pocket Note_120911.doc

Microsoft Word - Pocket Note_120911.doc 212년 9월 11일 엔텔스 외 11개 종목 탐방노트 IBKS Small Cap 유욱재 / 팀장 2 6915 5419 oneupyoo@ibks.com 김인필 2 6915 5419 ipkim1@ibks.com 김종우 2 6915 5776 81239@ibks.com 신근호 2 6915 5671 kh.shin@ibks.com 최광현 2 6915 5764 richmaker@ibks.com

More information

내지(교사용) 4-6부

내지(교사용) 4-6부 Chapter5 140 141 142 143 144 145 146 147 148 01 02 03 04 05 06 07 08 149 활 / 동 / 지 2 01 즐겨 찾는 사이트와 찾는 이유는? 사이트: 이유: 02 아래는 어느 외국계 사이트의 회원가입 화면이다. 국내의 일반적인 회원가입보다 절차가 간소하거나 기입하지 않아도 되는 개인정보 항목이 있다면 무엇인지

More information

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3 반도체산업 삼성전자, Foundry 부문성장지속 216. 3. 1 Analyst 박유악 (698-6688) Overweight 관련종목 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 리노공업 BUY TP 57, 원 Investment Summary - 16 년삼성전자

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

2016 SEC X-ray Catalog

2016 SEC X-ray Catalog X-ray Inspection Systems 2D AXI / 3D AXI / WAXI 반도체 / SMT 분석장비 X-eye SF160 Series 반도체 SMT 및전자 / 전기부품검사를위한비파괴분석설비 Micro-Open Tube 탑재하여반영구적사용가능 Dual CT 기능으로최상의 CT 이미지구현 / 고속스캔지원 X-ray Tube 160 kv / 200 µa

More information

Small Cap Company Update Analyst 김종우 02) 목표주가 현재가 (1/3) 매수 ( 유지 ) 12,000 원 8,300 원 KOSDAQ (1/3) pt 시가총액 1

Small Cap Company Update Analyst 김종우 02) 목표주가 현재가 (1/3) 매수 ( 유지 ) 12,000 원 8,300 원 KOSDAQ (1/3) pt 시가총액 1 Small Cap 217. 1. 4 Company Update Analyst 김종우 2) 6915-5776 81239@ibks.com 목표주가 현재가 (1/3) 매수 ( 유지 ) 1 원 8,3 원 KOSDAQ (1/3) 636.57pt 시가총액 1,811 억원 발행주식수 21,825 천주 액면가 5원 52주 최고가 8,53 원 최저가 5,15 원 6일일평균거래대금

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 6 특집 : 차세대디스플레이모듈및 3 차원실장을위한마이크로전자패키징기술 전자패키징의플립칩본딩기술과신뢰성 윤정원 김종웅 구자명 하상수 노보인 문원철 문정훈 정승부 Flip-chip Bonding Technology and Reliability of Electronic Packaging Jeong-Won Yoon, Jong-Woong Kim, Ja-Myeong

More information

06-....-..........101..

06-....-..........101.. 20130306 vol.101 Contents Issue Briefing 2013 vol.101 2 Issue Briefing C O N T E N T S 3 Issue Briefing Issue Briefing 2013 vol.101 4 5 Issue Briefing Issue Briefing 2013 vol.101 6 7 Issue Briefing Issue

More information

( 수출현황 ) 품목별실적및특징 반도체 수요 서버및스마트폰등 증가지속등 으로 개월연속 억불대기록및 개월연속수출증가세 디스플레이 액정표시장치 패널경쟁심화속에서 유기발광다이오드 패널수요확대에힘입어 개월만에증가전환 휴대폰 프리미엄스마트폰수출호조등으로 개월 연속수출증가 컴퓨터

( 수출현황 ) 품목별실적및특징 반도체 수요 서버및스마트폰등 증가지속등 으로 개월연속 억불대기록및 개월연속수출증가세 디스플레이 액정표시장치 패널경쟁심화속에서 유기발광다이오드 패널수요확대에힘입어 개월만에증가전환 휴대폰 프리미엄스마트폰수출호조등으로 개월 연속수출증가 컴퓨터 보도자료 http://www.motie.go.kr 2018년 8월 17일 ( 금 ) 조간부터보도하여주시기바랍니다. ( 인터넷, 방송, 통신은 8.16.( 목 ) 오전 11시이후보도가능 ) 산업통상자원부전자전기과배포일시 2018. 8. 16.( 목 ) 담당부서산업통상자원부전자부품과 담당과장 이진광과장 (044-203-4340) 박영삼과장 (044-203-4270)

More information

<B9DDB5B5C3BCBBEABEF72DB1E2C3CABAD0BCAE D4850BFEB2E687770>

<B9DDB5B5C3BCBBEABEF72DB1E2C3CABAD0BCAE D4850BFEB2E687770> 반도체산업기초분석 주대영연구위원 ( 주력산업실 ) Ⅰ. 반도체산업개관 1. 반도체의정의와분류 반도체 ( 半導體 : semiconductor) 란구리처럼전기가잘통하는도체 ( 導體 ) 와나무나돌처럼전기가통하지않는부도체 ( 不導體 ) 의중간성질을갖는물질을말함. 반도체도원래는전기가거의통하지않지만필요시빛, 열, 불순물등을가하여전기를통하게함으로써전기신호를제어하거나증폭,

More information

0904fc b

0904fc b 실리콘웍스 (108320/ 매수 ) 황준호 02-768-4140 j.hwang@dwsec.com 오세범 02-768-4135 brian.oh@dwsec.com 2012. 9. 13 이슈코멘트 국내 NDR 후기 - 내년성장스토리확인 내년성장스토리 : 1) Non-iPad 테블릿PC 성장, 2) 노트북용 Retina Display 확대, 3) TV용드라이버IC

More information

농어촌여름휴가페스티벌(1-112)

농어촌여름휴가페스티벌(1-112) 좋아유~보은!여러가지 체험으로자연을누려보세요 보은군 농촌체험산업협의회 맑은물 맑은공기비단강숲마을 영동군 비단강 숲마을 보은군은 전국 어디서나 찾아오기 쉬우며, 비단강 숲마을은 자연 그대로가 마을 곳곳에 녹아 잘 보존된 깨끗한 자연환경과 천년의 신비를 간직 흐르는 곳이다. 푸르른 들녘과 알록달록 익어 가는 과일, 한 속리산과 법주사, 장안면 아흔아홉간집, 서원계

More information

CNVZNGWAIYSE.hwp

CNVZNGWAIYSE.hwp Issue Briefing Vol. 200900 (2010.1.05) 해외경제연구소산업투자조사실 팹리스반도체산업전망 I. 반도체산업개요 4 II. 팹리스반도체의중요성 6 III. 팹리스반도체시장동향및전망 10 IV. 국내팹리스업체현황 14 V. 결론및시사점 21 작성 확인 : 책임연구원김윤지 (37796677) yzkim@koreaexim.go.kr 실장안상술

More information

Microsoft Word - IR_120328_Nepes_K_2_.doc

Microsoft Word - IR_120328_Nepes_K_2_.doc Korea Equity Research COMPANY REPORT 212 년 3 월 28 일 네패스 (3364) 매수 ( 유지 ) 반도체및반도체장비 비메모리후공정 (WLP) 시장을지배하다 현재주가 (3 월 27 일 ) 2,25 원 목표주가 26, 원 ( 상향 ) 상승여력 28.4% Analyst 김영찬 (2) 3772-1595 youngkim@shinhan.com

More information

Microsoft Word - ★삼성전자 3Q12 Conference Call Summary.doc

Microsoft Word - ★삼성전자 3Q12 Conference Call Summary.doc 삼성전자 (005930) 삼성전자 3Q12 Conference Call Summary 최성제 / 3773-9045 1. 손익계산서(연결기준) (단위: 조원) 2Q12 QoQ 3Q11 YoY 매출액 52.18 47.60 10% 41.27 26% 반도체 8.72 8.60 1% 9.48-8% 메모리 5.22 5.42-4% 5.50-5% DP 8.46 8.25 3%

More information

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시 목재미래기업발굴및육성을위한 중장기사업방향제안 2017. 11. 목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 16 2.1. 목재제품의종류 16 2.2. 국내목재산업현황 19 2.3. 목재산업트렌드분석및미래시장예측 33 Ⅲ. 목재미래기업의정의및분류

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 SeoulTech UCS Lab SoC (System on Chip) 2013. 03. 19( 화 ) 컴퓨터공학과이재동 (jdlee731@seoultech.ac.kr) 목 차 1. 개요 2. SoC (System on Chip) 의개념 3. SoC 기술 4. 지적재산권 5. SoC 기술의발전전망 2 들어가며 디바이스 (device) 사전적의미 : 특정한목적을가진기계적,

More information

2007

2007 212. 6. 4 212 하반기전망 : 반도체아날로그반도체산업과한국 < 한국아날로그반도체의경쟁력과 Fabless 업체전망 > 반도체 / 디스플레이담당이정 Tel. 368-6124 jeonglee@eugenefn.com Glossary 용어 아날로그반도체 Fabless 업체 파운드리업체 화합물반도체 개별소자 메모리반도체 비메모리반도체 DRAM (Dynamic

More information

Microsoft Word be5c802c0fe1.docx

Microsoft Word be5c802c0fe1.docx 212. 1. 4 Company Update (3671) 구조적수익성개선 WHAT S THE STORY? Event: 스마트폰과태블릿 PC 시장의중저가화에따른모바일용패키지제품들과 FC-CSP 매출증가기대. Impact: 모바일용패키지의매출비중증가는높은이익률을동반하며동사의 Product mix 의구조적개선을가져올것. FC-CSP 사업또한우호적인시장환경조성으로매출증가가시성증대.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Investor Relations 2007 Updated : June, 2007 HTTP://WWW.PHICOM.COM 주소서울시금천구가산동 60-29 업종반도체및액정디스플레이검사장치외제조업 설립일 1979 년 2 월 26 일 ( 법인전환 1996 년 7 월 1 일 ) 자본금 10,590 백만원 (21,181,176 주 ) 종업원수 340 (2007 년

More information

Microsoft Word _23

Microsoft Word _23 Company Report 217.1.17 (99) 8 인치 Fab 수요증가수혜집중 What s new? Our view 반도체 / 장비 투자의견 : BUY (M) 목표주가 : 27, 원 (M) 주가 (1/16) 18,45원 자본금 2,226억원 시가총액 8,221억원 주당순자산 5,87원 부채비율 287.57 총발행주식수 44,511,167 주 6일평균거래대금

More information

<4D F736F F F696E74202D20B9DDB5B5C3BCB0F8C1A426B8DEB8F0B8AEBFEBBDC5BCD2C0E75FBEF7B7CEB5E52E707074>

<4D F736F F F696E74202D20B9DDB5B5C3BCB0F8C1A426B8DEB8F0B8AEBFEBBDC5BCD2C0E75FBEF7B7CEB5E52E707074> Chap. 1 Information/Communication Technology 반도체칩제조공정및메모리반도체 Advanced Materials and Future Technology Fabrication Processes of Semiconductor Chips ( 반도체칩제조공정 ) IC (Integrated Circuit) Devices ( 집적회로소자

More information

일반적인 네트워크의 구성은 다음과 같다

일반적인 네트워크의 구성은 다음과 같다 W5200 Errata Sheet Document History Ver 1.0.0 (Feb. 23, 2012) First release (erratum 1) Ver 1.0.1 (Mar. 28, 2012) Add a solution for erratum 1, 2 Ver 1.0.2 (Apr. 03, 2012) Add a solution for erratum 3

More information

제 2 차 (2013~2015) 어린이식생활안전관리종합계획

제 2 차 (2013~2015) 어린이식생활안전관리종합계획 제 2 차 (2013~2015) 어린이식생활안전관리종합계획 2012. 9 목 차 추진배경 1 주요성과와반성 3 정책과여건 11 추진체계 16 세부추진과제 21 1. 어린이기호식품안전판매환경조성 23 1-1. 어린이식품안전보호구역지정및관리강화 26 1-2. 어린이기호식품판매환경개선 30 2. 어린이식생활안심확보를위한안전공급체계구축 39 2-1. 어린이단체급식의안전관리및품질개선

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 10 특집 : 3 차원전자패키지기술을위한요소기술과신뢰성 3 차원패키징기술개발에따른 PoF 기반가속실장수명예측 PoF Based Accelerated Life Prediction with 3 Dimensional Packaging Technology Development Won Sik Hong and Chul-Min Oh 1. 서론 휴대용전자정보기기의사용이증대됨에따라소비자는더욱다양한기능을요구가증대되고있다.

More information

hwp

hwp 고출력 LED 패키징기술의현황 신무환 서론최근들어발광다이오드를이용한조명시장산업의발전이가시화되면서이의기술적선점을위한국가간기업간의경쟁이날로뜨거워지고있는추세이다. 모든기술이그렇듯이이러한 LED 기술역시기술장벽과원천적핵심기술들이존재하며그중에중요한하나가바로패키징기술이라할수있다. 본글에서는이러한 LED 패키징기술에서도가장중요시되고있는여러기술에대한현황및향후전망에대하여논하고자한다.

More information

(ok).hwp

(ok).hwp 수요자연계형 04 Fan-out 패키지용본딩 / 디본딩소재및장비개발 과제명 1 개요 기존의패키지공정은그림 1에나타낸것과같이소자가제작된웨이퍼에서각칩 ( 다이 ) 을분리한후각다이를유기또는세라믹기판위에실장후전기적으로연결하고물리적인보호층을입히는순서로진행됨. 따라서, 다이수에비례하여공정비용이증가하는단점이있음. 그림 1. 기존의패키지공정 이에반해 Fan-out 패키지는그림

More information

1) 투자포인트 1. 유니테스트가좋으면도좋다!! 반도체장비업체인유니테스트가 DDR3 DDR4 전환으로인한테스트장비수주로인해작년여름대비주가가 4 배이상상승했다 ( 참고 : 214 년 1 월 2 일당사김현석연구원이주가 3,1 원에자료를발간한바있음 ). 이러한반도체칩, 모듈

1) 투자포인트 1. 유니테스트가좋으면도좋다!! 반도체장비업체인유니테스트가 DDR3 DDR4 전환으로인한테스트장비수주로인해작년여름대비주가가 4 배이상상승했다 ( 참고 : 214 년 1 월 2 일당사김현석연구원이주가 3,1 원에자료를발간한바있음 ). 이러한반도체칩, 모듈 215 년 1 월 23 일 (893) 215 년유망주 -3: 비메모리시장확대최대수혜주 매수 ( 유지 ) 현재주가 P/E 8 배수준으로, 12 배까지무리없는상황 비메모리테스트핸들러시장본격진출 1 년새매출 5 배증가예상 주가 (1 월 22 일 ) 목표주가 9,36 원 센서용 비메모리핸들러매출가시화 상승여력 하준두 _CFA,CPA (2) 3772-1545 jdha117@shinhan.com

More information

주간경제 비철금속시장동향 원자재시장분석센터 2015 년 6 월 23 일 조달청 Public Procurement Service 본시황자료에수록된내용은조달청연구원들에의해신뢰할만한자료및정보로부터얻어진것이나, 어떠한경우에도본자료가열람자의거래결과에대한법적책임소재의증빙자료로사용

주간경제 비철금속시장동향 원자재시장분석센터 2015 년 6 월 23 일 조달청 Public Procurement Service 본시황자료에수록된내용은조달청연구원들에의해신뢰할만한자료및정보로부터얻어진것이나, 어떠한경우에도본자료가열람자의거래결과에대한법적책임소재의증빙자료로사용 주간경제 비철금속시장동향 원자재시장분석센터 2015 년 6 월 23 일 조달청 Public Procurement Service 본시황자료에수록된내용은조달청연구원들에의해신뢰할만한자료및정보로부터얻어진것이나, 어떠한경우에도본자료가열람자의거래결과에대한법적책임소재의증빙자료로사용될수없습니다. 따라서본자료를참고한투자의사결정은전적으로본인의판단과책임하에이루어져야하고, 본자료의내용에의거하여행해진일체의투자행위결과에대하여어떠한책임도지지않습니다.

More information

Microsoft Word - 이녹스

Microsoft Word - 이녹스 2013. 3. 18 l 리서치센터 Analyst l 박상하 Tel.3770-5081 sangha_park@hygood.co.kr 이녹스 (088390) - 탐방노트 : 신규 FPCB 소재본격매출가시화, Valuation 재평가시기도래 FPCB 핵심소재전문기업 이녹스는초기 INNOSEM( 반도체패키징소재 ) 사업을시작으로폴리이미드계및에폭시계등의접착제제조를위한합성,

More information

2013년 0월 0일

2013년 0월 0일 2018 년 4 월 11 일 I Equity Research 아진엑스텍 (059120) 저평가된모션제어회사하나알려드립니다. 자동화공정의핵심. 모션제어칩원천기술보유회사아진엑스텍은 모션제어칩 을연구 개발하는회사이다. 모션제어칩은자동화장비에탑재되어모터의회전수와속도를제어하는자동화공정의핵심적역할을수행한다. 주요고객사는 SEMES, 주성엔지니어링, 하이비젼시스템, AP

More information

Microsoft Word - Display-K F.docx

Microsoft Word - Display-K F.docx 산업 Note 217.8.21 디스플레이 비중확대 종목 삼성전자 매수 2,85, 원 LG디스플레이 매수 58, 원 실리콘웍스 매수 5, 원 OLED산업업데이트삼성디스플레이애플향 OLED 패널생산은정상화되는과정 3분기삼성디스플레이의애플향 OLED패널생산량이계획대비줄어든데에는여러가지이유가있다. 일부삼성디스플레이모듈조립공정수율이슈도있지만모듈공정에필요한일부부품공급이원활치않은이유도있는것으로파악된다.

More information

코디엠.hwp

코디엠.hwp 보고서 2019-25 2019.1.17 코디엠 (224060) IT H/W < 작성기관 : 한국기업데이터 > 보고서 ( 요약 ) 보고서 ( 전문 ) 기업현황산업분석기술분석주요이슈및전망 코디엠(224060) IT H/W 이 보고서는 자본시장 혁신을 위한 코스닥시장 활성화 방안 의 일환으로 코스닥 기업에 대한 투자정보 확충을 위해, 한국거래소와 한국예탁결제원의

More information

< F FB9DDB5B5C3BC20C6D5B8AEBDBA5FB7B9C0CCBEC6BFF42031>

< F FB9DDB5B5C3BC20C6D5B8AEBDBA5FB7B9C0CCBEC6BFF42031> 산업분석 반도체팹리스 (Overweight) 이제두번째파도를타보자 11 April 2011 Analyst 최성제 02) 2184-2312 dchoi@ktb.co.kr R.A. 정한섭 02) 2184-2302 hanchong@ktb.co.kr Investment Point 07~ 10년피쳐폰, 카메라폰의둔화에따른국내반도체팹리스 (Fabless) 업체들이그간의부진을딛고,

More information

Microsoft Word _신현준_반도체 4Q12 Preview.doc

Microsoft Word _신현준_반도체 4Q12 Preview.doc 산업분석 개선의 Signal 213. 1. 24 Analyst 신현준 2 369 3267 Investment Points 4Q12 실적은부진하지만, 예상했던수준 : 메모리가격및환율하락으로인하여국내관련업체들의 4Q12 실적은당초추정치를하회할것으로판단된다. 1Q13 도계절적비수기효과및애플의수요감소로극적인실적개선은어려울것으로전망되지만, PC DRAM 가격강세로 DRAM

More information

주간경제 비철금속시장동향 원자재시장분석센터 2013 년 10 월 29 일 조달청 Public Procurement Service 본시황자료에수록된내용은조달청연구원들에의해신뢰할만한자료및정보로부터얻어진것이나, 어떠한경우에도본자료가열람자의거래결과에대한법적책임소재의증빙자료로사용될수없습니다. 따라서본자료를참고한투자의사결정은전적으로본인의판단과책임하에이루어져야하고, 본자료의내용에의거하여행해진일체의투자행위결과에대하여어떠한책임도지지않습니다.

More information

주간경제 비철금속시장동향 원자재시장분석센터 2014 년 6 월 3 일 조달청 Public Procurement Service 본시황자료에수록된내용은조달청연구원들에의해신뢰할만한자료및정보로부터얻어진것이나, 어떠한경우에도본자료가열람자의거래결과에대한법적책임소재의증빙자료로사용될

주간경제 비철금속시장동향 원자재시장분석센터 2014 년 6 월 3 일 조달청 Public Procurement Service 본시황자료에수록된내용은조달청연구원들에의해신뢰할만한자료및정보로부터얻어진것이나, 어떠한경우에도본자료가열람자의거래결과에대한법적책임소재의증빙자료로사용될 주간경제 비철금속시장동향 원자재시장분석센터 2014 년 6 월 3 일 조달청 Public Procurement Service 본시황자료에수록된내용은조달청연구원들에의해신뢰할만한자료및정보로부터얻어진것이나, 어떠한경우에도본자료가열람자의거래결과에대한법적책임소재의증빙자료로사용될수없습니다. 따라서본자료를참고한투자의사결정은전적으로본인의판단과책임하에이루어져야하고, 본자료의내용에의거하여행해진일체의투자행위결과에대하여어떠한책임도지지않습니다.

More information

HI Research Center Data, Model & Insight [ 기업브리프 ] 디스플레이정원석 ( ) 티엘아이 (062860) UHD TV 의수혜와자회사윈팩흑자전환으로실적개선전망 NR 목표가

HI Research Center Data, Model & Insight [ 기업브리프 ] 디스플레이정원석 ( ) 티엘아이 (062860) UHD TV 의수혜와자회사윈팩흑자전환으로실적개선전망 NR 목표가 HI Research Center Data, Model & Insight 2014-09-28 [ 기업브리프 ] 디스플레이정원석 (2122-9203) wschung@hi-ib.com 티엘아이 (062860) UHD TV 의수혜와자회사윈팩흑자전환으로실적개선전망 NR 목표가 (6M) 종가 (2014/09/22) 0원 8,030원 UHD TV용 T-Con의 Peoduct

More information

2007

2007 기업분석 2015.06..10 세미콘라이트 (214310, IPO 예정 ) TV 용 LED 플립칩의새로운강자로부상 플립칩제조전문제조업체 - 2007 년설립하여 2015 년 6 월 25 일상장예정인 LED 플립칩및 EPI wafer 전문제조업체 스몰캡팀장박종선 Tel. 368-6076 / jongsun.park@eugenefn.com 스몰캡담당윤혁진 Tel.

More information

p hwp

p hwp 4. BIT(Bio-Information Technology) 융합기술 (1) BIT 융합기술의정의바이오-정보기술은 정보기술을활용하여생체및생명현상에관한정보기기와소프트웨어및컨텐츠를개발, 공유, 서비스하는첨단응용기술분야 이다. 바이오-정보기술은정보기술을활용한바이오정보의신속한추출및축적과관련되는바이오인포매틱스기술분야와바이오기술을 IT분야의제품개발에접목한바이오일렉트로닉스기술분야로나눌수있다

More information

<31342D32C0FAC0DA2DB1E8B4EBB0EF5F2E687770>

<31342D32C0FAC0DA2DB1E8B4EBB0EF5F2E687770> 84 연구논문 김대곤 * 홍성택 * 김덕흥 * 홍원식 **, 이창우 *** * 삼성테크윈 MDS 개발팀 ** 전자부품연구원부품소재물리연구센터 *** 한국생산기술연구원용접접합기술센터 Fabrication and Reliability Test of Device Embedded Flexible Module Dae Gon Kim*,, Sung Taik Hong*,

More information

17(4)-07(10-34)p fm

17(4)-07(10-34)p fm Journal of the Microelectronics & Packaging Society Vol. 17, No. 4, p. 49-60. 2010 수치해석에의한초박형패키지의휨현상및응력특성에관한연구 송차규 좌성훈 서울과학기술대학교 NID 융합기술대학원 Numerical Study of Warpage and Stress for the Ultra Thin Package

More information

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Youngin Equipment Solution Technology Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Why YEST? 01, YEST 38.3%, YEST 580 2015

More information

ITMagazine2010-09월.indd

ITMagazine2010-09월.indd 삼성전자 스마트폰 어플리케이션 프로세서 1. 귀사의 스마트폰 어플리케이션 프로세서 제품을 소 개해 주십시오. 삼성전자는 2000년대 초반부터 PDA폰 용 어플리케이션 프로세서 제품을 생산하는 것을 시작으로 지금까지 격변 하는 글로벌 하이엔드 폰 시장의 니즈에 맞는 고성능의 어플리케이션 프로세서를 꾸준히 개발해 왔습니다. 또한 2008년 스마트폰용 하이엔드 어플리케이션

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 SYSDINE 주식회사시스다인 부산광역시남구신선로 365, 221-4 호 ( 부경대용당캠퍼스제 1 공학관 ) T. 051-623-07300 F. 051-623-7301 대표이사소개 학력및경력사항 동명대학교대학원 2006.03~2008.02 2006 2011 공학석사, 메카트로닉스공학 시스피아 2011.02~2014.10 차장, 센서및검사 ( 계측 ) 장비개발총괄

More information

주간경제 비철금속시장동향 원자재시장분석센터 2015 년 4 월 7 일 조달청 Public Procurement Service 본시황자료에수록된내용은조달청연구원들에의해신뢰할만한자료및정보로부터얻어진것이나, 어떠한경우에도본자료가열람자의거래결과에대한법적책임소재의증빙자료로사용될수없습니다. 따라서본자료를참고한투자의사결정은전적으로본인의판단과책임하에이루어져야하고, 본자료의내용에의거하여행해진일체의투자행위결과에대하여어떠한책임도지지않습니다.

More information

주간경제 비철금속시장동향 원자재시장분석센터 2015 년 4 월 28 일 조달청 Public Procurement Service 본시황자료에수록된내용은조달청연구원들에의해신뢰할만한자료및정보로부터얻어진것이나, 어떠한경우에도본자료가열람자의거래결과에대한법적책임소재의증빙자료로사용될수없습니다. 따라서본자료를참고한투자의사결정은전적으로본인의판단과책임하에이루어져야하고, 본자료의내용에의거하여행해진일체의투자행위결과에대하여어떠한책임도지지않습니다.

More information

<4D F736F F D20B9DDB5B5C3BC5F34BFF920BBF3B9DDBFF D20B0EDC1A4B0A12E646F63>

<4D F736F F D20B9DDB5B5C3BC5F34BFF920BBF3B9DDBFF D20B0EDC1A4B0A12E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 04. 15 반도체 Overweight 4 월상반월 DRAM 고정가 8.5% 상승 Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy, TP 36,000 원 결론 - PC

More information