PowerPoint 프레젠테이션

Size: px
Start display at page:

Download "PowerPoint 프레젠테이션"

Transcription

1 솔루션발표 김의섭

2 목차 1. Coverage 관련 TestBench Generation Multi-level Coverage 2. Stability Analysis 관련 3. 창의과제논문관련 A Seamless Platform Change of Digital I&Cs from PLC to FPGA: Empirical Case Study An Integrated Development Environment for Developing FPGA-based I&C Software in Nuclear Power Plant 2

3 COVERAGE

4 NUREG/CR Behavior Simulation Code Coverage와 Functional Coverage 이용 2. Logic-level Simulation (1) 에서사용한 test bench 사용 / (1) 과동일한기능을하는지확인 3. Timing Simulation (1) 에서사용한 test bench 사용 + Timing info. 포함 (1) 과동일한기능을하는지확인 4. Static Timing Analysis Glitch, Metastability, Worst case 고려 + user constraints 포함 5. FPGA Verification (1) 에서사용한 test bench 사용 / 단일 FPGA를대상으로 simulation 6. Functional Hardware Verification (Validation) Board 위에올려기능확인 Manually 수행 +. On-site functional compliance with requirements (Installation and Checkout phase) IEEE Std : IEEE Standard Criteria for Digital Computers in Safety Systems of Nuclear Power Generating Stations NUREG/CR-7006 System 에올려기능확인다른 component 와동시에기능확인 4

5 DO-254 Hardware Design Process (FPGA 개발 ) Simulation 100% statement coverage is required by DO-254 (synopsys) FPGA 는 single-event upsets 에민감 High-energy 에의해 silicon 내부적으로충돌이생길수있음 static memory element (configuration memory) 영향 FPGA's programmed logic 변경의초래 System safety assessment (SSA) 필요 (Xilinx) 5

6 EFRI TR EFRI TR Guidelines on the Use of Field Programmable Gate Arrays (FPGAs) in Nuclear Power Plant I&C Systems Verification Testing & Simulation Formal Verification Static Timing Analysis Verification of Synthesis and Place & Route 6

7 DO-254 7

8 현안 FPGA 개발에있어 Simulation 은필수 Simulation을수행하는데있어 Code Coverage를만족할것을요구 산업에서는 Code Coverage만취급 (+Functional Coverage) 다양한연구실에서새로운 ( 개선된 ) coverage 를제시하고있지만사용되고있지않음 공신력 ( 사용이력 X, 상호합의 X, standard or citification 에서요구 X) Do-254 code coverage 100% The document should also spell out goals for code and assertion coverage (at minimum, 100% statement coverage is required by DO-254). Commercial Tools (ISE Simulator, Incisive Enterprise Simulator, ModelSim 등 ) code coverage 제공 공인된 coverage 는 code coverage 뿐? 하지만 100% coverage 를만족하는 test case 생성방법은? 특정 coverage 를 100% 만족하는 Testbench 를생성하는방법.. 8

9 Classification Code Coverage Statement Coverage Block Coverage Decision Coverage Path Coverage Expression Coverage Event Coverage FSM Coverage Conventional FSM Coverage SFSM Coverage Other Coverage Metrics Observability-Based Code Coverage Toggle Coverage Syntactic Coverage Metrics Code Coverage Statement coverage Branch coverage Circuit Coverage Latch coverage Toggle coverage Semantic Coverage Metrics FSM Coverage Limited-path coverage Transition coverage Assertion Coverage (functional coverage) Mutation Coverage Variable Coverage Paper: Coverage Analysis Techniques for HDL Design Validation Paper: Coverage Metrics for Formal Verification 9

10 Others Post-silicon code coverage Observability-Based Code Coverage Observability-Enhanced Statement Coverage Data Flow Fault Coverage Dumpfile-Based Coverage Validation Vector Grade (VVG): A New Coverage Metric for Validation and Test 10

11 몇몇문서를확인 EPRI TR Guidelines on the Use of Field Programmable Gate Arrays in Nuclear Power Plant I&C Systems EPRI TR Design Description of a Prototype Implementation of Three Reactor Protection System Channel Using Field- Programmable Gate Arrays EPRI TR Recommended Approaches and Design Criteria for Application of Field Programmable Gate Arrays in Nuclear Power Plant Instrumentation and Control Systems NUREG/CR-7006 Review Guidelines for Field-Programmable Gate Arrays in Nuclear Power Plant Safety Systems Coverage 중 code coverage (+ fault coverage) 이외는언급이거의없음 DO-254 Design Assurance Guidance For Airborne Electronic Hardware Code Coverage는 100% 만족을요구? IEC Nuclear power plants - Instrumentation and control important to safety - Development of HDL-programmed integrated circuits for systems performing category A functions 11

12 문제점및해결책 1 일반적으로 HDL 단계에서는 Code Coverage를많이씀 Statement Coverage / Branch Coverage / Toggle Coverage 문제 Code Coverage 를측정하는방법은많이있지만, Code Coverage 를충분히 (100%) 만족하는 Testbench를생성하는기술은미비 (?) 해결 Code Coverage 100% 를만족하는 testbench 생성의필요 12

13 전략 100% Code coverage 를만족하는 testbench 생성연구 100% 가어려운이유 Testing 의경우해당부분을수행하기위한 input 및 reg 만조합필요 Simulation 의경우 reg 가연속적 따라서, 연속적인 reg 의조합을찾아야함 ( 조합의조합의 을찾아야함 ) Random simulation + Model checking (+Sat Solver) 를이용 Random simulation 으로일정부분 coverage 를확보하고, 특정부분에대해서는 model checking 을활용 13

14 DUT input Random Simulation Cover 되지않은부분식별 & 리스트화 1. 랜덤시뮬레이션 (Optional) 적은노력 상당한 coverage 확보가능 Sat Solver 2. 모델체킹 리스트에서하나를선택 해당부분을 simulation 할수있는조합 (input + reg) 식별 Model Checking (Reachability 확인 ) Testbench 생성 YES NO 리스트에남은요소확인 해당부분은 simulation 가능하지않는것으로결론 YES NO 종료 14

15 DUT input Random Simulation 1. Random simulation 할때 coverage 를높일수있는전략 If( input > 10 ){ if( input == 1 ) printf( ); } Cover 되지않은부분식별 & 리스트화 2. Sat solver 를통해해당부분이실행될수있는부분인지확인 3. 조건의 property 화 AX (Rges, input) 리스트에서하나를선택 해당부분을 simulation 할수있는조합 (input + reg) 식별 Model Checking (Reachability 확인 ) Testbench 생성 YES NO True 일때의 trace 를얻을수있다면??? 리스트에남은요소확인 해당부분은 simulation 가능하지않는것으로결론 YES NO 종료 15

16 DUT input Random Simulation 1. Random simulation 할때 coverage 를높일수있는전략 If( input > 10 ){ if( input == 1 ) printf( ); } Cover 되지않은부분식별 & 리스트화 2. Sat solver 를통해해당부분이실행될수있는부분인지확인 3. 조건의 property 화 AX (Rges, input) 리스트에서하나를선택 해당부분을 simulation 할수있는조합 (input + reg) 식별 Model Checking (Reachability 확인 ) Testbench 생성 해당 reg 를위한이전값의조합을하나씩찾음 YES NO True 일때의 trace 를얻을수없다면??? 리스트에남은요소확인 해당부분은 simulation 가능하지않는것으로결론 YES 종료 NO 우선적으로 Simulator 를개발하는것이.. HDL 에뿐만아니라 Gate-level 및 layout 형태도지원 Uncover 된부분의식별 Uncover 된부분을실행하기위한조합의식별 16

17 연구진행방향 시나리오생성기구현 1. Simulator 를개발 Uncover된부분의식별 HDL에뿐만아니라 Gate-level 및 layout 형태도지원 Uncover된부분을실행하기위한조합의식별 2. Coverage 확인기능구현 3. Uncover 된부분의식별및 Sat 변환기능구현 4. 모델체킹후 testbench 생성기능구현 100% Code coverage 를만족하는 testbench 생성획득가능 17

18 문제점및해결책 2 FPGA 개발각단계마다유효한 coverage 가다름 HDL 단계 Code Coverage Development Process Gate level/layout 단계 Fault Coverage 문제 각 level 마다유효한 Coverage 가다른데, gate level에서 HDL 단계의 testbench를사용 (code coverage 바탕으로생성 ) Code Coverage SRS HDL Programs (Verilog & VHDL) Manual Programming Functional Simulation (ModelSim) Ex) HDL 에서의 Code Coverage 가 Gate-level 에서 Synthesis 해결 는의미가있을수도없을수도있다. Data Flow based Coverage Gate level Netlist (EDIF) Co-Simulation (IST-FPGA) 각 level 에적합한 coverage 를사용하여 P&R Testbench 생성 전략 각 level간 coverage 의연관성분석 Fault Coverage Layout Load Code Coverage 만으로충분한지평가 FPGA 각 level 을적절히만족하는 testbench 생성 IST-FPGA 에의미있게적용 18

19 Technique HDL Code Coverage 사용 Gate-level Netlist에 data flow coverage 적용연구 (new?) 지은경박사님방법도사용할수있으면사용 / HW 에특징적인부분을반영 ( 동시성고려 ) Layout 적절한 fault model 선정 ( 중요 ) 과 Fault Coverage 사용 Simulator의기능확장 각각의 coverage 를확인가능해야함 19

20 Fault Coverage Fault Coverage ( 가장많이언급되는 Coverage) ASIC 개발공정중사용되던 coverage ASIC 반도체설계및제조공정중생기는물리적인결함을검사하기위한 coverage ATPG(Automatic Test Patten Generation) 에사용 Fault Coverage 주어진회로에 Fault 를삽입하여 test case가이를수행하였는지를확인 오리지날회로와 fault를삽입한회로에동일한 test caser를사용, 결과가다르다면 fault를수행하였다고 ( 찾았다고 ) 판별 Concept view of ATPG 20

21 ATPG ATPG (Automatic Test pattern Generation) ASIC 반도체설계와제조공정과정에서물리적인결함발생을가정 효과적인검사가이루어지지않을경우출하된 chip이불량이확률이높아짐 효과적인검사를위해서는 chip의결함을찾을수있는양질의 test pattern 이필요 주어진회로에대하여 Fault model 을가정하여 Fault 을검출하는입력패턴생성을자동화시키는과정. Original design 과 fault model 을생성하여동일한입력을주어결과값이동일하지않으면 fault 를찾은것 이때다른결과를내는입력이 Test pattern Fault model The Stuck-at fault model Transistor faults Bridging faults Opens faults Delay faults Fault collapsing 21

22 22

23 연구진행방향 1. Data Flow 기반 Coverage 의 netlist 적용 2. 시뮬레이터기능확장 Code Coverage 를체크하고 test case를생성할수있는기능구현 (1) Data Flow 기반 Coverage 를체크하고 test case를생성할수있는기능구현 Fault Coverage 를체크하고 test case를생성할수있는기능구현 3. 각레벨의 coverage 간의관계분석 100% code coverage 를만족하지만 Fault coverage 는만족하지못하는경우가있는지확인 4. IST-FPGA 에적용 23

24 전략 - 3 New coverage 개발 연구의노하우를바탕으로.. 다양한방면으로고려 PLI (Programming Language Interface) 사용고려 Verilog 에서 C/C++ 과같은언어와의연동을위한 API UVM (Universal Verification Methodology) Functional Simulation 을위한기법 Testbench의체계적인생성과 usability 확보 + 체계적인 testbench 생성 24

25 STABILITY ANALYSIS

26 Stability 현황 어떤사용자는 input 을주었을때 FPGA 가일정주기후 stable 해진 output 값 원함 문제 어느주기이후에 output 값이 stable 해질까? FPGA 개발시일정시간후에 Output을 stable하게유지하도록개발할수있다. ( 아닐수도있다. 기능적인요소 ) 따라서, STA나기타 simulation으로는해당요소를찾을수없다. 개발자의분석노하우를바탕으로진행가능? (heuristic) 따라서적절한해결책이필요 26

27 Static Timing Analysis Glitch (clk skew) 글리치 (Glitch) 는디지털회로에서발생할수있는매우짧은기간동안나타나고, 사라지는전압이나, 전류의원하지않은노이즈펄스이다. 이러한노이즈펄스의폭은소자의물리적특성과사용환경 ( 온도, 습도, 진동등 ) 에따라변할수있다. 따라서, 글리치는사용조건에따라어떤때는논리회로에전혀영향을주지않을수도있고, 어떤때는논리회로의오동작을발생시킬수있다. Metastability Setup-time, hold-time 클록의상승에지이전에어느정도의시간동안안정 - 셋업시간 (tsu) 클록상승에지이후에어느정도의시간동안안정 - 홀드시간 (th) Worst case Worst Case 경우에도요구된기능을요구된제한시간안에수행할수있는지를확인 요구된기능 : in/output 과 FF 사이의 combination logic 27

28

29 해결책 : Stability Analysis ( 전제 1) Input, output, reg 값이이전 clk 값과동일하다면 stable 해질것임. 변하는 signal 이존재하지않다면, 앞으로도모든값은계속변하지않을것이다. ( 전제 2) 로직의 input 은 stable 하게주어진다. 1. Stable해지길원하는개발자라면 input은당연히 stable하게줄것이다. 2. Reg 값이 stable해진다면 output도당연히 stable하다. 따라서, reg 값만의비교로분석가능 언제동일해지는지계산을통해파악할수있을것이다. Simulation 을통해확인가능할수도있음 ( 하지만 performance) Formal verification 개념 ( 모든 input 에대해수학적으로확인가능 ) = = = = t-1 t 29

30 전략 1. logic 이 stable 해질수있는지체크 Ex) input 이 stable 하게들어오는 logic 인지확인 Ex) 플리플롭의값이자체적으로계속변하게되는로직인지확인 2. Stable 해질가능성이있다면, 언제 stable 해질지계산 모든 input 조합을바탕으로 reg들의 t-1 값과 t값의비교를수행 동일하면 stable worst를찾기위해서는모든 input 조합에대해수행해야함 30

31 1. logic 이 stable 해질수있는지체크 Ex) input이 stable 하게들어오는 logic인지확인 Ex) 플리플롭의값이자체적으로계속변하게되는로직인지확인 다양한경우를생각 31

32 1. logic 이 stable 해질수있는지체크 Ex) input이 stable 하게들어오는 logic인지확인 Ex) 플리플롭의값이자체적으로계속변하게되는로직인지확인 2. Stable 해질가능성이있다면, 언제 stable 해질지계산 모든 input 조합을바탕으로 reg들의 t-1 값과 t값의비교를수행 동일하면 stable worst를찾기위해서는모든 input 조합에대해수행해야함 Reg의값은수식으로표현가능 (Boolean 연산 ) Y(t+1) = x & y & ~z & t & input[0] & & input[1] X(t+1) = ~x y z t & input[1] Z(t+1) = x & ~y z & t & input[2] T(t+1) = x & y ~z t BDD 를이용하여계산가능 트리만만들어놓으면연산은빠름 - BDD 는연산이아니라카노맵형태 이용할만한정보 ( 빠른성능보장 ) - reg 는 0 부터시작 - input 은고정 (0 or 1) 재사용 32

33 연구진행방향 도구구현 1. logic이 stable 해질수있는지체크방법 2. BDD 연산을이용하는방법 알고리즘구현 Stability Analysis 통해 FPGA가일정주기후 stable 해진 output 을출력하길원하는사용자에게언제 stable 해질지 Worst case의 t주기를알려주게될것임 33

34 창의과제

35 창의과제 A Seamless Platform Change of Digital I&Cs from PLC to FPGA: Empirical Case Study 35

36 목차 1. Introduction 2. Background PLC Development Life Cycle FPGA Development Life Cycle 3. An Integrated Development Environment Overview Development Tools FBD Editor V&V Tools IST-FPGA 4. Case Study From FBD (BP Logic - ref 하여자체제작 ) Development V&V 5. Further Consideration COTS Synthesis tool COTS Dedication Coverage Stability 6. Conclusion 36

37 배경 원전다양성및심층방어개념 기본개념 ( 물리적다중방벽 + 다단계방호 ) 사고예방 + 사고완화 계측제어계통다양성설계확보필요 First line Second line Third line 원전계측제어계통아날로그-기반시스템에서디지털-기반시스템으로변경 전세계적으로신규인허가현안발생 사이버보안 CCF( 공통원인고장 ) 37

38 연구배경 CPU- 기반제어기 Hardware Description Language - VHDL - Verilog FPGA 로직개발공정 - 병렬처리 - 합성 - 배선및배치 - Gate Logic into FPGA FPGA- 기반제어기 Graphic Language - FBD - LD High Level Language - Fortran - C 소프트웨어개발공정 - 순차처리 - 컴파일 - 메모리다운로드 - 소프트웨어개발경험, 노하우포기 - 소프트웨어엔지니어전환교육필요 - 새로운 HW 개발방법론습득 38 원전계측제어전문가 38

39 기존연구 기존연구 FBD 를 HDL 로변환을통해당면한문제해결하려는시도 문제 Development Life-Cycle PLC-based Development FPGA-based Development 도구미구현 검증관련부분의누락 Requirements Analysis SRS Manual Programming Automatic Translation SRS & SDS 그래서우리가통합개발환경을구현하게되었다. 도구구현을통한사용성확보 FBD Editor Design FBD Programs C Programs FBDtoVerilog FBFtoVHDL HDL Programs (Verilog & VHDL) Synthesis Automatic Synthesis 다양한검증도구및프로세스를통해최종 FPGA의 Correctness 확보 Implementation Gate level Netlist (EDIF) 검증가능한방법및도구제시 언어적다양성확보 기존 Verilog 외 VHDL 변환지원 Executable Code for PLC Layout Files (JEDEC) P&R 변환의신뢰성확보 전문가가작성한 Lib 사용 검증가능한방법및도구제시 Embedded System PLC-based RPS FPGA-based RPS 39

40 진행예정 40

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 NuPIC 2013 2013.11.07~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교 2013.11.08 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software 소프트웨어모델링및분석 (Equivalence Checking 소개 ) 김의섭 Dependable Software Laboratory KONKUK University 2016.06.03 Equivalence Checking 이란? Equivalence Checking: 두프로그램이동일한기능을하는지정형적으로검증하는방법 왜 Equivalence Checking 이필요한가?

More information

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 목차 1. 선정배경및목적 2. 관련기술및기술동향 3. 프로젝트세부사항 A. 시스템구성도 B. 시나리오 C. 기대효과 D. 개발환경 4. 스케줄 5. 팀구성및역할 6. 참고문헌 1. 선정배경및목적

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Introduction to Development and V&V of FPGA-based Digital I&Cs 김의섭 목차 1. FPGA 2. Development Process / V&V 3. Summary 2 01 [ ] FPGA FPGA 프로그램이가능한비메모리반도체의일종. 회로변경이불가능한일반반도체와달리용도에맞게회로를다시새겨넣을수있다. 따라서사용자는자신의용도에맞게반도체의기능을소프트웨어프로그램하듯이변형시킬수있다.

More information

untitled

untitled MDEP I&C 2009. 4.6 ~ 4.7 14 I. MDEP II. DICWG III. DICWG SW IV. Nuclear Safety Information Conference 2009 Slide -2- I. MDEP MDEP? Multinational Design Evaluation Program MDEP Nuclear Safety Information

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

COTS SW dedication

COTS SW dedication COTS SW Dedication Introduction and Concept 정세진 Dependable Software Laboratory Konkuk Univ. NP-5652/TR-106439 The process overview of NP-5652 Performing combination of 4 methods to dedicate Targeting direct

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

SW¹é¼Ł-³¯°³Æ÷ÇÔÇ¥Áö2013

SW¹é¼Ł-³¯°³Æ÷ÇÔÇ¥Áö2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 SMV 소개 Konkuk Univ. IT 융합정보보호학과 오예원, 박선영 목차 SMV 소개 CTL NuSMV 설치방법및예시 (lift) 향후계획 SMV SMV(Symbolic Model Verifier) 는유한상태시스템 (finite state system) 이 CTL(Computation Tree Logic) 이라는논리와 BDD(Binary Decision

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

COTS SW Dedication

COTS SW Dedication COTS SW DEDICATION Introduction Jsjj0728@konkuk.ac.kr 내용 1.0 Commercial-Grade Item Dedication... 2 1.1 소개... 2 2.0 용어정리및약어... 3 2.1 용어정리... 3 2.2 약어... 6 3.0 NP-5652/TR-106439 개요... 7 3.1 NP-5652의인증방법...

More information

Microsoft PowerPoint - KNS 기술정보회의(070405)-김창회

Microsoft PowerPoint - KNS 기술정보회의(070405)-김창회 디지털계측제어계통의 PLC 설계방향 2007. 4. 5 원자력연구소 김창회 -1- Table of Contents 안전등급 PLC (POSAFE-Q) 특징 POSAFE-Q 제공모듈들 POSAFE-Q 설계기준 POSAFE-Q 설계방법및절차 POSAFE-Q 시험종류및절차 완제품제작과정 분석보고서 3자검증결과 TR 작성 결론 -2- 안전등급 PLC (FOSAFE-Q)

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

Microsoft Word - 1-차우창.doc

Microsoft Word - 1-차우창.doc Journal of the Ergonomics Society of Korea Vol. 28, No. 2 pp.1-8, May 2009 1 하이브리드 환경하의 인간기계시스템 제어실 평가에 관한 연구 차 우 창 김 남 철 금오공과대학교 산업시스템공학과 A Study of the Evaluation for the Control Room in Human Machine

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

정보기술응용학회 발표

정보기술응용학회 발표 , hsh@bhknuackr, trademark21@koreacom 1370, +82-53-950-5440 - 476 - :,, VOC,, CBML - Abstract -,, VOC VOC VOC - 477 - - 478 - Cost- Center [2] VOC VOC, ( ) VOC - 479 - IT [7] Knowledge / Information Management

More information

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 13 Lightweight BPM Engine SW 13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 BPM? 13 13 Vendor BPM?? EA??? http://en.wikipedia.org/wiki/business_process_management,

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

03 장태헌.hwp

03 장태헌.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2013 Aug.; 24(8), 772 780. http://dx.doi.org/10.5515/kjkiees.2013.24.8.772 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) HEMP

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

Voice Portal using Oracle 9i AS Wireless

Voice Portal using Oracle 9i AS Wireless Voice Portal Platform using Oracle9iAS Wireless 20020829 Oracle Technology Day 1 Contents Introduction Voice Portal Voice Web Voice XML Voice Portal Platform using Oracle9iAS Wireless Voice Portal Video

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

서현수

서현수 Introduction to TIZEN SDK UI Builder S-Core 서현수 2015.10.28 CONTENTS TIZEN APP 이란? TIZEN SDK UI Builder 소개 TIZEN APP 개발방법 UI Builder 기능 UI Builder 사용방법 실전, TIZEN APP 개발시작하기 마침 TIZEN APP? TIZEN APP 이란? Mobile,

More information

Ver. T3_DWS.UTP-1.0 Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date Team Infor

Ver. T3_DWS.UTP-1.0 Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date Team Infor Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date 2012-10-25 Team Information Sanghyun Yoon shyoon.dslab@gmail.com Dependable Software Laboratory

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 RecurDyn 의 Co-simulation 와 하드웨어인터페이스적용 2016.11.16 User day 김진수, 서준원 펑션베이솔루션그룹 Index 1. Co-simulation 이란? Interface 방식 Co-simulation 개념 2. RecurDyn 과 Co-simulation 이가능한분야별소프트웨어 Dynamics과 Control 1) RecurDyn

More information

감각형 증강현실을 이용한

감각형 증강현실을 이용한 대한산업공학회/한국경영과학회 2012년 춘계공동학술대회 감각형 증강현실을 이용한 전자제품의 디자인 품평 문희철, 박상진, 박형준 * 조선대학교 산업공학과 * 교신저자, hzpark@chosun.ac.kr 002660 ABSTRACT We present the recent status of our research on design evaluation of digital

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 EBC (Equipment Behaviour Catalogue) - ISO TC 184/SC 5/SG 4 신규표준이슈 - 한국전자통신연구원김성혜 목차 Prologue: ISO TC 184/SC 5 그룹 SG: Study Group ( 표준이슈발굴 ) WG: Working Group ( 표준개발 ) 3 EBC 배경 제안자 JISC (Japanese Industrial

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

UML

UML Introduction to UML Team. 5 2014/03/14 원스타 200611494 김성원 200810047 허태경 200811466 - Index - 1. UML이란? - 3 2. UML Diagram - 4 3. UML 표기법 - 17 4. GRAPPLE에 따른 UML 작성 과정 - 21 5. UML Tool Star UML - 32 6. 참조문헌

More information

untitled

untitled Contents Reg. Guide 1.32 (rev.3) : Criteria for power systems for nuclear power plants Reg. Guide 1.75 (rev.3) : Criteria for independence of electrical safety systems Reg. Guide 1.204 (rev.0) : Guidelines

More information

SchoolNet튜토리얼.PDF

SchoolNet튜토리얼.PDF Interoperability :,, Reusability: : Manageability : Accessibility :, LMS Durability : (Specifications), AICC (Aviation Industry CBT Committee) : 1988, /, LMS IMS : 1997EduCom NLII,,,,, ARIADNE (Alliance

More information

2016년 5월호 E 세계로, 미래로 나아가는 힘. nergy 우리의 열정과 노력이 KEPCO E&C의 에너지를 만들어냅니다. C ommunication 더 현명하게, 더 여유롭게 더 건강하게, 더 적극적으로 이 세상과 소통합니다. 04 K-Message 경영 메시지

2016년 5월호 E 세계로, 미래로 나아가는 힘. nergy 우리의 열정과 노력이 KEPCO E&C의 에너지를 만들어냅니다. C ommunication 더 현명하게, 더 여유롭게 더 건강하게, 더 적극적으로 이 세상과 소통합니다. 04 K-Message 경영 메시지 2 016 0 5 www.kepco-enc.com 2016년 5월호 E 세계로, 미래로 나아가는 힘. nergy 우리의 열정과 노력이 KEPCO E&C의 에너지를 만들어냅니다. C ommunication 더 현명하게, 더 여유롭게 더 건강하게, 더 적극적으로 이 세상과 소통합니다. 04 K-Message 경영 메시지 28 新성장지도 오월의 경주, 고도의 향취

More information

Validation Plan Template

Validation Plan Template 문서번호(Document No.) : 개정번호(Revision No.) : 00 시행일자(Effective Date) : 페이지번호(Page No.) : 1 of 9 작성자 (Prepared By) 부서 (Dept.) 직위 (Position) 이름 (Name) 서명 / 날짜 (Signature / Date) 검토자 (Reviewed By) 부서 (Dept.)

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

성능 감성 감성요구곡선 평균사용자가만족하는수준 성능요구곡선 성능보다감성가치에대한니즈가증대 시간 - 1 -

성능 감성 감성요구곡선 평균사용자가만족하는수준 성능요구곡선 성능보다감성가치에대한니즈가증대 시간 - 1 - - 1 - 성능 감성 감성요구곡선 평균사용자가만족하는수준 성능요구곡선 성능보다감성가치에대한니즈가증대 시간 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - 감각및자극 (Sensory & Information Stimuli) 개인 (a person) 감성 (Sensibility)

More information

PROCES-WP012A-KO-P, 현재의 안전 계측 시스템(SIS)이 최신 표준을 준수하고 있습니까?

PROCES-WP012A-KO-P, 현재의 안전 계측 시스템(SIS)이 최신 표준을 준수하고 있습니까? 현재의 안전 계측 시스템(SIS)이 최신 표준을 준수하고 있습니까? 프로세스 안전은 프로세스 설비의 작업자에게 큰 고민거리입니다. 미국 산업안전보건청(OSHA)의 유해 화학물질 공정 안전 관리(Process Safety Management of Highly Hazardous Chemicals) 규정에 따라 관리되는 약 25,000개의 설비에는 SIS(일명 긴급

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

歯경영혁신 단계별 프로그램 사례.ppt

歯경영혁신 단계별 프로그램 사례.ppt BMS Infra BMS Location A B C D D A Location Card + Location SET Card : 1 : : Location Card ( ) ( Over ) Location Card Card Location Card ( ) ( ) Location Card LocationCard RACK1 AGE / 7 ( ) SET Location

More information

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45 3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : 20049 0/45 Define ~ Analyze Define VOB KBI R 250 O 2 2.2% CBR Gas Dome 1290 CTQ KCI VOC Measure Process Data USL Target LSL Mean Sample N StDev (Within) StDev

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

학습영역의 Taxonomy에 기초한 CD-ROM Title의 효과분석

학습영역의 Taxonomy에 기초한 CD-ROM Title의 효과분석 ,, Even the short history of the Web system, the techniques related to the Web system have b een developed rapidly. Yet, the quality of the Webbased application software has not improved. For this reason,

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

<31B1E8C0B1C8F128C6ED2E687770>

<31B1E8C0B1C8F128C6ED2E687770> 한국패션디자인학회지 제9권1호 The Korean Society of Fashion Design Vol. 9 No. 1 (2009) pp.1~17 모듈과 웨어넷을 이용한 유비쿼터스 패셔너블 컴퓨터 디자인 연구 - 탈ㆍ부착을 이용한 모듈러 시스템을 중심으로 - A Study on Ubiquitous Fashionable Computer Design Using

More information

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드] IC-CAD CAD 실험 Lecture 3 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 2 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

12È«±â¼±¿Ü339~370

12È«±â¼±¿Ü339~370 http://www.kbc.go.kr/ k Si 2 i= 1 Abstract A Study on Establishment of Fair Trade Order in Terrestrial Broadcasting Ki - Sun Hong (Professor, Dept. of Journalism & Mass Communication,

More information

1.장인석-ITIL 소개.ppt

1.장인석-ITIL 소개.ppt HP 2005 6 IT ITIL Framework IT IT Framework Synchronized Business and IT Business Information technology Delivers: Simplicity, Agility, Value IT Complexity Cost Scale IT Technology IT Infrastructure IT

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

Microsoft PowerPoint - 1-1 원전 전력계통의 전력품질 영향과 규제적용 방안_김문영(KINS) [호환 모드]

Microsoft PowerPoint - 1-1 원전 전력계통의 전력품질 영향과 규제적용 방안_김문영(KINS) [호환 모드] 제 9 회 원전 전력계통 안전성증진 워크샵 원전 전력계통의 전력품질 영향과 규제적용 방안 전기제어실 김 문 영 mykim@kins.re.kr Contents 1 Introduction and Background 2 Power Quality, requirement and standards 3 Voltage Sag 4 System model 5 SLG, LLG,

More information

ISO17025.PDF

ISO17025.PDF ISO/IEC 17025 1999-12-15 1 2 3 4 41 42 43 44, 45 / 46 47 48 49 / 410 411 412 413 414 5 51 52 53 54 / 55 56 57 58 / 59 / 510 A( ) ISO/IEC 17025 ISO 9001:1994 ISO 9002:1994 B( ) 1 11 /, / 12 / 1, 2, 3/ (

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

untitled

untitled Push... 2 Push... 4 Push... 5 Push... 13 Push... 15 1 FORCS Co., LTD A Leader of Enterprise e-business Solution Push (Daemon ), Push Push Observer. Push., Observer. Session. Thread Thread. Observer ID.

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

DIY 챗봇 - LangCon

DIY 챗봇 - LangCon without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

04_오픈지엘API.key

04_오픈지엘API.key 4. API. API. API..,.. 1 ,, ISO/IEC JTC1/SC24, Working Group ISO " (Architecture) " (API, Application Program Interface) " (Metafile and Interface) " (Language Binding) " (Validation Testing and Registration)"

More information

-

- World Top 10 by 2030 CONTENTS CONTENTS 02 03 PRESIDENT S MESSAGE 04 05 VISION GOALS VISION GOALS STRATEGIES 06 07 HISTORY 2007 2008 2009 2010 2011 08 09 UNIST POWER 10 11 MPI USTC UNIST UCI UTD U-M GT

More information

Microsoft Word - [2017SMA][T8]OOPT_Stage_2040 ver2.docx

Microsoft Word - [2017SMA][T8]OOPT_Stage_2040 ver2.docx OOPT Stage 2040 - Design Feesual CPT Tool Project Team T8 Date 2017-05-24 T8 Team Information 201211347 박성근 201211376 임제현 201411270 김태홍 2017 Team 8 1 Table of Contents 1. Activity 2041. Design Real Use

More information

<30362E20C6EDC1FD2DB0EDBFB5B4EBB4D420BCF6C1A42E687770>

<30362E20C6EDC1FD2DB0EDBFB5B4EBB4D420BCF6C1A42E687770> 327 Journal of The Korea Institute of Information Security & Cryptology ISSN 1598-3986(Print) VOL.24, NO.2, Apr. 2014 ISSN 2288-2715(Online) http://dx.doi.org/10.13089/jkiisc.2014.24.2.327 개인정보 DB 암호화

More information

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc Modelsim 과 Quartus II 를이용한설계방법 퀀텀베이스연구개발실, 경기도부천시원미구상동 546-2, 두성프라자 1-606 TEL: 032-321-0195, FAX: 032-321-0197, Web site: www.quantumbase.com 최근 Modelsim은 PC에포팅되어있는것에힘입어많은설계자들이사용하고있습니다이에 Modelsim을이용하여설계하고,

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

untitled

untitled Performance Goal and Objectives Performance Goal and Objectives Technology Selection Criteria Philosophy and Program Management Source Selection Flight Test Approach and Organization Focus on Achieving

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

IBM blue-and-white template

IBM blue-and-white template 쌍용자동차 CATIA V5 적용사례 쌍용자동차기술관리팀안재민 AGENDA 1. SYMC PRODUCT LINE UP 2. SYMC PDM Overview 3. CV5 & PDM Implementation Overview 4. PDM을이용한 CV5 Relational Design 5. 향후과제 6. Q & A 2 Presentation Title 1 2 1.

More information

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp 보안공학연구논문지 Journal of Security Engineering Vol.11, No.4 (2014), pp.299-312 http://dx.doi.org/10.14257/jse.2014.08.03 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발 이강찬 1), 이승윤 2), 양희동 3), 박철우 4) Development of Service

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

슬라이드 1

슬라이드 1 디지털계측제어시스템의소프트웨어 테스트커버리지관련규제방향 김형태한국원자력안전기술원 2009. 4.5 ~ 4.6 제 12 회원자력안전기술정보회의 목차 1. 서론 2. 테스트커버리지개요 3. 관련표준들분석 4. 사례연구 : 신고리 1,2 CPCS, KNICS POSAFE-Q 5. 테스트커버리지적용근거 6. 테스트커버리지규제방향 7. 결론 Slide 2 1. 서론

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

<4943502D28B9F8BFAA20BCF6C1A4BABB292E687770>

<4943502D28B9F8BFAA20BCF6C1A4BABB292E687770> 보험핵심원칙-1 2012.4.10 5:3 PM 페이지1 SJ_01 발 간 사 최근 수년간 G20 및 금융안정위원회(FSB: Financial Stability Board)는 전 세계의 금융감독당국과 협력하여 금융안정성을 높이기 위한 감독규제 개혁을 지속적으로 추진하고 있으며, 보험분야의 경우에는 한국의 금융감독당국이 멤버로 가입되어있는 국제보험감독자협의회(IAIS

More information

03-최신데이터

03-최신데이터 Database Analysis II,,. II.. 3 ( ),.,..,, ;. (strong) (weak), (identifying relationship). (required) (optional), (simple) (composite), (single-valued) (multivalued), (derived), (identifier). (associative

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

2

2 02 1 1 22 36 38 46 5 1 54 61 65 77 81 2 _ 3 4 _ 5 6 _7 8 _ 9 1 0 _ 11 1 2 _ 13 1 4 _ 15 1 6 _ 17 1 8 _ 19 2 0 _ 21 2 2 www.kats.go.kr www.kats.go.kr _ 23 Scope of TC/223 Societal security International

More information

슬라이드 1

슬라이드 1 공통원인고장 (CCF) 대응 다양성및심층방어기술개발 목차 1 연구개요 2 연구결과 3 추후연구계획 4 결론 연구개요 (1/4) 필요성 KNCS+Nu Tech 2012 사업으로 MMS 국산화및신울진 1,2 적용원전에디지털 MMS 시스템이설치되면서마이크로프로세서, 소프트웨어, 통신망사용으로예상되는 CCF( 공통원인고장 ) 로인해다중화된안전계통전체가불능되는인허가현안기술개발

More information