DBPIA-NURIMEDIA

Size: px
Start display at page:

Download "DBPIA-NURIMEDIA"

Transcription

1 논문 한국통신학회논문지 07-4 Vol. 32 No. 4 매칭에기반한발전된고장진단방법 정회원임요섭 *, 강성호 ** Matching-based Advanced Integrated Diagnosis Method Yoseop Lim*, Sungho Kang** Regular Members 요 약 본논문에서는효율적인다중고착고장진단알고리듬을제안하겠다. 제안하는고장진단알고리듬은완전일치공통부분을고장진단의중요한기준으로사용함으로써단일고착고장시뮬레이터환경에서도다중고착고장을진단할수있다. 또한각고장간의식별성을높여다중고착고장을진단함에도불구하고, 고장후보의수를획기적으로줄일수있었다. 이를위하여출력단의수에따른가중치개념과가산, 감산연산을사용하였다. 이알고리듬은 ISCAS85회로와완전주사스캔이삽입된 ISCAS89회로에서실험하여성능을입증하였다. Key Words : Matching Algorithm, Diagnosis, Fault Simulation, Multiple Stuck-At Faults ABSTRACT In this paper, we propose an efficient diagnosis algorithm for multiple stuck-at faults. Because of using vectorwise intersections as an important metric of diagnosis, the proposed diagnosis algorithm can diagnose multiple defects in single stuck-at fault simulator. In spite of multiple fault diagnosis, the number of candidate faults is drastically reduced. For identifying faults, the variable weight, positive calculations and negative calculations are used for the matching algorithm. To verify our algorithm, experiments were performed for ISCAS85 and full-scan version of ISCAS89 benchmark circuits. Ⅰ. 서론공정기술의발달로선폭이좁아지고한개의칩에집적되는회로의크기가커지면서생산공정중에결함이나타날가능성이높아지고있다. 시장경쟁력을높이기위해서는수율향상시키는것이필수적이므로결함이생성되는공정을개선하는작업이필요하다. 고장진단이란동작의오류를일으키는결함의위치와종류를추론해내는과정을말한다. 정확한고장진단으로설계와공정의오류를찾아내어수정할수있게해준다. 따라서칩의품질을높이고생산비용을절감하기위한효율적인고장 진단방법론을개발하는것은매우중요하다 [1]. 고장진단을수행하기위해서는몇가지의필수적인사항이필요하다. 우선고장검출률이높은테스트패턴이필요하다. 회로에존재하는결함을출력단에서확인할수있도록하는입력패턴을테스트패턴이라한다. 우선오류가출력단에서검출되도록하는테스트패턴을사용하여야만그원인이되는고장을찾아낼수있기때문이다. 또한고장모델과고장시뮬레이터가필요하다. 고장모델이란실제회로에존재하는결함을논리적도메인으로모델링한것을말한다. 실제결함과유사하게고장모델을모델링하고다양한고장모델을사용한다면 본연구는산업자원부 SYSTEMIC2010 과제지원및한국반도체연구조합관리로수행되었습니다. * 연세대학교전기전자공학과컴퓨터시스템및관련 SoC 연구실 (yoseop@soc.yonsei.ac.kr) ** 연세대학교전기전자공학과컴퓨터시스템및관련 SoC 연구실 (shkang@yonsei.ac.kr) 논문번호 :KICS , 접수일자 :2006 년 11 월 24 일, 최종논문접수일자 :2007 년 3 월 19 일 379

2 한국통신학회논문지 07-4 Vol. 32 No. 4 정확한고장진단을수행할수있으나복잡한고장모델에대한고장시뮬레이터의수행시간이급격히증가되게된다. 한개의고착고장이존재한다고가정하는단일고착고장모델이테스트패턴을생성하기쉽고, 시뮬레이터구현도단순하며시뮬레이터의속도도빠르기때문에가장널리사용되고있다. 그러나단일고착모델만을사용하면, 다른형태의고장인합선고장과다중고착고장을진단할수없기때문에이러한고장들의진단을목표로하는많은고장진단방법론이제안되었다 [2,3]. [2] 에서는다중고착고장을진단하기위하여다중고착시뮬레이터를사용하였다. 또한합선고장을진단하기위하여 [3] 에서는합선고장시뮬레이터를사용하였다. 그러나복잡한고장모델을위해시뮬레이터를사용하면시뮬레이터의수행시간이길어지기때문에전체적인고장진단시간이길어진다. 예를들면단일고착고장시뮬레이터의수행시간은회로의크기에비례에서증가하나합선고장시뮬레이터의수행시간은회로의크기에제곱에비례해서증가하므로회로가커진다면실행불가능할수도있게되었다. 수행시간이너무많이소요되어실행하지못하는문제점을예방하기위하여제안하는고장진단알고리듬은단일고착모델과단일고착고장시뮬레이터를기반으로하였다. 또한최종고장후보를결정하기위하여점수화매칭알고리듬을사용하였다. 점수화매칭방법론은시뮬레이션된고장의응답이실제테스터의응답과유사할수록고장의위치가실제결함의위치와가깝다는가정을기반으로하고있다. 점수화매칭알고리듬의가장기본적인방법은특정테스트벡터에서오류가관찰될경우에후보고장의출력단응답에서오류가있을경우, 그후보고장의점수를 2점증가시킨다. 만일미지의값 X가관찰될경우는, 잠재적으로오류가검출되었다고생각하여 1점을증가시킨다 [4]. 더욱발전된방법으로는테스터의응답과후보고장의응답간의일치, 부분일치, 불일치의정도에따라점수를증가시킬뿐만아니라감소시키기도하여보다세밀하게고장후보와실제고장간의유사도를점수화시킬수있다 [5]. 가장발전된형태의 POIROT 알고리듬 [6] 에서는완전일치공통부분 (vectorwise intersection), 공통부분 (intersection), 잘못된예측 (misprediction), 예측실패 (nonprediction) 의측정기준으로점수를계산한다. 공통부분은테스터의응답과고장후보의시뮬레이션응답에모두오류가나타날때를말한다. 이중출력단의시그니쳐가완전히동일할경우를완전일치공통부분이라고말한다. 잘못된예측은테스터의응답에서는오류가나타나지않으나고장후보의시뮬레이션응답에서는오류가나타날경우를말한다. 반대로예측실패는테스터의응답에서는오류가나타나나고장후보의시뮬레이션응답에서는오류가나타나지않는경우를말한다. 완전일치공통부분의수가가장큰영향력을가지며, 이어서공통부분, 잘못된예측, 예측실패의순으로영향력을가지게된다. 일반적으로사용되는점수화매칭알고리듬은고장이한개만존재한다고가정하므로고장진단과정을단순화시켜주지만다중고착고장이나복잡한형태의고장을진단하기어렵다. 본논문에서는점수화매칭알고리듬과완전일치공통부분테이블을사용하여단일고착고장시뮬레이터환경에서도다중고착고장을진단할수있는매칭알고리듬을제안하였다. 또한출력단의수에따른가중치개념을도입한점수화매칭알고리듬으로고장간의식별성을높여진단한고장후보집합의수를줄이도록하였다. 제안한알고리듬을사용하여 (Matching-based Advanced Integrated Diagnosis) 라고명명한툴을제작하여성능을검증하였다. Ⅱ. 고장진단의전체과정고장진단의전체과정은그림 1과같다. 고장진단을수행하기위해서는회로의설계파일과테스트패턴이필요하고, 오류가발생한칩의테스터응답결과가필요하다. 가장먼저고장리스트생성과정을거치게된다. 고장리스트생성과정에서는회로의설계파일로부터회로에존재할수있는논리고장들을결정한다음에, 등가고장중첩과임계경로추적법 [7] 을사용하여시뮬레이션을수행할고장의수를줄이게된다. 이렇게결정된고장리스트로고장시뮬레이션을진행하게된다. 단일고착고장시뮬레이션과정중에후보고장의점수를계산하고완전일치공통부분테이블을생성한다. 고장시뮬레이션이후에각후보고장의점수와완전일치공통부분의테이블결과로최종고장후보집합을결정하여최종고장진단결과를출력하게된다. 380

3 논문 / 매칭에기반한발전된고장진단방법 정확하고효율적인고장진단을수행하는데핵심적인역할을하는후보고장의점수계산, 완전일치공통부분테이블생성, 최종고장후보집합의결정과정은 Ⅲ~Ⅴ장에서자세하게설명하겠다. 그림 1. 고장진단의전체과정 Ⅲ. 후보고장의점수계산기존의알고리듬은단순히완전일치공통부분, 공통부분, 잘못된예측과예측실패가일어날경우의테스트패턴수만을기준으로점수를계산하기때문에식별력이떨어져동순위고장이많이나타날수있는문제점을가지고있다. 또한기존의알고리듬은무조건적으로완전일치공통부분, 공통부분, 잘못된예측, 예측실패의순으로영향력을가진다. 다시말하면, 공통부분, 잘못된예측, 예측실패의점수가아무리높더라도, 완전일치공통부분의점수가낮다면낮은고장후보순위를가지게된다. 이는다중고착고장등의복잡한형태의고장을진단할경우에문제점을가지게된다. 다중고착고장일경우에는테스터의고장응답의형태가두고착고장이중첩된형태로나타나는경향을가지게되므로실제의각고장은공통부분의점수가낮게된다. 알고리듬에서는고장간의식별력을높이기위하여후보고장의점수를계산할경우에패턴의수를고려하지않고, 응답을보다더잘반영할수있도록출력단의수를고려하였다. 또한다중고착고장과같은복잡한고장의진단을위해서완전일치공통부분, 공통부분, 잘못된예측과예측실패의경우에앞의것이뒤의것에우선하는영향력을가진기준으로사용하지않고통합적으로점수를계산하도록하였다. 또한회로의크기에탄력적으로 대응할수있도록완전일치공통부분의가중치를결정하였다. 고장시뮬레이션은고장목록의선택된고장에대하여모든패턴을시뮬레이션을진행하는이중루프의형태로진행되도록한다. 한패턴에대한시뮬레이션이진행되면매칭알고리듬을통하여점수를계산하며, 모든패턴에대한점수를합산하여선택된고장의점수를결정한다. 고장시뮬레이션은고장목록의선택된고장에대하여모든패턴을시뮬레이션을진행하는이중루프의형태로진행되도록한다. 한패턴에대한시뮬레이션이진행되면매칭알고리듬을통하여점수를계산하며, 모든패턴에대한점수를합산하여선택된고장의점수를결정한다. 제안하는매칭알고리듬은고장의경우를다음과같이 5가지경우로분류하고점수의계산방법을결정하였다. 1) 완전일치공통부분 : 테스터의응답과시뮬레이션의응답이모두오류가나타나며완전히일치할경우를말한다. 이경우는모든출력단의수만큼점수를증가시킨다. 2) 공통부분 : 테스터의응답과시뮬레이션의응답이모두오류가나타나나완전히일치하지는않을경우를말한다. 양쪽모두오류가나타나는출력단의수만큼점수를증가시키며, 한쪽만오류가나타나는출력단의수만큼점수를감소시킨다. 3) 잘못된예측 : 테스터의응답에서는오류가나타나지않으나시뮬레이션의응답에서는오류가나타날경우를말한다. 시뮬레이션응답에서오류가나타나는출력단의수만큼점수를감소시킨다. 4) 예측실패 : 시뮬레이션의응답에서는오류가나타나지않으나테스터의응답에서오류가나타날경우를말한다. 테스터의응답에서오류가나타나는출력단의수만큼전수를감소시킨다. 5) 무고장영역 : 테스터의응답과시뮬레이션의응답에서모두오류가나타나지않을경우를말한다. 이경우는점수에변화를주지않는다. 완전일치공통부분에대하여출력단의수만큼가중치를주게되므로회로의크기에탄력적으로대응할수있도록되었다. 그리고테스터의응답과시뮬레이션의응답이일치하더라도고장의유무에따 381

4 한국통신학회논문지 07-4 Vol. 32 No. 4 라완전일치공통부분과무고장영역으로구별함에따라고장의전파가적게되는고장이무고장영역에서높은점수를얻어높은순위를가지는문제점을방지할수있게되었다. 그림 2의예제에서점수가계산되는방식을자세하게설명하도록하겠다. 그림 1에서나타난값들은의도하였던값과같으면 0, 오류가나타나면 1을나타내는시그니쳐값이다. 테스트패턴 1에대하여테스터응답과후보고장 1은둘다오류가나타나고모든출력단에대하여동일한형태를가지고있으므로완전일치공통부분으로연산된다. 5개의주출력단을가지고있으므로후보고장 1은 5점을증가시키게된다. 테스트패턴 1에대하여후보고장 2 는테스터응답은오류가있으나, 후보고장의시뮬레이션응답에는오류가없으므로예측실패로연산된다. 이경우는테스터응답에서 2회오류가나타났으므로 2만큼점수를감소시킨다. 테스트패턴1 에대하서후보고장 3은테스터응답과후보고장의시뮬레이션응답모두오류가나타나지만완전히일치하지않으므로공통부분으로연산한다. 같은출력단에오류가나타나는경우가 1회이므로 1점을증가시키고둘중에하나만고장이나타난경우가 2회이므로 2점을감소시켜총 1점을감소시킨다. 이러한식으로모든패턴에대하여총합을구하면후보고장 1은총 5점을가지며, 후보고장 2는 -6 점이고후보고장 3은 -4점으로총점이가장높은후보고장 1이실제고장과가장유사하다고판단할수있다. 테스트패턴 1 테스트패턴 2 테스트패턴 3 테스터응답 후보고장 후보고장 후보고장 그림 2. 후보고장의점수계산예제 Ⅳ. 완전일치공통부분테이블 일반적인점수화매칭알고리듬으로는다중고착고장을진단할때어려움이따른다. 모든고장이최상위점수를가지지않는경우가많기때문이다. 이를보완하기위한방법을찾기위하여다중고착고장회로의응답결과를분석하였다. 그결과, 조합회로와완전주사회로의경우에는다중고착 고장회로의응답은다중고착고장회로에삽입된고장들을한개씩삽입한회로의오류들이중첩된형태로나타나는경우가매우많음을알게되었다. 테스트패턴이한개의고장만을활성화시킬경우에는그고장만삽입된회로의응답과동일하였고, 여러고장을활성화시킬경우에는오류가중첩되거나전혀다른응답결과를나타내게되었다. 만일테스트패턴이한개의고장만을활성화시킨다고가정한다면, 다중고착고장의응답은단일고착고장들의완전일치공통부분의중첩된형태로나타난다고할수있다. SLAT 논문에서도한개의고장만을활성화하는패턴이대부분을차지한다는실험결과를보여주었다 [8]. 이러한가정을다중고착고장을진단시에사용하기위하여고장시뮬레이션과정에서완전일치공통부분이나타날경우에각고장별로완전일치공통부분이나타났던패턴들을저장해두며, 이를완전일치공통부분테이블이라고부르도록하겠다. 이테이블은고장점수와함께최종고장후보를결정할경우에사용하게된다. Ⅴ. 최종후보고장집합의결정고장시뮬레이션이종료된이후에는각고장후보에대하여테스터의응답과유사도를반영한점수와완전일치공통부분이나타났던고장과테스트패턴을저장한완전일치공통부분테이블을사용할수있다. 두가지기준을사용하여최종고장후보를결정하게된다. 최종고장후보집합을결정하는방법은다음과같은단계를거치게된다. 1) 고장점수순으로후보고장을정렬한다. 2) 가장점수가높은후보고장들중에서가장완전일치공통부분이많이나타나는후보고장을선택하여최종후보고장집합에포함시킨다. 3) 테스트응답에서는오류가나타났지만이패턴에대하여완전일치공통부분이나타나는후보고장이최종후보고장집합에없을경우에, 이패턴에대해서완전일치공통부분이나타나며그중가장점수가높은후보고장을최종후보고장집합에포함시킨다. 4) 오류가발생한모든테스트응답에대해완전일치공통부분으로설명하는고장들이최종후보고장집합에포함될때까지단계 3을반복한다. 테스트응답에대하여완전일치공통부분을가진후보고장이없을경우에는넘어간다. 382

5 논문 / 매칭에기반한발전된고장진단방법 점수 패턴 1 패턴 2 패턴 3 패턴 4 고장 고장 2 98 고장 3 87 고장 4 50 고장 5 23 그림 3. 최종후보고장집합의결정예제 이과정을그림 3에서예를들어설명하겠다. 예제의회로는총후보고장이 5개이며, 각고장의점수는 Ⅲ장에서설명한과정을거처그림 3와같이결정되었다. 그리고 표시는완전일치공통부분을나타낸것이다. 여기서주의해야할점은완전일치공통부분은테스터응답과시뮬레이션응답이모두오류가있어야하기때문에, 완전일치공통부분에저장되는패턴들은모두테스터의응답에오류가나타나게하는패턴들로만구성되어야한다는점이다. 단계 1을거쳐고장점수순으로정렬하면그림 3과같은순서로정렬이된다. 단계 2에서가장높은점수를가진고장들중에서완전일치공통부분이가장많은고장을선택한다. 예제에서는가장점수가높은고장이후보고장 1이므로하나만선택하면되나, 다수가있을경우에는완전일치공통부분이가장많은고장을선택하면되고이수도동일하다면모두다최종후보고장집합에포함시킨다. 다음단계 3에서는패턴 3에대해서완전일치공통부분이나타나지않으므로패턴 3에서완전일치공통부분이나타나는고장 4를최종후보고장집합에포함시킨다. 최종후보고장집합에는고장 1과고장 4가포함되고이를고장진단결과로출력한다. 단순히점수가높은고장을선택하는방식으로는다중고착고장을진단할수없다. 한개의고장은 1순위로진단되더라고, 다른고장이대부분의경우에낮은순위에위치하기때문이다. 이를보완하기위하여완전일치공통부분테이블을사용하여, 낮은순위에있더라도고장후보집합의고장들로설명하지못하는패턴에대해완전일치공통부분이나타난다면최종고장후보집합에포함될수있도록하였다. 이러한방법은다중고착고장진단시에유용함을 Ⅵ장의실험을통해서입증하였다. 또한고장들을세밀하게식별할수있는매칭알고리듬을사용함으로써 SLAT 알고리듬 [9] 이가지고있는후보고장의수가커지는문제점을해결할수있다. Ⅵ. 실험결과 Ⅱ장에서설명한전체고장진단과정을툴로작성하여고장진단알고리듬의성능을확인해보았다. 고장진단툴의이름을 (Matching Based Advanced Integrated Diagnosis) 라명명하였다. 모든실험은 SUN BLADE 2000 워크스테이션에서수행하였다. 실험을위하여 ISCAS85, ISCAS89 벤치마크회로들을삼성 STD150 라이브러리를사용하여합성하였으며, ISCAS89 회로는전체주사회로가되도록합성하였다. 테스트패턴은 Synopsys 사의 TetraMAX를사용하여생성하였다. 30개의회로에임의로고장을두개씩삽입한경우와세개씩삽입한경우에대해서실험을하였다. 이렇게고장을삽입한회로를논리시뮬레이션을수행한결과를테스터의응답이라가정하고, 이결과로부터삽입한고장을진단해내는가의여부로고장진단알고리듬을검증하였다. ISCAS85회로에대한두개의고장이삽입되었을경우의실험결과는표 1에정리하였다. 가나타내는의미는고장이 2개삽입된회로에서평균적으로몇개의고장이진단되었는지를나타내는것이다. 후보고장수는최종적으로진단된후보고장의수를나타낸다. 비교한 SLAT 알고리듬 [9] 이원래의논문과다른점은원래의논문에서는고착고장의극성에상관없이위치만으로진단하였으나, 비교실험을위해서고착고장을기준으로실험을하였다. 그리고 SLAT 알고리듬은 multiplet이라고부르는후보고장집합을여러개 표 1. 두개의고장이삽입된 ISCAS85 회로의고장진단결과 SLAT [9] 회로 후보고장수 후보고장수 c c c c c c c c c c 평균

6 한국통신학회논문지 07-4 Vol. 32 No. 4 SLAT[9] SLAT[9] c432 c499 c880 c1355 c1908 c2670 c3540 c5315 c6288 c7552 평균회로 0.00 s1196 s1238 s1488 s1494 s5378 s9234 s13207 s15850 s35932 s38594 평균회로 그림 4. 두개의고장이삽입된 ISCAS85 회로에대한최종 표 2. 두개의고장이삽입된 ISCAS89 회로의고장진단결과 SLAT [9] 회로 s s s s s s s s s s 평균 생성하기때문에정확한비교를위해서이들후보고장집합들에포함된고장의수를중복되지않게세어정리하였다. 표 1을보면진단된고장의수는 SLAT 알고리듬에서평균 1.61, 에서평균 1.68로고장진단의정확도는거의차이없거나 알고리듬이조금더좋다고말할수있다. 그러나후보고장집합의수는평균 19.12와평균 3.08로 SLAT 알고리듬의 1/6 밖에되지않음을알수있다. 이는 알고리듬의결과가훨씬좁게고장의범위를줄이므로효율적이라고말할수있다. 이러한차이점은그림 4에서좀더두드러지게관찰할수있다. 알고리듬은조합회로뿐만아니라보다크기가큰전체주사회로에서도뛰어난성능을보여준다. 표 2에이러한결과를정리하였다. 진단된고장의수는 SLAT 알고리듬에서평균 1.85, 알고리듬에서평균 1.90으로정확도는조합회로와마찬가지로 알고리듬이비슷하거나조금더뛰어나다고말할수있다. 그림 5. 두개의고장이삽입된 ISCAS89 회로에대한최종 전체주사회로에대한최종후보고장집합의수는 SLAT 알고리듬에서는평균 11.80, 알고리듬에서는평균 3.37로제안한알고리듬이 SLAT 알고리듬에비해 1/4정도인것을관찰할수있다. 이러한차이는그림 5를통하여보다쉽게관찰할수있다. 세개의고착고장을삽입한회로에서고장진단수행결과를정리해보았다. 삽입된고장이많아질수록고장간에영향을서로미칠가능성이높아지고단일고장과의출력단의응답의차이가커지므로고장진단이어려워진다. 정리한실험결과를관찰해보면 알고리듬은세개의고장이삽입된경우에도보다정확하게고장진단을수행함을관찰할수있다. 조합회로에대하여수행한실험결과는다음과같이표 3에정리하였다. SLAT 알고리듬은평균 2.01이고제안한알고리듬 2.13이므로 알고리듬이보다더정확한고장진단을수행한다고말할수있다. 표 3. 세개의고장이삽입된 ISCAS85 회로의고장진단결과 SLAT [9] 회로 c c c c c c c c c c 평균

7 논문 / 매칭에기반한발전된고장진단방법 SLAT[9] SLAT[9] c432 c499 c880 c1355 c1908 c2670 c3540 c5315 c6288 c7552 평균 회로 0.00 s1196 s1238 s1488 s1494 s5378 s9234 s13207 s15850 s35932 s38594 평균회로 그림 6. 세개의고장이삽입된 ISCAS85 회로에대한최종 표 4. 세개의고장이삽입된 ISCAS89 회로의고장진단결과 회로 SLAT [9] s s s s s s s s s s 평균 또한 SLAT 알고리듬이평균고장후보집합의수가 21.21이고제안한알고리듬이평균 4.30으로약 1/5로후보고장의수를줄인것을확인할수있다. 이결과를그림 6에서와같이막대그래프로정리하면보다확연하게관찰할수있다. 마지막으로수행한실험은전체주사회로버전의 ISCAS89 10개의회로에대하여세개의고착고장을삽입하여실험을수행하였다. 그결과는표 4에정리하였다. 이번실험에서도전체적인경향은이전에수행했던실험들과동일하다. 알고리듬이 SLAT 알고리듬보다보다고장을정확하게진단하며평균고장후보집합의수가 14.64에서 4.76로 1/3가량감소된것을확인할수있다. 평균고장후보집합의수를막대그래프로나타낸그림 7을관찰하면그차이를보다확연하게관찰할수있다. 그림 7. 세개의고장이삽입된 ISCAS89 회로에대한최종 이상으로실험에서 알고리듬은기존의알고리듬보다정확도는증가하며, 진단한후보고장의수는감소됨을확인할수있었다. SLAT알고리듬의오류테이블만을사용하여다중고착고장을정확히진단하기는어려우며고장간의식별성을높이는작업을수행한 알고리듬에서이러한문제점을보완하여높은성능을보임을확인할수있었다. Ⅶ. 결론 본논문에서는효율적인다중고착고장알고리듬을제안하였다. 제안한 알고리듬은단일고착고장시뮬레이터환경에서도다중고착고장을진단할수있도록한다. 다중고착고장진단을위하여완전일치공통부분의정보를저장하여사용하였다. 또한각고장간의식별성을높여다중고착고장을진단함에도불구하고, 고장후보의수를획기적으로줄일수있었다. 이를위하여출력단의수에따른가중치개념과가산, 감산연산을사용하였다. ISCAS85회로와전체주사기법이적용된 ISCAS89 회로에대한고장을진단한실험으로 알고리듬이효율적이고정확하게고장진단을함을확인할수있었다. 그러나제안한 알고리듬도한계를가지고있다. 완전일치공통부분테이블은한개의패턴이고장을활성화시키고출력단으로오류가존재하는값이전파되었을때에큰의미를지닌다. 이번에실험을진행하였던조합회로와전체주사회로에서는이러한조건이만족되므로좋은결과를얻을수있었다. 그러나순차회로에서는완전일치공통부분테이블의의미가퇴색하므로지금과같은좋은결과가나타나지않을거라고예상된다. 순차회로 385

8 한국통신학회논문지 07-4 Vol. 32 No. 4 에서도다중고착고장을진단하기위해서는보다향상된고장진단알고리듬이제안되어야할것이다. 참고문헌 [1] Y. Takamatsu, T. Seiyama, H. Takahashi, Y. Higami and K. Yamazaki, On the fault diagnosis in the presence of unknown fault models using pass/fail information, ISCAS IEEE International Symposium, pp [2] H. Takahashi, K.O. Boateng, K.K. Saluja and Y. Takamatsu, On diagnosing multiple stuck-at faults using multiple and single fault simulation in combinational circuits, IEEE Transactions on CAD of Integrated Circuits and Systems, pp , [3] Wu Jue and E. M. Rudnick, A diagnostic fault simulator for fast diagnosis of bridge faults, Proc. of VLSI Design, pp , [4] S. Venkataraman, I. Hartanto and W. K. Fuchs, Dynamic Diagnosis of Sequential Circuits Based on Stuck-at Faults, Proc. of VLSI Test Symposium, pp , [5] V. Boppana and M. Fujita, Modeling the unknown! Towards model-independent fault and error diagnosis, Proc. of International Test Conference, pp , [6] S. Venkataraman and S. Drummonds, Poirot : Applications of a Logic Fault Diagnosis Tool, IEEE Design & Test of Computers, pp , [7] P. Goel, et al, LSSD Fault Simulation Using Conjunctive Combinational and Sequential Methods, Proc. of International Test Conference, pp , [8] T. Bartenstein, D. Heaberlin, L. Huisman and D. Sliwinski, Diagnosing combinational logic designs using the single location at-a-time (SLAT) paradigm, Proc. of International Test Conference, pp , [9] L. M. Huisman, Diagnosing arbitrary defects in logic designs using single location at a time (SLAT), IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, pp , 임요섭 (Yoseop Lim) 정회원 2004년 2월 : 연세대학교전기전자공학부졸업 2006년 2월 : 연세대학교전기전자공학과석사 2006년 3월 ~ 현재 : 연세대학교전기전자공학과박사과정 < 관심분야 > Diagnosis, CAD, DFT 강성호 (Sungho Kang) 정회원 1986년 2월 : 서울대학교제어계측공학과졸업 1988년 6월 :The University of Texas, Austin 전기및컴퓨터공학과석사 1992년 6월 : The University of Texas, Austin 전기및컴퓨터공학과박사 1992년미국 Schlumberger Inc. 연구원 1994년 Motorola Inc. 선임연구원 2007년현재연세대학교전기전자공학과교수 < 관심분야 > SoC 설계및 SoC 테스트 386

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 26 년 4 월전자공학회논문지제 43 권 SD 편제 4 호 49 논문 26-43SD-4-7 효율적인고장진단을위한딕셔너리구조개발 (A New Dictionary Mechanism for Efficient Fault Diagnosis ) 김상욱 *, 김용준 **, 전성훈 **, 강성호 ** ( Sangwook Kim, Yongjoon Kim, Sunghoon Chun,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 32 고속고장진단을위해고장후보정렬과테스트패턴정렬을이용한고장탈락방법이주환외 논문 2009-46SD-3-5 고속고장진단을위해고장후보정렬과테스트패턴정렬을 이용한고장탈락방법 (A Fault Dropping Technique with Fault Candidate Ordering and Test Pattern Ordering for Fast Fault Diagnosis

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2010 년 8 월전자공학회논문지제 47 권 SD 편제 8 호 29 논문 2010-47SD-8-5 내장된자체테스트를위한저전력테스트패턴생성기구조 (An Efficient Test Pattern Generator for Low Power BIST ) 김기철 *, 강성호 ** * (Kicheol Kim and Sungho Kang ) 요 약 본논문에서는내장된자체테스트기법

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 901 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 (A Effective Sinkhole Attack Detection Mechanism for LQI based Routing in WSN) 최병구 조응준 (Byung

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

<C7D1B1B9C0C720B3EBB5BFBCF6BFE420B1B8C1B6BFA120B0FCC7D120BFACB1B82DC3D62E687770>

<C7D1B1B9C0C720B3EBB5BFBCF6BFE420B1B8C1B6BFA120B0FCC7D120BFACB1B82DC3D62E687770> 한국의노동수요구조에관한연구 요약 ⅰ ⅱ 한국의노동수요구조에관한연구 요약 ⅲ ⅳ 한국의노동수요구조에관한연구 요약 ⅴ ⅵ 한국의노동수요구조에관한연구 요약 ⅶ 서론 1 2 한국의노동수요구조에관한연구 서론 3 4 한국의노동수요구조에관한연구 서론 5 6 한국의노동수요구조에관한연구 경제환경변화와노동수요의변화 7 8 한국의노동수요구조에관한연구 경제환경변화와노동수요의변화

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

05(533-537) CPLV12-04.hwp

05(533-537) CPLV12-04.hwp 모바일 OS 환경의 사용자 반응성 향상 기법 533 모바일 OS 환경의 사용자 반응성 향상 기법 (Enhancing Interactivity in Mobile Operating Systems) 배선욱 김정한 (Sunwook Bae) 엄영익 (Young Ik Eom) (Junghan Kim) 요 약 사용자 반응성은 컴퓨팅 시스템에서 가장 중요 한 요소 중에 하나이고,

More information

학습영역의 Taxonomy에 기초한 CD-ROM Title의 효과분석

학습영역의 Taxonomy에 기초한 CD-ROM Title의 효과분석 ,, Even the short history of the Web system, the techniques related to the Web system have b een developed rapidly. Yet, the quality of the Webbased application software has not improved. For this reason,

More information

±è¼ºÃ¶ Ãâ·Â-1

±è¼ºÃ¶ Ãâ·Â-1 Localization Algorithms Using Wireless Communication Systems For efficient Localization Based Services, development of accurate localization algorithm has to be preceded. In this paper, research trend

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015) ISSN

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015)   ISSN (JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, 2015 11 (JBE Vol. 20, No. 6, November 2015) http://dx.doi.org/10.5909/jbe.2015.20.6.880 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) Frame

More information

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp RUF * (A Simple and Efficient Antialiasing Method with the RUF buffer) (, Byung-Uck Kim) (Yonsei Univ. Depth of Computer Science) (, Woo-Chan Park) (Yonsei Univ. Depth of Computer Science) (, Sung-Bong

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

4) 5) 6) 7)

4) 5) 6) 7) 1) 2) 3) 4) 5) 6) 7) 8) 9) 10) 11) 12) 13) 14) 15) 16) 17) 18) 19) 20) 21) 22) 23) 24) 25) 26) 27) 28) 29) 30) 31) 32) 33) 34) 35) 36) 37) 38) 39) 40) 41) 42) 43) 44) 45) 46) 47) 48) 49) 50) 51) 52) 53)

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-08-15 한국통신학회논문지 '10-08 Vol.35 No. 8 건설생산성 향상을 위한 건설현장 내 RFID 네트워크 시스템 적용 방안 준회원 김 신 구*, 정회원 이 충 희*, 이 성 형*, 종신회원 김 재 현* Method of RFID Network System Application for Improving of Construction

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

45-51 ¹Ú¼ø¸¸

45-51 ¹Ú¼ø¸¸ A Study on the Automation of Classification of Volume Reconstruction for CT Images S.M. Park 1, I.S. Hong 2, D.S. Kim 1, D.Y. Kim 1 1 Dept. of Biomedical Engineering, Yonsei University, 2 Dept. of Radiology,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 07-32-10-01 한국통신학회논문지 '07-10 Vol. 32 No. 10 진 Sidel'nikov 수열의서로다른자기상관분포의개수 정회원정정수 *, 김영식 **, 종신회원노종선 *, 정하봉 *** On the Number of Distinct Autocorrelation Distributions of -ary Sidel'nikov Sequences

More information

I

I I II III (C B ) (C L ) (HL) Min c ij x ij f i y i i H j H i H s.t. y i 1, k K, i W k C B C L p (HL) x ij y i, i H, k K i, j W k x ij y i {0,1}, i, j H. K W k k H K i i f i i d ij i j r ij i j c ij r ij

More information

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월 지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., 2004 5 2009 12 KOSPI200.,. * 2009. 지능정보연구제 16 권제 1 호 2010 년 3 월 김선웅 안현철 社 1), 28 1, 2009, 4. 1. 지능정보연구제 16 권제 1 호 2010 년 3 월 Support

More information

???? 1

???? 1 The Korean Journal of Applied Statistics (2013) 26(1), 201 208 DOI: http://dx.doi.org/10.5351/kjas.2013.26.1.201 A Note on Model Selection in Mixture Experiments with Process Variables Jung Il Kim a,1

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2012 년 9 월전자공학회논문지제 49 권제 9 호 237 논문 2012-49-9-26 비트맵메모리공유를통해 면적을크게줄인효율적인수리방법 (An Efficient Repair Method to Reduce Area Overhead by Sharing Bitmap Memory ) 조형준 *, 강성호 ** * (Hyungjun Cho and Sungho Kang

More information

PowerPoint Presentation

PowerPoint Presentation RL 과 RC 회로의완전응답 기초회로이론 학습목표 2/42 RL 혹은 RC 회로를해석하는방법 완전해, 등차해, 특수해 RL 혹은 RC 회로에서완전응답, 과도응답, 정상상태응답을얻는방법 목차 3/42 1. RL 혹은 RC 회로의해석 2. 1차미분방정식의해 3. 무전원응답 4. 시정수 5. RL 혹은 RC 회로의 DC 전원응답 6. 연속스위칭회로 Section

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

http://www.kbc.go.kr/pds/2.html Abstract Exploring the Relationship Between the Traditional Media Use and the Internet Use Mee-Eun Kang This study examines the relationship between

More information

230 한국교육학연구 제20권 제3호 I. 서 론 청소년의 언어가 거칠어지고 있다. 개ㅅㄲ, ㅆㅂ놈(년), 미친ㅆㄲ, 닥쳐, 엠창, 뒤져 등과 같은 말은 주위에서 쉽게 들을 수 있다. 말과 글이 점차 된소리나 거센소리로 바뀌고, 외 국어 남용과 사이버 문화의 익명성 등

230 한국교육학연구 제20권 제3호 I. 서 론 청소년의 언어가 거칠어지고 있다. 개ㅅㄲ, ㅆㅂ놈(년), 미친ㅆㄲ, 닥쳐, 엠창, 뒤져 등과 같은 말은 주위에서 쉽게 들을 수 있다. 말과 글이 점차 된소리나 거센소리로 바뀌고, 외 국어 남용과 사이버 문화의 익명성 등 청소년의 개인, 가정, 학교변인에 따른 비교육적 언어 사용의 차이 229 한국교육학연구 제20권 제3호 The Korea Educational Review 2014. 10. Vol.20. No.3. pp.229-251. 1) 청소년의 개인, 가정, 학교변인에 따른 비교육적 언어 사용의 차이* 강기수 조규판(동아대학교) [요 약] 본 연구의 목적은 청소년의 개인변인인

More information

<3235B0AD20BCF6BFADC0C720B1D8C7D120C2FC20B0C5C1FE20322E687770>

<3235B0AD20BCF6BFADC0C720B1D8C7D120C2FC20B0C5C1FE20322E687770> 25 강. 수열의극한참거짓 2 두수열 { }, {b n } 의극한에대한 < 보기 > 의설명중옳은것을모두고르면? Ⅰ. < b n 이고 lim = 이면 lim b n =이다. Ⅱ. 두수열 { }, {b n } 이수렴할때 < b n 이면 lim < lim b n 이다. Ⅲ. lim b n =0이면 lim =0또는 lim b n =0이다. Ⅰ 2Ⅱ 3Ⅲ 4Ⅰ,Ⅱ 5Ⅰ,Ⅲ

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

PowerPoint Presentation

PowerPoint Presentation 시간영역에서의시스템해석 5.. 개요 대상시스템의특성은일정한입력이시스템에가해질경우, 시스템이어떻게응답하는가를통해서파악할수있다. ) 시간응답 (ime repoe) 특성을살펴보기위해자주사용되는기준입력에는단위계단입력, 임펄스입력, 경사입력, 사인입력등이있는데, 대부분경우에단위계단신호를사용한다. 단위계단응답 (ui ep repoe) 을알면나머지임펄스응답과경사응답을유추할수있기때문이다.

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

InsertColumnNonNullableError(#colName) 에해당하는메시지출력 존재하지않는컬럼에값을삽입하려고할경우, InsertColumnExistenceError(#colName) 에해당하는메시지출력 실행결과가 primary key 제약에위배된다면, Ins

InsertColumnNonNullableError(#colName) 에해당하는메시지출력 존재하지않는컬럼에값을삽입하려고할경우, InsertColumnExistenceError(#colName) 에해당하는메시지출력 실행결과가 primary key 제약에위배된다면, Ins Project 1-3: Implementing DML Due: 2015/11/11 (Wed), 11:59 PM 이번프로젝트의목표는프로젝트 1-1 및프로젝트 1-2에서구현한프로그램에기능을추가하여간단한 DML을처리할수있도록하는것이다. 구현한프로그램은 3개의 DML 구문 (insert, delete, select) 을처리할수있어야한다. 테이블데이터는파일에저장되어프로그램이종료되어도사라지지않아야한다.

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 30 3 차원메모리의수율증진을위해접합공정에서발생하는추가고장을고려한다이매칭방법이주환외 논문 2011-48SD-7-5 3 차원메모리의수율증진을위해접합공정에서발생하는 추가고장을고려한다이매칭방법 (A Die-matching Method for 3D Memory Yield Enhancement considering Additional Faults during Bonding

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2012 년 8 월전자공학회논문지제 49 권 SD 편제 8 호 55 Journal of The Institute of Electronics Engineers of Korea Vol. 49-SD, NO. 8, August 2012 논문 2012-49SD-8-9 이중포트메모리를위한효율적인프로그램가능한메모리 BIST (An Efficient Programmable

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 09-34-06-11 한국통신학회논문지 '09-06 Vol. 34 No. 6 임베디드시스템을위한개선된예측동적전력관리방법 준회원김상우 *, 정회원황선영 * An Improved Predictive Dynamic Power Management Scheme for Embedded Systems Sang-Woo Kim* Associate Member, Sun-Young

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 CHAPTER 9 둘중하나선택하기 관계연산자 두개의피연산자를비교하는연산자 결과값은참 (1) 아니면거짓 (0) x == y x 와 y 의값이같은지비교한다. 관계연산자 연산자 의미 x == y x와 y가같은가? x!= y

More information

슬라이드 1

슬라이드 1 TCPdump 사용법 Neworks, Inc. (Tel) 070-7101-9382 (Fax) 02-2109-6675 ech@pumpkinne.com hp://www.pumpkinne.co.kr TCPDUMP Tcpdump 옵션 ARP 정보 ICMP 정보 ARP + ICMP 정보 IP 대역별정보 Source 및 Desinaion 대역별정보 Syn 과 syn-ack

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

02 전병규(630~638).hwp

02 전병규(630~638).hwp 13 5 2009 10 SCADE 를활용한항공기공중충돌회피시스템 -II 의통합시뮬레이터설계 전병규 *, 임상석 * Byung-Kyu Jun *, Sang-Seok Lim * 요약 SCADE (TCAS-II). TCAS-II. TCAS-II. Abstract In this paper, we propose a new SCADE-based integrated simulator

More information

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 (1920~1945 ) 1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 1918 4 1930 1933 1 932 70 8 0 1938 1923 3 1 3 1 1923 3 1920 1926 1930 3 70 71

More information

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770>

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770> 북한의 주요 농업 관련 법령 해설 1) 이번 호와 다음 호에서는 북한의 주요 농업 관련 법령을 소개하려 한다. 북한의 협동농장은 농업협동조합기준규약초안 과 농장법 에 잘 규정되어 있다. 북한 사회주의 농업정책은 사회 주의농촌문제 테제 2), 농업법, 산림법 등을 통해 엿볼 수 있다. 국가계획과 농업부문의 관 계, 농산물의 공급에 관해서는 인민경제계획법, 사회주의상업법,

More information

감각형 증강현실을 이용한

감각형 증강현실을 이용한 대한산업공학회/한국경영과학회 2012년 춘계공동학술대회 감각형 증강현실을 이용한 전자제품의 디자인 품평 문희철, 박상진, 박형준 * 조선대학교 산업공학과 * 교신저자, hzpark@chosun.ac.kr 002660 ABSTRACT We present the recent status of our research on design evaluation of digital

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 114 IEEE 1500 표준기반의효율적인프로그램가능한메모리 BIST 박영규외 논문 2013-50-2-14 IEEE 1500 표준기반의 효율적인프로그램가능한메모리 BIST ( IEEE std. 1500 based an Efficient Programmable Memory BIST ) 박영규 *, 최인혁 *, 강성호 ** * (Youngkyu Park, Inhyuk

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

에너지경제연구 제13권 제1호

에너지경제연구 제13권 제1호 에너지경제연구 Korean Energy Economic Review Volume 13, Number 1, March 2014 : pp. 83~119 거시계량모형을이용한유가변동및 유류세변화의파급효과분석 * 83 84 85 86 [ 그림 1] 모형의해결정과정 87 [ 그림 2] 거시계량모형의흐름도 (flow chart) 88 89 < 표 1> 유류세현황 (2013

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

63-69±è´ë¿µ

63-69±è´ë¿µ Study on the Shadow Effect of 3D Visualization for Medical Images ased on the Texture Mapping D.Y. Kim, D.S. Kim, D.K. Shin, D.Y. Kim 1 Dept. of iomedical Engineering, Yonsei University = bstract = The

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

Microsoft PowerPoint - Java7.pptx

Microsoft PowerPoint - Java7.pptx HPC & OT Lab. 1 HPC & OT Lab. 2 실습 7 주차 Jin-Ho, Jang M.S. Hanyang Univ. HPC&OT Lab. jinhoyo@nate.com HPC & OT Lab. 3 Component Structure 객체 (object) 생성개념을이해한다. 외부클래스에대한접근방법을이해한다. 접근제어자 (public & private)

More information

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 (   ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각 JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( http://java.sun.com/javase/6/docs/api ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각선의길이를계산하는메소드들을작성하라. 직사각형의가로와세로의길이는주어진다. 대각선의길이는 Math클래스의적절한메소드를이용하여구하라.

More information

2017 년 6 월한국소프트웨어감정평가학회논문지제 13 권제 1 호 Abstract

2017 년 6 월한국소프트웨어감정평가학회논문지제 13 권제 1 호 Abstract 2017 년 6 월한국소프트웨어감정평가학회논문지제 13 권제 1 호 Abstract - 31 - 소스코드유사도측정도구의성능에관한비교연구 1. 서론 1) Revulytics, Top 20 Countries for Software Piracy and Licence Misuse (2017), March 21, 2017. www.revulytics.com/blog/top-20-countries-software

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

MVVM 패턴의 이해

MVVM 패턴의 이해 Seo Hero 요약 joshua227.tistory. 2014 년 5 월 13 일 이문서는 WPF 어플리케이션개발에필요한 MVVM 패턴에대한내용을담고있다. 1. Model-View-ViewModel 1.1 기본개념 MVVM 모델은 MVC(Model-View-Contorl) 패턴에서출발했다. MVC 패턴은전체 project 를 model, view 로나누어

More information

조사연구 권 호 연구논문 한국노동패널조사자료의분석을위한패널가중치산출및사용방안사례연구 A Case Study on Construction and Use of Longitudinal Weights for Korea Labor Income Panel Survey 2)3) a

조사연구 권 호 연구논문 한국노동패널조사자료의분석을위한패널가중치산출및사용방안사례연구 A Case Study on Construction and Use of Longitudinal Weights for Korea Labor Income Panel Survey 2)3) a 조사연구 권 호 연구논문 한국노동패널조사자료의분석을위한패널가중치산출및사용방안사례연구 A Case Study on Construction and Use of Longitudinal Weights for Korea Labor Income Panel Survey 2)3) a) b) 조사연구 주제어 패널조사 횡단면가중치 종단면가중치 선형혼합모형 일반화선형혼 합모형

More information

Microsoft PowerPoint - chap06-2pointer.ppt

Microsoft PowerPoint - chap06-2pointer.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-2 참고자료 포인터 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 포인터의정의와사용 변수를선언하는것은메모리에기억공간을할당하는것이며할당된이후에는변수명으로그기억공간을사용한다. 할당된기억공간을사용하는방법에는변수명외에메모리의실제주소값을사용하는것이다.

More information

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P 1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.341

More information

Microsoft Word - 36-김진규-논문_ doc

Microsoft Word - 36-김진규-논문_ doc Scan-Chain 과 IEEE 15 래퍼를이용한 SoC 지연고장테스트 Delay Fault Test using Scan-Chains and IEEE 15 Wrapper 김진규, 이현빈, 이준섭, 정태진, 박성주한양대학교컴퓨터공학과 {jkkim, bean, jslee, tjjung, parksj}@mslab.hanyang.ac.kr Abstract With

More information

소성해석

소성해석 3 강유한요소법 3 강목차 3. 미분방정식의근사해법-Ritz법 3. 미분방정식의근사해법 가중오차법 3.3 유한요소법개념 3.4 편미분방정식의유한요소법 . CAD 전처리프로그램 (Preprocessor) DXF, STL 파일 입력데이타 유한요소솔버 (Finite Element Solver) 자연법칙지배방정식유한요소방정식파생변수의계산 질량보존법칙 연속방정식 뉴톤의운동법칙평형방정식대수방정식

More information

소준섭

소준섭 2014 년미얀마의국가경쟁력평가와정책적시사점 : 세계경쟁력지수 (GCI) 분석을통한개발협력분야모색 원순구 목 차 Ⅰ. 서론 Ⅱ. 미얀마및한국의세계경쟁력지수 (GCI) 분석 1. 세계경쟁력지수의구조및측정 2. 미얀마국가경쟁력지수평가분석 3. 한국의국가경쟁력지수분석 Ⅲ. 양국의경쟁력지수 GAP 분석을통한개발협력분야모색 1. 한국과미얀마의부문별경쟁력지수 GAP 분석

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

Microsoft PowerPoint - chap05-제어문.pptx

Microsoft PowerPoint - chap05-제어문.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); 1 학습목표 제어문인,, 분기문에 대해 알아본다. 인 if와 switch의 사용 방법과 사용시 주의사항에 대해 알아본다.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Jan.; 25(1), 47 52. http://dx.doi.org/10.5515/kjkiees.2014.25.1.47 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Circuit

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Mar.; 30(3), 223 228. http://dx.doi.org/10.5515/kjkiees.2019.30.3.223 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Analysis

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 6, December, 30, 2016:275~289 Received: 2016/12/02, Accepted: 2016/12/22 Revised: 2016/12/20, Published: 2016/12/30 [ABSTRACT] SNS is used in various fields. Although

More information

목 차 국문요약 ⅰ ABSTRACT ⅲ 그림목차 ⅴ 표목차 ⅵ 1 1 3 4 4 5 6 9 11 11 13 16 32 32 3.1.1 초고층건축물의정의 32 3.1.2 대상모델개요 32 3.1.3 대상모델의모델링 35 3.1.4 CFD 해석의경계조건 38 3.1.5 CFD 시뮬레이션 42 53 3.2.1 적용프로그램 54 3.2.2 풍압의적용 54 3.2.3

More information

Microsoft PowerPoint - 27.pptx

Microsoft PowerPoint - 27.pptx 이산수학 () n-항관계 (n-ary Relations) 2011년봄학기 강원대학교컴퓨터과학전공문양세 n-ary Relations (n-항관계 ) An n-ary relation R on sets A 1,,A n, written R:A 1,,A n, is a subset R A 1 A n. (A 1,,A n 에대한 n- 항관계 R 은 A 1 A n 의부분집합이다.)

More information

05 목차(페이지 1,2).hwp

05 목차(페이지 1,2).hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Oct.; 25(10), 10771086. http://dx.doi.org/10.5515/kjkiees.2014.25.10.1077 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

제 12강 함수수열의 평등수렴

제 12강 함수수열의 평등수렴 제 강함수수열의평등수렴 함수의수열과극한 정의 ( 점별수렴 ): 주어진집합 과각각의자연수 에대하여함수 f : 이있다고가정하자. 이때 을집합 에서로가는함수의수열이라고한다. 모든 x 에대하여 f 수열 f ( x) lim f ( x) 가성립할때함수수열 { f } 이집합 에서함수 f 로수렴한다고한다. 또 함수 f 을집합 에서의함수수열 { f } 의극한 ( 함수 ) 이라고한다.

More information

Microsoft Word - KSR2013A320

Microsoft Word - KSR2013A320 k ƒ! YWXZ º º t rzyywxzhzyw k ep k Dynamic Behavior of Bridge considering Various Light Weight Rail Vehicles Õ äø ÐãäÕò ãã Sang-Su Kim, Yong-ul Park *, Man-Cheol Kim ** Abstract The purpose of this paper

More information

09오충원(613~623)

09오충원(613~623) A Study of GIS Service of Weather Information* Chung-Weon Oh**,..,., Web 2.0 GIS.,.,, Web 2.0 GIS, Abstract : Due to social and economic value of Weather Information such as urban flooding, demand of Weather

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

878 Yu Kim, Dongjae Kim 지막 용량수준까지도 멈춤 규칙이 만족되지 않아 시행이 종료되지 않는 경우에는 MTD의 추정이 불가 능하다는 단점이 있다. 최근 이 SM방법의 단점을 보완하기 위해 O Quigley 등 (1990)이 제안한 CRM(Continu

878 Yu Kim, Dongjae Kim 지막 용량수준까지도 멈춤 규칙이 만족되지 않아 시행이 종료되지 않는 경우에는 MTD의 추정이 불가 능하다는 단점이 있다. 최근 이 SM방법의 단점을 보완하기 위해 O Quigley 등 (1990)이 제안한 CRM(Continu 한 국 통 계 학 회 논 문 집 2012, 19권, 6호, 877 884 DOI: http://dx.doi.org/10.5351/ckss.2012.19.6.877 Maximum Tolerated Dose Estimation Applied Biased Coin Design in a Phase Ⅰ Clinical Trial Yu Kim a, Dongjae Kim

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 08-33-07-02 한국통신학회논문지 '08-07 Vol. 33 No. 7 낮은상관특성과큰선형복잡도를갖는새로운 -진수열군 정회원김영식 *, 정정수 **, 종신회원노종선 **, 신동준 *** New Families of -ary Sequences With Low Correlation and Large Linear Span Young-Sik Kim*, Jung-Soo

More information

Microsoft PowerPoint - ch09 - 연결형리스트, Stack, Queue와 응용 pm0100

Microsoft PowerPoint - ch09 - 연결형리스트, Stack, Queue와 응용 pm0100 2015-1 프로그래밍언어 9. 연결형리스트, Stack, Queue 2015 년 5 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) 연결리스트 (Linked List) 연결리스트연산 Stack

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

(2005) ,,.,..,,..,.,,,,,

(2005) ,,.,..,,..,.,,,,, (2005)... 20...,,.,..,,..,.,,,,, 24. 24. 1). 24. 24. 24. 24. PC,,, 24..,. (Paul Virilio).... (George Ritzer),.,,,. 2). 1), 24,,, 2001. 17. 2),,,, 2001, 22. . 3)... 4) 1. 20 1989.. 24.. 5),.,,,,,. 6).,,

More information

<31372DB9DABAB4C8A32E687770>

<31372DB9DABAB4C8A32E687770> 김경환 박병호 충북대학교 도시공학과 (2010. 5. 27. 접수 / 2011. 11. 23. 채택) Developing the Traffic Severity by Type Kyung-Hwan Kim Byung Ho Park Department of Urban Engineering, Chungbuk National University (Received May

More information

슬라이드 1

슬라이드 1 tress and train I Metal Forming CAE La. Department of Mechanical Engineering Geongsang National Universit, Korea Metal Forming CAE La., Geongsang National Universit tress Vector, tress (Tensor) tress vector:

More information

Frama-C/JESSIS 사용법 소개

Frama-C/JESSIS 사용법 소개 Frama-C 프로그램검증시스템소개 박종현 @ POSTECH PL Frama-C? C 프로그램대상정적분석도구 플러그인구조 JESSIE Wp Aorai Frama-C 커널 2 ROSAEC 2011 동계워크샵 @ 통영 JESSIE? Frama-C 연역검증플러그인 프로그램분석 검증조건추출 증명 Hoare 논리에기초한프로그램검증도구 사용법 $ frama-c jessie

More information

Microsoft Word - KSR2015A135

Microsoft Word - KSR2015A135 2015 년도한국철도학회추계학술대회논문집 KSR2015A135 PSCAD/EMTDC 를이용한직류전기철도급전계통모델링 Modeling for power feeding system of DC electric railway using the PSCAD/EMTDC 정현기 * Hyun-Ki Jung * 초록직류전기철도는 DC 1,500V 전차선로등급전계통에서단락또는지락사고발생시

More information

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770>

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 2 pp. 866-871, 2012 http://dx.doi.org/10.5762/kais.2012.13.2.866 증강현실을 이용한 아동교육프로그램 모델제안 권미란 1*, 김정일 2 1 나사렛대학교 아동학과, 2 한세대학교 e-비즈니스학과

More information

레이아웃 1

레이아웃 1 Disability & Employment 11. 8. 제1권 제호(통권 7호) pp.97~118 중증장애인직업재활지원사업수행시설의 효율성비교* 양숙미 남서울대학교 사회복지학과 부교수 전동일 가톨릭대학교 박사과정 요 약 본 연구는 직업재활시설의 중증장애인 직업재활지원사업에 대한 효율성을 평가하여 효 율적인 운영방안을 제시하는데 목적이 있다. 본 연구를 위해

More information

Chapter ...

Chapter ... Chapter 4 프로세서 (4.9절, 4.12절, 4.13절) Contents 4.1 소개 4.2 논리 설계 기초 4.3 데이터패스 설계 4.4 단순한 구현 방법 4.5 파이프라이닝 개요*** 4.6 파이프라이닝 데이터패스 및 제어*** 4.7 데이터 해저드: 포워딩 vs. 스톨링*** 4.8 제어 해저드*** 4.9 예외 처리*** 4.10 명령어 수준

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information