Microsoft Word - 36-김진규-논문_ doc

Size: px
Start display at page:

Download "Microsoft Word - 36-김진규-논문_ doc"

Transcription

1 Scan-Chain 과 IEEE 15 래퍼를이용한 SoC 지연고장테스트 Delay Fault Test using Scan-Chains and IEEE 15 Wrapper 김진규, 이현빈, 이준섭, 정태진, 박성주한양대학교컴퓨터공학과 {jkkim, bean, jslee, tjjung, Abstract With the increasing clock speeds and the decreasing feature sizes found in today s nanometer designs, at-speed testing is a requirement to achieve high quality test results. This paper introduces the interface logic of available at-speed delay fault test, and proposes a test method using a proposed architecture. Experimental results evaluate the efficiency of the proposed method by comparing a fault coverage and the number of test patterns. 있지만실제적으로 LOC 방법을많이사용한다. 이유는스캔기반의디자인에서 LOS 방식을구현하기위해서는빠른속도의스캔인에이블신호를요구하는데대부분디자인에서지원못하고있기때문이다. (a) Launch-on-Shift I. 서론 최근반도체공정기술의발전으로회로의직접도가빠르게증가하면서재사용가능한여러 IP (Intellectual Property) 코어로구성된시스템을단일칩으로구현하는 System-on-a-chip (SoC) 설계가가능해졌고복잡한디지털회로를설계시재사용가능한 IP 코어를사용함으로써설계시간은단축되었다. 그러나 SoC 의복잡도가점점높아지면서지연으로인한고장의문제가많이발생하였고더이상나노미터공정으로제조되는직접회로를전통적인고착고장에대해서만테스트하는것은칩의높은수준의질을보장할수없게되었다 [1]. 오늘날, 높은수준의테스트결과를성취하기위해 at-speed 테스트는의무적인요구사항이되었다. 과거, at-speed 테스트를위하여기능테스트방법을사용하였고이방법은전경우테스트를해야하기때문에비효율적인테스트시간소모및테스트개발비용을가중시켰다. 그로인하여, 구조가점점복잡해지고커지는 SoC 의지연고장테스트를위해실용적인테스트방법에대한필요성이대두되었고스캔기반구조적지연테스트방법이나오게되었다. 스캔기반구조적지연테스트방법은내부회로에대한관측및조절용이도를향상시켰다. 스캔기반구조적지연테스트는회로내의신호값의천이를발생시키기위한두개의테스트패턴을요구하게된다. 두개의테스트패턴을회로에코어클럭의주기에맞추어연속적으로인가하여지연고장에대한테스트를하게된다. 지연고장은회로내신호진행시간이모델링된지연시간보다늦어지게되어발생하는고장으로칩제조과정에서발생한다. 이런스캔기반으로한지연고장에대한테스트는그림 1 과같이 Launch-on-Shift (LOS) 와 Launch-on-Capture (LOC) 방식을기반으로한다 [2-4]. LOS 방식을이용하여 LOC 방식보다적은패턴수를사용하여높은 fault coverage 를얻을수 (b) Launch-on-Capture 그림 1. Launch-on-Shift 와 Launch-on-Capture 방식의타이밍도 또한기존의연구는래퍼를사용하지않는스캔테스트가주를이루었는데 25 년에는 SoC 의내장된 IP 코어의테스트의어려움을해결하기위해임베디드코어 (embedded core) 테스트인터페이스표준인 IEEE 15 이완성되었다 [5]. 논문 [6] 에서는 IEEE 15 표준을이용하여입력과출력에대한래퍼셀구조를변경하고오실레이션테스트방법을이용하여지연고장테스트를수행하는방법을제안하였다. 논문 [7] 에서는 broadside 방식을이용한지연고장테스트를수행하기위하여 IEEE 15 개선된래퍼셀구조를제안하였다. 논문 [8-1] 에서는 combinational 회로에두개의연속적인테스트패턴을인가하기위한 2 개의비트를저장할수있는개선된스캔 (enhanced scan) 셀구조를제안하였는데이러한개선된스캔셀구조를이용함으로써보다높은 fault coverage 를얻을수있다. 본논문에서는 fault coverage 를높이기위해개선된 IEEE 15 래퍼셀구조와스캔셀구조를이용하여 IEEE 의 TAP 제어기를통하여제어가가능한 DFT 방법을제시하였다. 제시된구조에는스캔기반지연고장테스트뿐만아니라 Primary Input 값의천이에따른지연고장테스트를가능한클럭생성기를포함하고있으며, TAP 포트신호 (TCK, TS, TDI, TDO, TRST) 를이용하여테스트를하기때문에보다적은테스트핀수로인한테스트가가능하면서도보다높은 fault coverage 를달성할수있다.

2 II. Fault Coverage 높이기위한 Test echanism 한개의플립 - 플롭으로구성된스캔셀을사용하였다. 1. Enhanced IEEE 15 Wrapper Cell IEEE 15 래퍼는크게래퍼경계레지스터 (Wrapper Boundary Register (WBR), 래퍼바이패스레지스터 (Wrapper Bypass Register(WBY)), 래퍼명령레지스터로 (Wrapper Instruction Register(WIR) 구성되어있다. 그중래퍼경계레지스터에대한기존연구에서는테스트기능및면적오버헤드를고려한한개의플립-플롭으로구성된래퍼가제시되었다 [5]. 그러나한개의플립-플롭으로구성된래퍼는 IEEE 15 표준에서제시하고있는천이지연고장테스트를지원하는 Transfer 이벤트를수행할수없다. 본논문에서는 Primary Input 값에대한천이지연고장테스트를지원하기위해논문 [7] 에서제시한개선된래퍼셀을사용하였다. 사용된래퍼입력셀구조는그림2-(a) 와같으며지연테스트를위한 UX-2의제어신호 TPE_WC 를 1 로세팅하여두개의테스트패턴을인가할수있다. 지연테스트외에필수테스트명령어 WS_BYPSS, WS_EXTEST, Wx_INTEST 등의단일패턴을인가시 TPE_WC를 으로세팅하여하나의플립-플롭만거치게함으로써입력시간을절약할수있다. 그림2-(b) 는래퍼출력셀로래퍼입력셀과달리지연테스트를위한투패턴인가시 Launch 된값에대한결과값하나만을 Capture 하면되므로한개의플립- 플롭으로이루어져있으며면적오버헤드를줄였다 [5]. 그림 3. ultiplexer 기반스캔플립 - 플롭 본논문에서는그림 4 와같은두개의플립 - 플롭으로구성된개선된스캔셀을사용하였다 [8-1]. 기존에제시된개선된스캔셀은지연테스트시 LOC 방법을사용하면서 combinational 회로를거친결과값이아닌독립적으로두개의패턴을인가하여지연테스트가가능하기때문에보다높은 fault coverage 를얻을수있다. 지연테스트시두개의패턴을인가하기위한 UX-2 의제어신호의 TPE_SCAN 를 1 로세팅함으로써가능하고그외의테스트및 Normal 동작시 TPE_SCAN 를 으로세팅함으로써한개의플립 - 플롭만지나가도록경로를설정할수있다. WCI CFI SE TPE_WC CTI 1 2 D Q D Q 1 1 TP ux FF1 FF2 CLK 3 (a) 개선된래퍼입력셀 (Enhanced Wrapper Input Cell (EWIC)) 1 CFO CTO 그림 4. 개선된스캔셀 3. ultiplexer Control Signal 제시된래퍼셀및스캔셀의 UX 제어신호는표 1 과같이정리할수있다. 스캔인에이블을가리키는 UX 제어신호 SE 는 Shift 시에 1 로세팅하고 Combinational 회로를거쳐나온결과값을 capture 시에는 으로세팅되어야한다. 표 1. Test ode 및 Normal ode 에대한 UX 제어값 ode WCI WCO TPE _SCAN TPE _WC Internal Test (One pattern) 1 Delay Scan Chain 1 1 Test Primary Input 1 1 External Test 1 Bypass X X X X (b) 래퍼출력셀 (Wrapper Output Cell (WOC)) 그림 2. 래퍼경계셀 (WBC) 2. Enhanced Scan Cell IEEE 15 표준안에서는스캔기반지연테스트를지원한다. 전통적인스캔기반테스트는그림 3 과같은 Normal ode WBC 와스캔셀을제어하기위해서는 UX 제어신호는생성로직이필요하다. 그림 5 는래퍼셀및스캔셀을제어하기위한 UX 제어신호생성로직으로써, SE 는 Wrapper Serial Control (WSC) 신호중 ShiftWR 이 1 로세팅되고 SelectWIR 으로세팅되었을때 1 로세팅되는 ShftDR 신호를직접연결한다.

3 TPE_SCAN 및 TPE_WC 는지연테스트시두개의패턴을인가하기위한 UX 제어신호로 WIR Instruction 에따라 DELAYTEST_SCAN 및 DELAYTEST_PI 값이결정되어위두개의 UX 제어신호에직접연결된다. WCI 와 WCO 는 IEEE 15 에서정의되어있는 IO_FACE 를신호를사용함으로써쉽게구현할수있다. ODE 및 IO_FACE 신호도 WIR Instruction 에따라결정되는값으로 ODE 값은테스트모드시에는 1 로세팅되고 IO_FACE 는내부테스트 (internal test) 또는외부테스트 (external test, 코어간연결성테스트 ) 에따라각각 1 또는 값을가지게된다. 입력 WBR 의테스트클럭을 IWRTCLK, 출력 WBR 의테스트클럭을 OWRTCLK, 스캔체인의클럭을 STLCK 이라고하자. 지연테스트를하는경우출력 WBR 와스캔셀은 SE 가 1 인경우에는 Shift 를수행하고 SE 가 인경우에는 Combinational 회로를거쳐나온결과값을한번의 Capture 를수행해야한다. 그림 7 은 Normal 모드뿐만아니라회로를테스트시스캔체인, 입력 WBR, 그리고출력 WBR 에대한클럭의경로를생성해주는회로이다. 특히, 지연테스트에따라 DELAYTEST_PI, DELAYTEST_SCAN 에의해제어되는 UX 를두어모드에따라코어클럭속도로 Capture 만할지 Launch 와 Capture 를연속으로할지결정해준다. 그림 5. UX 제어로직 4. At-Speed Test Clock Generator 본논문에서제시하고있는구조는기존의 Stuck-atfault 테스트뿐만아니라코어클럭을이용하여스캔기반지연테스트및래퍼를이용하여 Primary Input 값에대한천이지연테스트가가능하다. 그림 6 은래퍼셀에서천이가발생한경우 a 와스캔체인에서천이가발생하는경우 b 를도식화하였다. a 와 b 는동시에발생할수있고두곳중한곳에서만발생할수있다. a 가발생하는경우 DELAYTEST_PI, b 가발생하는경우 DELAYTEST_SCAN 명령어를추가하여 1, 2, 3 그리고 4 의경로를통해결과값을 Capture 할수있다. 이장에서는지연테스트를위한테스트클럭생성기와수행방법을제시한다. 그림 7. 테스트클럭생성회로 본논문은전통적인지연테스트방법인 Launchon-Capture 방식을사용하기때문에스캔체인은 SE 가 인구간에서 Launch 와 Capture 를수행해야한다. 논문 [11] 은클럭게이팅셀 (clock gating cell(cgc)) 을이용해서글리치없이 atspeed Launch 와 Capture 펄스를생성하는회로를제시하고있다. 그림 8 은 WSC 신호중 CaptureWR, UpdateWR 를이용하여생성되는 CapDR, UpDR 를이용 CGC 의입력신호 en, capen 를생성하여 atspeed Launch-Capture 클럭 (LCCLK) 과 Capture 클럭 (CapCLK) 을생성해주는회로이다. CapDR UpDR CoreCLK LCCLK Gen. State achine en capen Clock Gating Cell Clock Gating Cell (a) LCCLK & CapCLK Generator LCCLK CapCLK 그림 6. 지연테스트경로및결과값 Capture 경로 (b) Timing Diagram 그림 8. At-Speed LCCLK & CapCLK Generator

4 최종적으로, 지연테스트시래퍼셀과스캔셀은 SE 가 1 일때 shift, SE 가 일때 at-speed LCCLK 또는 at-speed CapCLK 를수행해야한다. 그림 9 는그림 8 의 LCCLK 와 CapCLK 를이용하여지연테스트모드에따라사용되는 DTLC, DTCap 를생성해주는회로이다. 스캔기반지연테스트, 래퍼셀의천이에따른지연테스트그리고두셀에서모두천이가발생했을때의지연테스트가가능하다. 봤듯이 Fault Coverage 가매우낮았음을볼수있었다. 그러나제안한방법은스캔기반뿐만아니라동시에 Primary Input 값의천이에대한지연테스트를수행한경우이기때문에일대일비교는어렵다. 그리고 Primary Input 값에대해서만지연테스트를했을경우평균테스트패턴수는평균 83 개로동시에지연테스트가가능한제안한방법보다패턴수가오히려많다는것을볼수있다 표 2. Fault Coverage 비교 ISCA89 LOC LOS Two Pattern PI PI,SI (LOC) Proposed s % 52.93% 98.11% 98.47% 96.25% s % 54.48% 96.2% 58.42% 96.49% 그림 9. At-Speed Delay Test Clock Generator s % 97.74% 96.98% 89.7% 99.72% s % 72.98% 96.15% 68.12% 98.82% Ⅲ. 실험 본논문에서제안하고있는인터페이스회로를이용하여개선된래퍼셀및스캔셀을통해 ISCAS89 벤치마크회로를이용지연테스트가수행될수있음을시뮬레이션을통하여확인하고, Synopsys ATPG tool Tetraax 를이용하여 ISCAS89 벤치마크회로에대한 fault coverage 및패턴수를비교한다. 테스트제어는 IEEE TAP 제어기를통하여이루어지고, 테스트클럭 (TCK) 는 5Hz, Core CLK 은 125Hz 를인가하였다. 그림 1 은래퍼셀과스캔셀에대해모두천이가발생했을때의입력래퍼셀과스캔체인에대해 at-speed Launch-Capture 가동시에잘수행됨을보여주고있다. 실험은한개의플립 - 플롭을가지고있을때의스캔기반테스트방법 LOC, LOS 를수행하였고 Primary Input 값에대한천이가발생했을때와동시에발생했을때지연테스트를수행하였다. 표 2 는 ISCAS89 회로에대한 Fault Coverage 비교표로스캔기반으로만테스트했을경우 Fault Coverage 는각각평균 65.36%, 84.4% 로낮은수치를보임을알수있다. 그에비해본논문에서제시한방법을이용하면구현하기쉬운 LOC 방법을사용하면서 Fault Coverage 가평균 98.86% 높은수치를보임을알수있다. 표 3 은각지연테스트에대한패턴수를비교한표이다. 전통적인스캔기반테스트만을했을경우패턴수가각각평균 18, 71 개로제안한방법보다는적지만표 2 에서 s % 95.72% 98.8% 86.5% 99.67% s % 89.71% 99.74% 84.84% 99.29% s % 89.31% 99.7% 82.5% 99.43% s % 98.11% 99.93% 83.31% 99.46% s % 98.77% 99.24% 97.35% 99.9% s % 9.64% 99.32% 79.89% 99.58% average 65.36% 84.4% 98.42% 82.87% 98.86% 표 3. Test 패턴수비교 ISCA89 LOC LOS Two Pattern PI PI,SI (LOC) Proposed s s s s s s s s s s average 그림 1. 지연고장테스트시뮬레이션결과

5 Ⅳ. 결론 본논문에서는개선된 IEEE 15 래퍼셀과스캔셀을사용하여 fault coverage 를높일수있는 atspeed SoC 지연고장테스트가가능한회로를제시하였다. IEEE 에서제시하는 TAP 만을이용하여테스트를제어함으로써보다적은핀수로쉽게접근이가능하고, IEEE 15 에서정의하고있는필수테스트명령을수행할수있는구조를가지고있다. 또한, at-speed Launch-Capture, Capture 클럭을생성회로를구현하여스캔기반지연테스트뿐만아니라래퍼셀을통해지연테스트가가능하도록하였고두개의패턴으로지연테스트시기존의방법과달리자신이원하는패턴을인가할수있는구조이기때문에구현하기쉬운 LOC 방법을사용하면서도기존의방법들보다높은테스트질을높일수있다. Path-Delay Test and easurement, Proc. ITC, 1991, pp [11]. Beck, O. Barondeau,. Kaibel, F. Poehl, L.ub Xijiang and R. Press, Logic Design for On-Chip Test Clock Generation? Implementation Details and Impact on Delay Test Quality, Proceedings of the Design, Automation and Test in Europe, 25. Acknowledgement 본연구보고서는정보통신부의출연금등으로수행한정보통신연구개발사업의연구결과입니다. 참고문헌 [1] R. Wilson, Delay-Fault Testing andatory, Author Claims, EE Design, Dec. 22. [2] J. Savir, "Skewed-Load Transition Test: Part I, Calculus", in Proc. International Test Conference, 1992, pp.75. [3] S. Patil and J. Savir, "Skewed-Load Transition Test: Part II, Coverage", in Proc. International Test Conference, 1992, pp.714. [4] J. Savir and S. Patil, "On broad-side delay test", Very Large Scale Integration (VLSI) Systems, vol. 2, 1994, pp.368. [5] IEEE Computer Society, "IEEE Standard Testability ethod for Embedded Core-based Integrated Circuits," Aug. 25. [6] H. J. Vermaak and H.G. Kerkhoff, "Enhanced P15 Compliant Wrapper suitable for Delay Fault Testing of Embedded Cores," Proceedings of the Eighth IEEE European Test Workshop, 23. [7] Qiang Xu, Nicola Nicolici, "DFT Infrastructure for Broadside Two-Pattern Test of Core- Based SOCs," IEEE Transactions on Computers, Vol. 55, No. 4, April 26. [8] Y. K. alaiya and R. Narayanaswamy, "Testing for Timing Faults in Synchronous Sequential Circuits", Proceedings 1983 International Test Conference, pp [9] C. T. Glover and. R. ercer, A ethod of Delay Fault Test Generation, Proc. DAC, 1988, pp [1] B. I. Dervisoglu and G. E. Stong, Design for Testability: Using Scanpath Techniques for

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 114 IEEE 1500 표준기반의효율적인프로그램가능한메모리 BIST 박영규외 논문 2013-50-2-14 IEEE 1500 표준기반의 효율적인프로그램가능한메모리 BIST ( IEEE std. 1500 based an Efficient Programmable Memory BIST ) 박영규 *, 최인혁 *, 강성호 ** * (Youngkyu Park, Inhyuk

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S TECHNICAL FEATURE Beginner Corner Boundary Scan Design(JTAG) 반도체제조공정을통하여반도체가생성되면불량제품을가려내는테스트과정이필요하다. 0.35um 이하의공정으로수십 ~ 수백만게이트가집적된반도체 VLSI 제품을테스트하는작업이그리간단한일은아니다. 따라서반도체분야에서항상이슈가되는것이바로 TEST 항목인데, 이글을통하여

More information

<303720C1A4C1D8B8F02D536F4320B3BBC0C720C8BFC0B2C0FBC0CE BCB3B0E82E687770>

<303720C1A4C1D8B8F02D536F4320B3BBC0C720C8BFC0B2C0FBC0CE BCB3B0E82E687770> 한국산학기술학회논문지 Vol. 10, No. 6, pp. 1191-1195, 2009 정준모 1* 1 국립군산대학교전자공학과 Efficient Test Wrapper Design in SoC Jun-Mo Jung 1* 1 Dept. of Electronic Engineering, Kunsan National University 요약본논문에서는스캔체인의레이아웃거리를고려한효율적인

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2010 년 8 월전자공학회논문지제 47 권 SD 편제 8 호 29 논문 2010-47SD-8-5 내장된자체테스트를위한저전력테스트패턴생성기구조 (An Efficient Test Pattern Generator for Low Power BIST ) 김기철 *, 강성호 ** * (Kicheol Kim and Sungho Kang ) 요 약 본논문에서는내장된자체테스트기법

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

SchoolNet튜토리얼.PDF

SchoolNet튜토리얼.PDF Interoperability :,, Reusability: : Manageability : Accessibility :, LMS Durability : (Specifications), AICC (Aviation Industry CBT Committee) : 1988, /, LMS IMS : 1997EduCom NLII,,,,, ARIADNE (Alliance

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074>

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074> 아날로그및파워 IC 워크샵 저전력아날로그 IC 설계기술 서강대학교전자공학과안길초 Contents 2 1 2 Introduction Low-Power Design Techniques 3 Conclusions 1. Introduction 3 Why Low-Power? (1) 4 Increasing demand for mobile applications Longer

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

Microsoft PowerPoint - ch11_reg.pptx

Microsoft PowerPoint - ch11_reg.pptx 11 장레지스터 레지스터 (egister) 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770>

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770> 한국지능시스템학회 논문지 2010, Vol. 20, No. 3, pp. 375-379 유전자 알고리즘을 이용한 강인한 Support vector machine 설계 Design of Robust Support Vector Machine Using Genetic Algorithm 이희성 홍성준 이병윤 김은태 * Heesung Lee, Sungjun Hong,

More information

08김현휘_ok.hwp

08김현휘_ok.hwp (Regular Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.369 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) An Audio Coding Technique Employing the Inter-channel

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jul.; 27(7), 625634. http://dx.doi.org/10.5515/kjkiees.2016.27.7.625 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Near-Field

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 07-32-4-09 한국통신학회논문지 07-4 Vol. 32 No. 4 매칭에기반한발전된고장진단방법 정회원임요섭 *, 강성호 ** Matching-based Advanced Integrated Diagnosis Method Yoseop Lim*, Sungho Kang** Regular Members 요 약 본논문에서는효율적인다중고착고장진단알고리듬을제안하겠다.

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 901 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 (A Effective Sinkhole Attack Detection Mechanism for LQI based Routing in WSN) 최병구 조응준 (Byung

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 26 년 4 월전자공학회논문지제 43 권 SD 편제 4 호 49 논문 26-43SD-4-7 효율적인고장진단을위한딕셔너리구조개발 (A New Dictionary Mechanism for Efficient Fault Diagnosis ) 김상욱 *, 김용준 **, 전성훈 **, 강성호 ** ( Sangwook Kim, Yongjoon Kim, Sunghoon Chun,

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

878 Yu Kim, Dongjae Kim 지막 용량수준까지도 멈춤 규칙이 만족되지 않아 시행이 종료되지 않는 경우에는 MTD의 추정이 불가 능하다는 단점이 있다. 최근 이 SM방법의 단점을 보완하기 위해 O Quigley 등 (1990)이 제안한 CRM(Continu

878 Yu Kim, Dongjae Kim 지막 용량수준까지도 멈춤 규칙이 만족되지 않아 시행이 종료되지 않는 경우에는 MTD의 추정이 불가 능하다는 단점이 있다. 최근 이 SM방법의 단점을 보완하기 위해 O Quigley 등 (1990)이 제안한 CRM(Continu 한 국 통 계 학 회 논 문 집 2012, 19권, 6호, 877 884 DOI: http://dx.doi.org/10.5351/ckss.2012.19.6.877 Maximum Tolerated Dose Estimation Applied Biased Coin Design in a Phase Ⅰ Clinical Trial Yu Kim a, Dongjae Kim

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

I

I I II III (C B ) (C L ) (HL) Min c ij x ij f i y i i H j H i H s.t. y i 1, k K, i W k C B C L p (HL) x ij y i, i H, k K i, j W k x ij y i {0,1}, i, j H. K W k k H K i i f i i d ij i j r ij i j c ij r ij

More information

Service-Oriented Architecture Copyright Tmax Soft 2005

Service-Oriented Architecture Copyright Tmax Soft 2005 Service-Oriented Architecture Copyright Tmax Soft 2005 Service-Oriented Architecture Copyright Tmax Soft 2005 Monolithic Architecture Reusable Services New Service Service Consumer Wrapped Service Composite

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 RecurDyn 의 Co-simulation 와 하드웨어인터페이스적용 2016.11.16 User day 김진수, 서준원 펑션베이솔루션그룹 Index 1. Co-simulation 이란? Interface 방식 Co-simulation 개념 2. RecurDyn 과 Co-simulation 이가능한분야별소프트웨어 Dynamics과 Control 1) RecurDyn

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 876 884. http://dx.doi.org/10.5515/kjkiees.2015.26.10.876 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information

PowerPoint Presentation

PowerPoint Presentation Korea Tech Conference 2005 년 5 월 14 일, 서울 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 1 Parallel port 를이용한가전제품 제어 임효준 LG 전자 imhyo@lge.com 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 2

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드] VHL 프로그래밍 10. 논리합성및설계기법 한동일 학습목표 VHL 을이용한시스템구현과정을이해한다. 논리합성이가능한 RTL 코드의개념을이해한다. ASIC 제작과정을이해한다. FPGA 제작과정을이해한다. RTL 시뮬레이션과정을이해한다. 논리합성이되는구문과되지않는구문을파악한다. 좋은 VHL 코딩스타일을따른다. 준안정상태의개념을이해한다. 비동기신호인터페이스를구현할수있다.

More information

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로 11 장레지스터 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부 2

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

<30352DB9E9C1BEC8A32E687770>

<30352DB9E9C1BEC8A32E687770> ISSN 1598-0170 (Print) ISSN 2287-1136 (Online) http://www.jksii.or.kr 임베디드 소프트웨어의 인터페이스 결함허용성 향상 기법 A Method for Improving Interface Fault Tolerance in the Embedded Software 최 인 화 1 백 종 호 2* 황 준 2 In Hwa

More information

???? 1

???? 1 The Korean Journal of Applied Statistics (2014) 27(1), 13 20 DOI: http://dx.doi.org/10.5351/kjas.2014.27.1.013 Maximum Tolerated Dose Estimation by Stopping Rule and SM3 Design in a Phase I Clinical Trial

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

232 도시행정학보 제25집 제4호 I. 서 론 1. 연구의 배경 및 목적 사회가 다원화될수록 다양성과 복합성의 요소는 증가하게 된다. 도시의 발달은 사회의 다원 화와 밀접하게 관련되어 있기 때문에 현대화된 도시는 경제, 사회, 정치 등이 복합적으로 연 계되어 있어 특

232 도시행정학보 제25집 제4호 I. 서 론 1. 연구의 배경 및 목적 사회가 다원화될수록 다양성과 복합성의 요소는 증가하게 된다. 도시의 발달은 사회의 다원 화와 밀접하게 관련되어 있기 때문에 현대화된 도시는 경제, 사회, 정치 등이 복합적으로 연 계되어 있어 특 한국도시행정학회 도시행정학보 제25집 제4호 2012. 12 : pp.231~251 생활지향형 요소의 근린주거공간 분포특성 연구: 경기도 시 군을 중심으로* Spatial Distribution of Daily Life-Oriented Features in the Neighborhood: Focused on Municipalities of Gyeonggi Province

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

DIY 챗봇 - LangCon

DIY 챗봇 - LangCon without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

APICS 컨퍼런스 수정 2

APICS 컨퍼런스 수정 2 2010 APICS International Conference APICS International 20101017 1024 Excellence in the New Normal 2 Global Supply Chain Specifics Global Supply Chain Principles Hot Topics in SCM Planning and Control

More information

목 차 요약문 I Ⅰ. 연구개요 1 Ⅱ. 특허검색 DB 및시스템조사 5

목 차 요약문 I Ⅰ. 연구개요 1 Ⅱ. 특허검색 DB 및시스템조사 5 2014 특허청정책연구결과보고서 발간등록번호 11-1430000-001369-01 ISBN 978-89-6199-792-8-13500 ᅦ 특허검색고도화를위한 검색시스템및검색기법연구 A Study on the Retrieval Systems and Techniques for Enhancing Patent Search 목 차 요약문 I Ⅰ. 연구개요 1 Ⅱ. 특허검색

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

Switching

Switching Switching 강의의목표 Switching/Switching Network의필요성을이해한다. 세가지대표적교환기술에열거하고그차이를설명할수있다. 각교환기술의장, 단점을비교하여설명할수있다. Packet Switching 에서 Fairness 문제와 Pipelining 을 패킷크기와연계하여설명할수있다. Soft Switch 개념을이해하고설명할수있다. 교재 Chapter

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

14.531~539(08-037).fm

14.531~539(08-037).fm G Journal of the Korea Concrete Institute Vol. 20, No. 4, pp. 531~539, August, 2008 š x y w m š gj p { sƒ z 1) * 1) w w Evaluation of Flexural Strength for Normal and High Strength Concrete with Hooked

More information

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770>

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770> 북한의 주요 농업 관련 법령 해설 1) 이번 호와 다음 호에서는 북한의 주요 농업 관련 법령을 소개하려 한다. 북한의 협동농장은 농업협동조합기준규약초안 과 농장법 에 잘 규정되어 있다. 북한 사회주의 농업정책은 사회 주의농촌문제 테제 2), 농업법, 산림법 등을 통해 엿볼 수 있다. 국가계획과 농업부문의 관 계, 농산물의 공급에 관해서는 인민경제계획법, 사회주의상업법,

More information

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 (1920~1945 ) 1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 1918 4 1930 1933 1 932 70 8 0 1938 1923 3 1 3 1 1923 3 1920 1926 1930 3 70 71

More information

005- 4¿ùc03ÖÁ¾š

005- 4¿ùc03ÖÁ¾š 210 212 213 214 215 218 219 223 224 226 4 228 229 230 231 232 233 236 238 240 241 244 245 _ April 1 210 1946 1970 211 _ April 212 1946 1970 _ April 4 213 _ April 3. 3 214 1946 1970 _ April 5 215 216 1946

More information

종합물가정보 2016년 4월호

종합물가정보 2016년 4월호 April 21 26 28 30 34 38 40 42 46 53 54 56 58 60 61 61 62 62 63 64 66 69 397 523 617 695 875 929 959 1 19 157 069 070 071 071 072 072 073 074 075 075 076 077 078 079 080 081 082 083 084 084 085 086 088

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

PART 8 12 16 21 25 28

PART 8 12 16 21 25 28 PART 8 12 16 21 25 28 PART 34 38 43 46 51 55 60 64 PART 70 75 79 84 89 94 99 104 PART 110 115 120 124 129 134 139 144 PART 150 155 159 PART 8 1 9 10 11 12 2 13 14 15 16 3 17 18 19 20 21 4 22 23 24 25 5

More information

歯기구학

歯기구학 1 1.1,,.,. (solid mechanics)., (kinematics), (statics), (kinetics). ( d y n a m i c s ).,,. ( m e c h a n i s m ). ( l i n k a g e ) ( 1.1 ), (pin joint) (revolute joint) (prismatic joint) ( 1.2 ) (open

More information

04 김영규.hwp

04 김영규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1121 1127. http://dx.doi.org/1.5515/kjkiees.214.25.11.1121 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Planar

More information