<303720C1A4C1D8B8F02D536F4320B3BBC0C720C8BFC0B2C0FBC0CE BCB3B0E82E687770>

Size: px
Start display at page:

Download "<303720C1A4C1D8B8F02D536F4320B3BBC0C720C8BFC0B2C0FBC0CE BCB3B0E82E687770>"

Transcription

1 한국산학기술학회논문지 Vol. 10, No. 6, pp , 2009 정준모 1* 1 국립군산대학교전자공학과 Efficient Test Wrapper Design in SoC Jun-Mo Jung 1* 1 Dept. of Electronic Engineering, Kunsan National University 요약본논문에서는스캔체인의레이아웃거리를고려한효율적인 Test Wrapper 설계방식을제안한다. SoC내의스캔체인들을테스트하기위해서는외부 TAM 라인 (line) 에각스캔체인들을할당해야한다. IP 내에존재하는스캔체인들은정상모드에서는타이밍위반 (Timing Violation) 이발생하지않도록레이아웃이되지만, 테스트모드에서는 TAM 라인 (line) 과연결되는스캔체인들간에부가적인레이아웃거리를갖게되므로스캔체인에서타이밍위반이발생될수있다. 본논문에서는타이밍위반이발생하지않도록체인간레이아웃거리를고려하여스캔체인을할당하는새로운 test wrapper 설계방식을제안하였다. Abstract We present the efficient test wrapper design methodology considering the layout distance of scan chain. To test the scan chains in SoC, the scan chains must be assigned to external TAM(Test Access Mechanism) lines. The scan chains in IP were placed and routed without any timing violation at normal mode. However, in test mode, the scan chains have the additional layout distance after assignment, which can cause the timing violation of flip-flops in scan chains. This paper proposes a new test wrapper design considering layout distance of scan chains with timing violation free. Key Words : SoC, Test Wrapper, Layout Distance 1. 서론 최근개발되는 SoC(System-On-a-Chip) 들의집적도가높아지고각 IP(Intellectual Property) 에접근하기가어려워지고있기때문에테스트시간이점점길어지고있다. 이에따라 SoC 내의각 IP에효율적으로접근하기위한테스트기법들이활발히연구되고있으며표준화그룹인 IEEE P1500 등에서현재개발및표준화작업을진행하고있다 [1]. SoC내의 IP 코어로직접접근이가능하도록해주는 Wrapper는 TAM (Test Access Mechanism) 과 IP 를연결해주는핵심요소이다. 코어내부의스캔체인과코어의입출력터미널의균형있는연결을위한연구가진행되어왔다. Wrapper 설계시에고려되어야할것은전체테스트 시간과테스트면적오버헤드이다. 한정된개수의 TAM 라인 (line) 에스캔체인들을연결하는알고리즘에서는테스트시간을최적화하는테스트스케쥴링연구가진행되었다. TAM 라인의최장테스트시간이최소화되도록스캔체인을할당하는문제는 NP-Hard 한문제로분류되며, 대표적알고리즘은 LPT(Largest Processing Time) 기법이다. 이기법은체인의길이를기준으로체인들을내림차순으로정렬시킨후에, 각 TAM 라인에차례대로체인들을할당하는방법이다 [2]. 이 LPT 알고리즘을보다향상시킨방법으로 MULTIFIT 기법이제안되었으며 [3], MULTIFIT 방법과 LPT 알고리즘을조합한 Combine 기법이제안되었다 [4]. Combine 기법은 LPT에서구해진최장테스트시간을이용하여한계치를설정한후에, 이것을초과하지않는범 본연구는군산대학교정보통신기술연구소의부분적인지원으로수행되었음. * 교신저자 : 정준모 (jmjung@kunsan.ac.kr) 접수일 09 년 02 월 23 일수정일 (1 차 09 년 05 월 14 일, 2 차 09 년 06 월 13 일 ) 게재확정일 09 년 06 월 17 일 1191

2 한국산학기술학회논문지제 10 권제 6 호, 2009 위내에서동일한 TAM 라인에지속적으로스캔체인을할당하는기법이다. 또한, 테스트시간과면적오버헤드를동시에최적화하는새로운기법이제안되었다 [5]. 이논문에서는 LPT 함수를수행한후, 무조건 FFD 함수를수행하게함으로써보다향상된결과를보여준다. 본논문에서는스캔체인간레이아웃정보를이용하여타이밍위반 (Timinig Violation) 이없는효율적인 TAM 할당알고리즘을제안한다. 정상적인기능적모드에서는코어에내장된스캔체인들이배치 (Placement) 및라우팅 (Routing), 즉레이아웃 (Layout) 되면타이밍위반이발생하지않는다. 왜냐하면레이아웃하는동안타이밍위반에대한고려를하기때문이다. 그러나테스트모드로전환되어체인들을 TAM 라인에할당하게되면체인간에새로운신호지연시간 (delay time) 이추가로발생하게되고, 지연시간이시스템클럭의주기를넘어가면플립플롭의셋업 (setup) 및홀드 (Hold) 타임위반 (violation) 이발생할수있다. SoC의집적도가높아짐에따라거리로인한시간지연이상대적으로길어지게되고, 시스템클럭의주파수가높아지면이런현상이발생할가능성이점점높아지게된다. 본논문에서는기존의알고리즘에타이밍위반조건을추가하는새로운테스트 Wrapper 설계방법을제안한다. 본논문의구성은다음과같다. 2장에서는 SoC 테스트의구성요소및이전의연구기법들에대하여기술하였으며 3장에서는레이아웃거리를고려한효율적인 TAM 라인할당알고리즘을기술하였고 4장은실험및결과, 5장에서는결론을기술하였다. 2. SoC 테스트의구성요소및이전의연구방법 SoC 테스트의 3가지필수구성요소는테스트패턴소스 (Source) 와싱크 (Sink), 테스트접근장치 (TAM) 및 Wrapper 이다. 소스에서는코어의테스트패턴을생성하며싱크는테스트응답과정상응답을비교한다. 테스트접근장치는 IP 코어의터미널과 TAM 라인을연결해주는스위치의기능을한다. TAM 라인의수와 IP 코어의터미널수는다를수있으며, 한개의 TAM 라인에포함된스캔체인들은순차적으로테스트된다. 그림 1은스캔체인 3개를 2개의 TAM 라인에연결하여구성한예제를나타낸것이다. 각체인의길이는 14, 6 및 5개이다 FFs IP Core Logic 5 FFs Core in/out 6 FFs Test Wrapper [ 그림 1] Test Wrapper 설계예 정상기능모드 (Functional Mode) 에서는각각의체인들이독립적인기능으로동작을하며, 테스트모드 (Test Mode) 에서는외부테스트장비와연결되는 TAM 라인에체인들이할당된다. TAM 라인을통하여테스트입력을인가하거나테스트응답을출력하게된다. 그림 1에나타난바와같이 TAM 라인은 2개 (1,2) 가존재한다. TAM 라인 1은 14개의플립플롭 (F/F) 들로구성된스캔체인으로구성되고 wrapper cell 1개와연결되어총 15개로연결된다. TAM 라인 2는 5개와 6개플립플롭들로구성된스캔체인을포함하고 wrapper cell 3개를거치므로총 14 개의플립플롭으로연결된다. 1과 2는별도의 TAM 라인이므로각 TAM 라인별로유사한시간 ( 플립플롭 14개혹은 15개 ) 으로테스트가병렬로수행될수있다. 이와같이일반적으로테스트 Wrapper 설계기법은테스트시간이최적으로스케쥴링되도록 TAM 라인에스캔체인을할당한다. 2.1 LPT, FFD, Combine 알고리즘 LPT 알고리즘은 y개의스캔체인을 m개의 TAM 라인에효율적으로할당하는알고리즘이다. 모든스캔체인을체인길이의내림차순으로정렬하고, 우선 m개의스캔체인을각 TAM 라인에한개씩할당하며, 나머지스캔체인은가장길이가작은 TAM 라인에할당한다. 이와같은순서대로모든스캔체인을할당한다. 리턴값은 TAM 라인의최대길이다. 1192

3 1) LPT 알고리즘 // m: TAM 라인의갯수 // y: scan 체인의갯수 // L(S i): 스캔체인 Si의길이 // P i: TAM 라인 sort S i such that L(S 1)> = L( S 2)- - L( S y); for(i=1; i<=m; i++) P i = S i; for(i=m+1; i <= y; i++) select k {j L(P j) = m in 1 x m L(P x)} ; P k = P k S i; return max 1 x m L(P x); 2 ) FFD( C) 알고리즘 // C: 한계치 sort S i such that L(S 1)> = L( S 2)--L(S y); j=1; for(i=1; i <= y; i++) while(1) if((l(p j) + L( S i)) <= C) P j = P j S i; continue; else j++; return max {j P j 0}; 이아웃을하게된다. 하지만테스트모드에서는스캔체인들이 TAM 라인에할당되며, 이때새로운레이아웃환경이생성된다. 스캔체인들이체인들과서로연결되면서부가적인레이아웃거리를갖게된다. 이부가적인레이아웃거리로인하여신호들의지연시간이길어지게되며, 스캔체인들을 TAM 라인에할당할때체인간의레이아웃거리를고려하지않는경우에는, 레이아웃거리로인한타이밍위반이발생할수있다. 기존의 TAM 라인할당알고리즘에는이러한문제들이전혀고려되지않고있다. 본논문에서는기존의할당알고리즘에레이아웃거리를적용하여타이밍문제가발생하지않도록효율적으로 Test Wrapper를설계하였다. 그림 3의예제회로에레이아웃거리에대한개념을나타내었다. 스캔체인이 3개 (A,B,C) 가있으며체인A와연결될수있는대상체인이 B와 C가있다고가정하였다. 체인 A 의종단플립플롭과체인 B와 C의첫번째플립플롭이서로연결되며각연결시에레이아웃거리가발생하게된다. 정상기능동작에서는문제없이동작이되어도시스템이테스트모드로변환되어구성되면새로운플립플롭의연결이발생하는것이다. 체인 A t_ AB 체인 B [ 그림 2] 기존알고리즘의 Pseudo Code Combine 기법은 LPT와 FFD 알고리즘을결합하였다. FFD 알고리즘은 TAM 라인의길이와할당될체인길이의합이한계치 C보다작으면해당체인을 TAM 라인에할당하는방식이다. Combine 기법은 LPT 알고리즘으로한계치 C를구한후에, 한계치를이용하여 FFD를수행하는방법이다. 각알고리즘의의사코드를그림 2에나타내었다. 3. 레이아웃거리를고려한효율적인 TAM 라인할당알고리즘 레이아웃거리는회로를 P&R(Placement & Routing) 하게되면발생하게되며, 이로인해신호의지연시간이생기게된다. 이지연시간이시스템클럭의타이밍조건에만족한다면시스템의기능적수행에는문제가없다. 정상동작모드에서는타이밍위반이발생하지않도록레 t_ AC 체인 C [ 그림 3] 레이아웃거리에대한예제회로이예제회로의클럭주기를 T라하고, 체인 A와체인 B, 체인 A와체인 C의레이아웃거리로인해서발생하는시간을각각 t_ AB, t_ AC 라고한다면시스템의타이밍안정성을높이기위해서는 t_ AB, t_ AC 가주기 T보다는작아야한다. 왜냐하면플립플롭간의셋업 (setup)/ 홀드 (Hold) 시간을포함한플립플롭의데이터신호지연시간이시스템클럭의주기보다크면타이밍위반 (timing violation) 을일으키기때문이다. 그림 3의예제와같이임의의스캔체인과연결될수있는대상체인의레이아웃거리를계산한후, 타이밍위 1193

4 한국산학기술학회논문지제 10 권제 6 호, 2009 반이없는대상스캔체인을선택하여 TAM 라인을구성하는새로운알고리즘을제안하였다. 그림 4는제안한알고리즘의 Pseudo Code이다. 연결대상이되는모든스캔체인간의레이아웃거리를추출하고그중에서최소가되는레이아웃거리를구하고타이밍위반이없으면연결대상체인으로선택하게된다. m, y, L(S i), P i 는각각 TAM 라인의갯수, scan 체인의갯수, 스캔체인 S i 의길이및 TAM 라인을의미한다. 먼저, 스캔체인 S i 의길이를기준으로내림차순으로정렬한다 (1). 스캔체인을순서대로 TAM 라인에할당한다 (2). // m: TAM 라인의갯수 // y: scan 체인의갯수 // L(S i): 스캔체인 Si의길이 // P i: TAM 라인 sort S i such that L(S 1)> = L( S 2)- - L( S y); //1 for(i=1; i<=m; i++) //2 P i = S i; for(i=m+1; i <= y; i++) //3 { select k {j L(P j) = m in 1 x m L(P x)} ; //4 select S i with minimum layout distance //5 if(timing violatio!) //6 P k = P k S i; } return max 1 x m L(P x); [ 그림 4] 제안한알고리즘의 Pseudo Code 스캔체인이최소인것을선택하고타이밍위반이있는지조사하고타이밍위반이없으면해당스캔체인을 TAM 라인에할당한다.(456). 4. 실험및결과 제안한알고리즘을예제회로와 ITC 02 SoC 테스트벤치마크회로중 P93791의모듈 6 코어에적용하였다. 예제회로는총 9개의스캔체인으로구성되었으며, 체인의길이가 10인체인이 2개, 길이가 8인체인이 3개, 길이가 4인체인이 2개이고길이가 2인체인도 2개이다. 각체인간상대적인레이아웃거리를변수로주어서처리하였다. 상대적레이아웃거리는시스템클럭을기준으로정규화하였다. 레이아웃거리가클럭의주기보다긴경우 ( 타이밍위반이발생하는경우 ) 를 1, 작은경우를 0 ( 타이밍위반이없는경우 ) 으로하였다. 표 1은예제회로의실험결과이다. 체인조건에입력된 A_B의 A는스캔체인의길이이고 B는 A의스캔체인중 B번째스캔체인을의미한다. 즉 10_1은체인이길이가 10인첫번째스캔체인을의미한다. 10_2와 4_1은레이아웃거리가 1이며또한 8_1과 8_2의레이아웃거리도 1 임을의미하고그외의모든체인간레이아웃거리는 0으로간주하여시뮬레이션하였다. 체인조건 [ 표 1] 예제회로실험결과 상대적레이아웃거리 10_2, 4_1 1 8_1, 8_2 1 기존의알고리즘 10_2, 4_1 연결시실패 8_1, 8_2 연결시실패시 제안된알고리즘 성공 성공 표 1에나타낸바와같이, 기존의알고리즘은대상스캔체인의타이밍위반을고려하지않았기때문에오류가발생하는경우에도무조건체인연결을시도하여타이밍오류를발생시킨다. 제안된알고리즘은연결대상체인의상대적레이아웃거리가 1인경우에는레이아웃거리가 0인다른체인을이용하여 TAM 라인구성을할수가있다. P93791의모듈 6 코어의특성을표 2에나타내었다. 모듈 6 코어는 417개의입력터미널, 324개의출력터미널및 72개의양방향터미널을가지고있으며길이가 500개인스캔체인이 7개, 520의길이를갖는체인이 30 개그리고 521개의길이를갖는체인이 9개를포함하고있다. [ 표 2] P93791 의모듈 6 코어의특성 입출력단자 스캔체인 입력출력양방향길이수 표 3은 ITC 02 SoC 테스트벤치마크회로중 P93791 의모듈 6 코어에적용한실험결과이다. 1194

5 Available TAM line [ 표 3] P93791 의모듈 6 코어의실험결과 COMBINE Utilized Available TAM line 제안한방법 Utilized Longest Wrapper Scan Chain 15 N/A N/A N/A 기존의 Combine 기법과제안한방법을비교하였으며이용가능한 TAM 라인은 15에서 21개로제한하였다. 또한임의의 TAM 라인에레이아웃거리를 1로할당하여시뮬레이션하였다. COMBINE 논문의알고리즘은모든경우에 TAM 라인을구성하지못하였으므로사용된 TAM 라인의갯수가 N/A(Non-Available) 이며, 제안한방법을적용하면모든경우에구성이가능하였다. 가장긴 Wraper 스캔체인의길이는이용가능한 TAM 라인의갯수가 15인경우에는 2080, 16-19인경우에는 2060 그리고 20-21인경우에는 1560 이었다. 5. 결론 본논문에서는타이밍위반이발생하지않는새로운방식의 TAM 라인할당방식을제안하였다. 스캔체인들의레이아웃거리를체인내플립플롭의시간적지연으로모델링한후, 플립플롭의셋업 / 홀드타임의위반이발생하지않도록각스캔체인들을 TAM 라인에할당하였다. 제안한방식을 P93791의모듈 6 코어에적용한결과기존의알고리즘에서는타이밍오류가발생하지만제안한방식을적용하면오류가발생하지않았다. 향후, 본논문에서제안한방식을새로운형태의 SoC 코어모듈에도적용하여효율성을검증하도록하겠으며, 시스템의클럭주파수가증가하고집적도가높아짐에따라레이아웃거리를고려한제안방식이매우효율적으로적용될수있을것으로기대한다. SIAM Journal of Applied Mathematics, Volume 17, pp , [3] E.G. Coffman Jr., M.R. Garey, D.S. Johnson, "An Application of Bin-Packing to Multiprocessor Scheduling," SIAM Journal of Computing, Volume 7, Number 1, pp.1-17, [4] Lee, C.Y., D. Massey, "Multiprocessor Scheduling: Combining LPT and Multifit," Discrete Applied Mathematics, Volume 20, pp , [5] 김문준, 박재흥, 최선화, 심재헌, 장훈, 내장된코어테스트를위한효율적인 Wrapper 설계기법, 테스트학술대회, 정준모 (Jun-Mo Jung) [ 종신회원 ] 1987 년 2 월 : 한양대학교전자공학과 ( 공학석사 ) 2004 년 2 월 : 한양대학교전자공학과 ( 공학박사 ) 1989 년 2 월 ~ 1996 년 3 월 : 삼성전자 ASIC 센터 1996 년 4 월 ~ 2004 년 2 월 : 김포대학전자정보계열교수 2004 년 3 월 ~ 2005 년 3 월 : 한양사이버대학교컴퓨터공학과조교수 2005 년 4 월 ~ 현재 : 군산대학교전자공학과부교수 < 관심분야 > VLSI Design, SoC Design, SoC Test & Verification, Test Scheduling 참고문헌 [1] IEEE P1500 General Working Group website, "IEE P1500 Standards For Embedded Core Test," [2] R.L. Graham, "Bounds on Multiprocessing Anomalies," 1195

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

에너지경제연구제 16 권제 1 호 Korean Energy Economic Review Volume 16, Number 1, March 2017 : pp. 95~118 학술 탄소은행제의가정용전력수요절감효과 분석 1) 2) 3) * ** *** 95

에너지경제연구제 16 권제 1 호 Korean Energy Economic Review Volume 16, Number 1, March 2017 : pp. 95~118 학술 탄소은행제의가정용전력수요절감효과 분석 1) 2) 3) * ** *** 95 에너지경제연구제 16 권제 1 호 Korean Energy Economic Review Volume 16, Number 1, March 2017 : pp. 95~118 학술 탄소은행제의가정용전력수요절감효과 분석 1) 2) 3) * ** *** 95 Intended Nationally Determined Contributions 96 97 98 99 100 101

More information

2017 년 6 월한국소프트웨어감정평가학회논문지제 13 권제 1 호 Abstract

2017 년 6 월한국소프트웨어감정평가학회논문지제 13 권제 1 호 Abstract 2017 년 6 월한국소프트웨어감정평가학회논문지제 13 권제 1 호 Abstract - 31 - 소스코드유사도측정도구의성능에관한비교연구 1. 서론 1) Revulytics, Top 20 Countries for Software Piracy and Licence Misuse (2017), March 21, 2017. www.revulytics.com/blog/top-20-countries-software

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

Microsoft PowerPoint - ch11_reg.pptx

Microsoft PowerPoint - ch11_reg.pptx 11 장레지스터 레지스터 (egister) 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로 9 장동기순차회로 동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로분류. v v v 동기순차회로 : 클록펄스에의해서동작하는회로 비동기순차회로

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

Microsoft Word - 36-김진규-논문_ doc

Microsoft Word - 36-김진규-논문_ doc Scan-Chain 과 IEEE 15 래퍼를이용한 SoC 지연고장테스트 Delay Fault Test using Scan-Chains and IEEE 15 Wrapper 김진규, 이현빈, 이준섭, 정태진, 박성주한양대학교컴퓨터공학과 {jkkim, bean, jslee, tjjung, parksj}@mslab.hanyang.ac.kr Abstract With

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

04 Çмú_±â¼ú±â»ç

04 Çмú_±â¼ú±â»ç 42 s p x f p (x) f (x) VOL. 46 NO. 12 2013. 12 43 p j (x) r j n c f max f min v max, j j c j (x) j f (x) v j (x) f (x) v(x) f d (x) f (x) f (x) v(x) v(x) r f 44 r f X(x) Y (x) (x, y) (x, y) f (x, y) VOL.

More information

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월 지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., 2004 5 2009 12 KOSPI200.,. * 2009. 지능정보연구제 16 권제 1 호 2010 년 3 월 김선웅 안현철 社 1), 28 1, 2009, 4. 1. 지능정보연구제 16 권제 1 호 2010 년 3 월 Support

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로 11 장레지스터 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부 2

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다 디지털논리회로이론, 실습, 시뮬레이션 : 카운터 기출문제풀이 ehanbitet - 1 - 1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다카운터

More information

02김헌수(51-72.hwp

02김헌수(51-72.hwp 보험금융연구 제26권 제1호 (2015. 2) pp. 51-71 손해보험사의 출재는 과다한가? -RBC 규제에 기초한 분석 - * Do P/L Insurers Cede Too Much? - An Analysis Based on the RBC Regulation - 김 헌 수 ** 김 석 영 *** Hunsoo Kim Seog Young Kim 경제가 저성장으로

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 901 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 (A Effective Sinkhole Attack Detection Mechanism for LQI based Routing in WSN) 최병구 조응준 (Byung

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

2015 개정교육과정에따른정보과평가기준개발연구 연구책임자 공동연구자 연구협력관

2015 개정교육과정에따른정보과평가기준개발연구 연구책임자 공동연구자 연구협력관 2015 개정교육과정에따른정보과평가기준개발연구 연구책임자 공동연구자 연구협력관 2015 개정교육과정에따른정보과평가기준개발연구 연구협력진 머리말 연구요약 차례 Ⅰ 서론 1 Ⅱ 평가준거성취기준, 평가기준, 성취수준, 예시평가도구개발방향 7 Ⅲ 정보과평가준거성취기준, 평가기준, 성취수준, 예시평가도구의개발 25 Ⅳ 정보과평가준거성취기준, 평가기준, 성취수준, 예시평가도구의활용방안

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA e- 비즈니스연구 (The e-business Studies) Volume 17, Number 1, February, 28, 2016:pp. 293~316 ISSN 1229-9936 (Print), ISSN 2466-1716 (Online) 원고접수일심사 ( 수정 ) 게재확정일 2015. 12. 04 2015. 12. 24 2016. 02. 25 ABSTRACT

More information

ÅëÁõ¼Ò½ÄÁö50È£

ÅëÁõ¼Ò½ÄÁö50È£ Volume 13, Number 1( 50 ) 2 2009 3 31 Volume 13, Number 1( 50 ) 3 2009 3 31 Room A Complex Regional Pain Syndrome (CRPS) (English Session) Room B Basic Research on Pain (English Session) Volume 13, Number

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA e- 비즈니스연구 (The e-business Studies) Volume 17, Number 3, June, 30, 2016:pp. 273~299 ISSN 1229-9936 (Print), ISSN 2466-1716 (Online) 원고접수일심사 ( 수정 ) 게재확정일 2016. 06. 11 2016. 06. 24 2016. 06. 26 ABSTRACT e-

More information

歯2019

歯2019 122 (22 ) 68 (5 ) 8 (3) 8 (3) 8 ( ) 7 (5) 5 (5) 4 ( ) 4 ( ) 3 (1) 3 ( ) 1 ( ) 1 ( ) 1 ( ) 1 ( ) ( ) ( ) * :, ( ) : : 10 : 1 : : 18 : 9 : 8 : 5 (10 ) ( : ) 2002.8. 1 2003.2. 1 1 180,000 225,000 45,000 2

More information

중간고사

중간고사 중간고사 예제 1 사용자로부터받은두개의숫자 x, y 중에서큰수를찾는알고리즘을의사코드로작성하시오. Step 1: Input x, y Step 2: if (x > y) then MAX

More information

슬라이드 1

슬라이드 1 -Part3- 제 4 장동적메모리할당과가변인 자 학습목차 4.1 동적메모리할당 4.1 동적메모리할당 4.1 동적메모리할당 배울내용 1 프로세스의메모리공간 2 동적메모리할당의필요성 4.1 동적메모리할당 (1/6) 프로세스의메모리구조 코드영역 : 프로그램실행코드, 함수들이저장되는영역 스택영역 : 매개변수, 지역변수, 중괄호 ( 블록 ) 내부에정의된변수들이저장되는영역

More information

Microsoft PowerPoint - 27.pptx

Microsoft PowerPoint - 27.pptx 이산수학 () n-항관계 (n-ary Relations) 2011년봄학기 강원대학교컴퓨터과학전공문양세 n-ary Relations (n-항관계 ) An n-ary relation R on sets A 1,,A n, written R:A 1,,A n, is a subset R A 1 A n. (A 1,,A n 에대한 n- 항관계 R 은 A 1 A n 의부분집합이다.)

More information

Microsoft PowerPoint - 26.pptx

Microsoft PowerPoint - 26.pptx 이산수학 () 관계와그특성 (Relations and Its Properties) 2011년봄학기 강원대학교컴퓨터과학전공문양세 Binary Relations ( 이진관계 ) Let A, B be any two sets. A binary relation R from A to B, written R:A B, is a subset of A B. (A 에서 B 로의이진관계

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

에너지경제연구 Korean Energy Economic Review Volume 11, Number 2, September 2012 : pp. 1~26 실물옵션을이용한해상풍력실증단지 사업의경제성평가 1

에너지경제연구 Korean Energy Economic Review Volume 11, Number 2, September 2012 : pp. 1~26 실물옵션을이용한해상풍력실증단지 사업의경제성평가 1 에너지경제연구 Korean Energy Economic Review Volume 11, Number 2, September 2012 : pp. 1~26 실물옵션을이용한해상풍력실증단지 사업의경제성평가 1 2 3 4 5 6 ln ln 7 8 9 [ 그림 1] 해상풍력단지건설로드맵 10 11 12 13 < 표 1> 회귀분석결과 14 < 표 2> 미래현금흐름추정결과

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

슬라이드 1

슬라이드 1 CHAP 2: 순환 (Recursion) 순환 (recursion) 이란? 알고리즘이나함수가수행도중에자기자신을다시호출하여문제를해결하는기법 정의자체가순환적으로 되어있는경우에적합한방법 순환 (recursion) 의예 팩토리얼값구하기 피보나치수열 1 n! n*( n 1)! fib( n) 0 1 fib( n 2) n n 0 ` 1 fib( n 1) if n 0 if

More information

에너지경제연구 제13권 제1호

에너지경제연구 제13권 제1호 에너지경제연구 Korean Energy Economic Review Volume 13, Number 1, March 2014 : pp. 83~119 거시계량모형을이용한유가변동및 유류세변화의파급효과분석 * 83 84 85 86 [ 그림 1] 모형의해결정과정 87 [ 그림 2] 거시계량모형의흐름도 (flow chart) 88 89 < 표 1> 유류세현황 (2013

More information

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770>

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770> 북한의 주요 농업 관련 법령 해설 1) 이번 호와 다음 호에서는 북한의 주요 농업 관련 법령을 소개하려 한다. 북한의 협동농장은 농업협동조합기준규약초안 과 농장법 에 잘 규정되어 있다. 북한 사회주의 농업정책은 사회 주의농촌문제 테제 2), 농업법, 산림법 등을 통해 엿볼 수 있다. 국가계획과 농업부문의 관 계, 농산물의 공급에 관해서는 인민경제계획법, 사회주의상업법,

More information

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 (1920~1945 ) 1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 1918 4 1930 1933 1 932 70 8 0 1938 1923 3 1 3 1 1923 3 1920 1926 1930 3 70 71

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

278 경찰학연구제 12 권제 3 호 ( 통권제 31 호 )

278 경찰학연구제 12 권제 3 호 ( 통권제 31 호 ) 여성경찰관의직업윤리의식결정요인분석 * An Analysis of Determinantal Factors Influencing Professional Ethical Standards of South Korean Police Women 신문희 ** 이영민 *** Ⅰ. 서론 Ⅱ. 이론적배경 Ⅲ. 연구방법 Ⅳ. 연구결과 Ⅴ. 결론 Ⅰ. 서론 278 경찰학연구제 12

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Introduction to Development and V&V of FPGA-based Digital I&Cs 김의섭 목차 1. FPGA 2. Development Process / V&V 3. Summary 2 01 [ ] FPGA FPGA 프로그램이가능한비메모리반도체의일종. 회로변경이불가능한일반반도체와달리용도에맞게회로를다시새겨넣을수있다. 따라서사용자는자신의용도에맞게반도체의기능을소프트웨어프로그램하듯이변형시킬수있다.

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

Microsoft PowerPoint Relations.pptx

Microsoft PowerPoint Relations.pptx 이산수학 () 관계와그특성 (Relations and Its Properties) 2010년봄학기강원대학교컴퓨터과학전공문양세 Binary Relations ( 이진관계 ) Let A, B be any two sets. A binary relation R from A to B, written R:A B, is a subset of A B. (A 에서 B 로의이진관계

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

Journal of Educational Innovation Research 2017, Vol. 27, No. 2, pp DOI: : Researc

Journal of Educational Innovation Research 2017, Vol. 27, No. 2, pp DOI:   : Researc Journal of Educational Innovation Research 2017, Vol. 27, No. 2, pp.251-273 DOI: http://dx.doi.org/10.21024/pnuedi.27.2.201706.251 : 1997 2005 Research Trend Analysis on the Korean Alternative Education

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA FPS게임 구성요소의 중요도 분석방법에 관한 연구 2 계층화 의사결정법에 의한 요소별 상관관계측정과 대안의 선정 The Study on the Priority of First Person Shooter game Elements using Analytic Hierarchy Process 주 저 자 : 배혜진 에이디 테크놀로지 대표 Bae, Hyejin AD Technology

More information

Problem New Case RETRIEVE Learned Case Retrieved Cases New Case RETAIN Tested/ Repaired Case Case-Base REVISE Solved Case REUSE Aamodt, A. and Plaza, E. (1994). Case-based reasoning; Foundational

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

이용석 박환용 - 베이비부머의 특성에 따른 주택유형 선택 변화 연구.hwp

이용석 박환용 - 베이비부머의 특성에 따른 주택유형 선택 변화 연구.hwp 住居環境 韓國住居環境學會誌 第 11 卷 1 號 ( 通卷第 20 號 ) pp. 159~172 투고 ( 접수 ) 일 : 2013.02.28. 게재확정일자 : 2013.04.04. The change of housing choice by characteristics of the Baby Boomers Lee, Yong-Seok Park, Hwan-Yong Abstract

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Jan.; 25(1), 47 52. http://dx.doi.org/10.5515/kjkiees.2014.25.1.47 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Circuit

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

슬라이드 1

슬라이드 1 Recursion SANGJI University KO Kwangman () 1. 개요 재귀 (recursion) 의정의, 순환 정의하고있는개념자체에대한정의내부에자기자신이포함되어있는경우를의미 알고리즘이나함수가수행도중에자기자신을다시호출하여문제를해결하는기법 정의자체가순환적으로되어있는경우에적합한방법 예제 ) 팩토리얼값구하기 피보나치수열 이항계수 하노이의탑 이진탐색

More information

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드] VHL 프로그래밍 10. 논리합성및설계기법 한동일 학습목표 VHL 을이용한시스템구현과정을이해한다. 논리합성이가능한 RTL 코드의개념을이해한다. ASIC 제작과정을이해한다. FPGA 제작과정을이해한다. RTL 시뮬레이션과정을이해한다. 논리합성이되는구문과되지않는구문을파악한다. 좋은 VHL 코딩스타일을따른다. 준안정상태의개념을이해한다. 비동기신호인터페이스를구현할수있다.

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 07-32-10-01 한국통신학회논문지 '07-10 Vol. 32 No. 10 진 Sidel'nikov 수열의서로다른자기상관분포의개수 정회원정정수 *, 김영식 **, 종신회원노종선 *, 정하봉 *** On the Number of Distinct Autocorrelation Distributions of -ary Sidel'nikov Sequences

More information

Journal of Educational Innovation Research 2017, Vol. 27, No. 3, pp DOI: (NCS) Method of Con

Journal of Educational Innovation Research 2017, Vol. 27, No. 3, pp DOI:   (NCS) Method of Con Journal of Educational Innovation Research 2017, Vol. 27, No. 3, pp.181-212 DOI: http://dx.doi.org/10.21024/pnuedi.27.3.201709.181 (NCS) Method of Constructing and Using the Differentiated National Competency

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

잡았다. 임진왜란으로 권위가 실추되었던 선조는 명군의 존재를 구세 주 이자 王權을 지켜주는 보호자 로 인식했다. 선조는 그 같은 인 식을 바탕으로 扈聖功臣들을 높이 평가하고 宣武功臣들을 평가 절하함으로써 자신의 권위를 유지하려고 했다. 이제 명에 대한 숭 앙과 충성은

잡았다. 임진왜란으로 권위가 실추되었던 선조는 명군의 존재를 구세 주 이자 王權을 지켜주는 보호자 로 인식했다. 선조는 그 같은 인 식을 바탕으로 扈聖功臣들을 높이 평가하고 宣武功臣들을 평가 절하함으로써 자신의 권위를 유지하려고 했다. 이제 명에 대한 숭 앙과 충성은 朝中關係의 관점에서 본 仁祖反正의 역사적 의미 83)한 Ⅰ. Ⅱ. Ⅲ. Ⅳ. Ⅴ. Ⅵ. 명 기* 머리말 仁祖反正 발생에 드리운 明의 그림자 扈聖功臣 후예 들의 정권 장악으로서의 仁祖反正 仁祖反正에 대한 明의 이중적 인식과 대응 仁祖反正 승인을 통해 明이 얻은 것 맺음말 인조반정은 明淸交替가 진행되고 있던 동아시아 정세 전반에 커다란 영향을 미친 사건이었다.

More information

02À±¼ø¿Á

02À±¼ø¿Á Geomorphic Development of Marine Terraces at Jeongdongjin-Daejin area on the East Coast, Central Part of Korean Peninsula Soon-Ock Yoon*, Sang-Ill Hwang** and Hak-Kyun Ban*** Abstract : In this paper we

More information

Let G = (V, E) be a connected, undirected graph with a real-valued weight function w defined on E. Let A be a set of E, possibly empty, that is includ

Let G = (V, E) be a connected, undirected graph with a real-valued weight function w defined on E. Let A be a set of E, possibly empty, that is includ 알고리즘설계와분석 (CSE3081(2 반 )) 기말고사 (2016년 12월15일 ( 목 ) 오전 9시40분 ~) 담당교수 : 서강대학교컴퓨터공학과임인성 < 주의 > 답안지에답을쓴후제출할것. 만약공간이부족하면답안지의뒷면을이용하고, 반드시답을쓰는칸에어느쪽의뒷면에답을기술하였는지명시할것. 연습지는수거하지않음. function MakeSet(x) { x.parent

More information

untitled

untitled int i = 10; char c = 69; float f = 12.3; int i = 10; char c = 69; float f = 12.3; printf("i : %u\n", &i); // i printf("c : %u\n", &c); // c printf("f : %u\n", &f); // f return 0; i : 1245024 c : 1245015

More information

untitled

untitled Performance Goal and Objectives Performance Goal and Objectives Technology Selection Criteria Philosophy and Program Management Source Selection Flight Test Approach and Organization Focus on Achieving

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA e- 비즈니스연구 (The e-business Studies) Volume 17, Number 3, June, 30, 2016:pp. 3~26 ISSN 1229-9936 (Print), ISSN 2466-1716 (Online) 원고접수일심사 ( 수정 ) 게재확정일 2016. 06. 11 2016. 06. 23 2016. 06. 26 ABSTRACT e- 비즈니스연구

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 System call table and linkage v Ref. http://www.ibm.com/developerworks/linux/library/l-system-calls/ - 2 - Young-Jin Kim SYSCALL_DEFINE 함수

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

B _00_Ko_p1-p51.indd

B _00_Ko_p1-p51.indd KOS-V000 B64-797-00/00 (MV) KOS-V000 설명서를 보는 방법 이 설명서에서는 삽입된 그림을 통해 작동 방법을 설명합니다. 이 설명서에 나타낸 화면과 패널은 작동 방법을 자세히 설명하는 데 이용되는 예입니다. 따라서 실제 화면이나 패널과 다르거나 일부 디 스플레이 패턴이 다를 수도 있습니다. 찾기 모드 방송국 선택 설정. TUNER

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

제목

제목 [이슈리포트 2014-1] FTA환경하에서의 기업의 글로벌경쟁력 강화를 위한 IT기반 FTA특화 비즈니스모델 구축 방안 2014. 5. KTNET 송병준팀장 1. 요약 가. 이슈 ㅇ 현재, 동시다발적으로 체결(발효 타결:10건/47개국, 협상진행:6건/16개국, 협 상재개 여건조성:5건/10개국, 협상준비:4건/11개국)되고 있는 다양한 FTA별 특 성을 어떻게

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp 1 0 1.7 6 5 'A ' '/ u 4 4 2 2 ' " JS P 프로그래밍 " A ', 'b ', ' 한 ', 9, \ u d 6 5 4 ' c h a r a = 'A '; 0 1 0 2 0 3 0 4 0 5 0 6 0 7 0 8 0 9 1 0 1 1 1 2 1 3 1 4 1 5 1 6 1 7 1 8 1 9 < % @ p a g e c o n te n

More information

methods.hwp

methods.hwp 1. 교과목 개요 심리학 연구에 기저하는 기본 원리들을 이해하고, 다양한 심리학 연구설계(실험 및 비실험 설계)를 학습하여, 독립된 연구자로서의 기본적인 연구 설계 및 통계 분석능력을 함양한다. 2. 강의 목표 심리학 연구자로서 갖추어야 할 기본적인 지식들을 익힘을 목적으로 한다. 3. 강의 방법 강의, 토론, 조별 발표 4. 평가방법 중간고사 35%, 기말고사

More information

4ÃÖÁØ¿µ103-128

4ÃÖÁØ¿µ103-128 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 ABSTRACT A Study on the Recruitment Process of the Parliamentary Councils Jun Young Choi Inha University

More information

2002년 2학기 자료구조

2002년 2학기 자료구조 자료구조 (Data Structures) Chapter 1 Basic Concepts Overview : Data (1) Data vs Information (2) Data Linear list( 선형리스트 ) - Sequential list : - Linked list : Nonlinear list( 비선형리스트 ) - Tree : - Graph : (3)

More information

공연영상

공연영상 한국영화 배급시장의 문제점과 개선방안에 대한 고찰 143 144 한국영화 배급시장의 문제점과 개선방안에 대한 고찰 - 독과점 배급시장을 중심으로 김황재* 23) I. 머리말 II. 한국 영화산업의 배급시장 1. 배급의 개념 2. 한국 영화산업 배급시장의 변화 3. 메이저 배급사의 배급시장 4. 디지털 배급 시스템 III. 한국영화 배급시장의 문제점 1. 독과점

More information

Line (A) å j a k= i k #define max(a, b) (((a) >= (b))? (a) : (b)) long MaxSubseqSum0(int A[], unsigned Left, unsigned Right) { int Center, i; long Max

Line (A) å j a k= i k #define max(a, b) (((a) >= (b))? (a) : (b)) long MaxSubseqSum0(int A[], unsigned Left, unsigned Right) { int Center, i; long Max 알고리즘설계와분석 (CSE3081-2반 ) 중간고사 (2013년 10월24일 ( 목 ) 오전 10시30분 ) 담당교수 : 서강대학교컴퓨터공학과임인성수강학년 : 2학년문제 : 총 8쪽 12문제 ========================================= < 주의 > 답안지에답을쓴후제출할것. 만약공간이부족하면답안지의뒷면을이용하고반드시답을쓰는칸에답안지의어느쪽의뒷면에답을기술하였는지명시할것.

More information

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp 보안공학연구논문지 Journal of Security Engineering Vol.11, No.4 (2014), pp.299-312 http://dx.doi.org/10.14257/jse.2014.08.03 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발 이강찬 1), 이승윤 2), 양희동 3), 박철우 4) Development of Service

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information