(8)

Size: px
Start display at page:

Download "(8)"

Transcription

1 5-8. RS232 비동기통신예제 목표 : DSP28x 에는 2 개의비동기통신 (SCI) 이있다. EDU2812 KIT 에서 1 개의 SCI 는부트및데이터모니터링용으로사용하고, 나머지 1 개는 RS232C 형태로커넥터 (CN6) 에접속되어있다. 본예제에서는이 RS232C 통신을사용하여송수신인터럽트처리등에대해서학습해본 다. PC 에서특정문자를보내면일련의문자열로응답하는프로그램을작성해본다. 사용회로고찰 : CN6 MDXA RA2 SPICLKA 4 SPISTEA 3 2 TXD_A 1 1Kx4 1-2:Flash 2-3:Boot RS V R12 1K V SW1 BOOT 5V RXD_A TXD_A /RESET CN BOOT 5V U4 5V VCC C1+ RS232TX 14 GND V+ RS232RX 13 T1O C1-12 R1IN C2+ 11 R1O C2-10 T1I V- 9 T2I T2O R7 R2O R2I 120 TXD_B MAX232 RXD_B RealDSP 에서사용 : TTL 레벨 부트및모니터링용 C8 1uF C11 1uF C9 1uF C10 1uF RS232C 통신커넥터 RS232 통신기초 : START DATA D0 D1 D2 D3 D4 D5 D6 D7 STOP X16 클럭 데이터 일반통신비트형태 : 데이터 Sampling Point RealSYS (

2 START 비트 : 1 비트크기의 Low(0) 상태로데이터의시작을알린다. DATA 비트 : LSB first로실제데이터값을나타낸다. Parity 비트 : No/Even/Odd로설정이가능하고, 노이즈로 1비트가변경되었을때이의검출이가능하다. STOP 비트 : 1/1.5/2 크기로설정이가능하고, 데이터의끝을나타낸다. TTL 신호레벨 +5.0V +5.0V High(1) High(1) +2.4V 0.4V 노이즈마진 +2.0V +0.8V +0.4V +0V Low(0) 출력 Low(0) 입력 +0V RS232C 신호레벨 +15V +15V Space (Logic 0) Space (Logic 0) +5V -5V 2V 노이즈마진 +3V -3V Mark (Logic 1) Mark (Logic 1) -15V -15V 출력 입력 위의그림에서보면 TTL 신호레벨은 0.4V 정도의노이즈마진을갖는데비해 RS232C 레벨은 2V 의노이즈마진을가지므로노이즈에강하다. 특히 TTL 레벨은 Low 영역폭이 RealSYS (

3 0.8V 로매우좁아조그만노이즈가첨가되어도 High 로인식할수있다. 이해비해 RS232C 레벨은 Space(Logic 0) 와 Mark(Logic 1) 영역이대등하게영역을갖 고폭이넓으므로노이즈에강하다. 참조 : RS232C 의 + 전압영역은스페이스 (space) 라부르며 Logic 0 를의미하며, - 전압영 은마크 (Mark) 라부르고 Logic 1 을의미한다. DSP28x 의 SCI 살펴보기 : SCI 특징 : - 통신속도 : LSPCLK 클럭으로동작 - 2개의통신신호 : SCITXD, SCIRXD - 2개의인터럽트 : RXINT, TXINT RealSYS (

4 그림. SCI 전체구성도 - 16레벨송수신 FIFO - 자동통신속도검출로직 - 데이터비트수설정 : 1비트 ~ 8비트 - 스톱비트수설정 : 1비트또는 2비트 - 패리티체크 : EVEN / ODD 패리티 - 에러검출플래그패리티 (Parity) 에러, 오버런 (Overrun) 에러, 프레이밍 (Framing) 에러브레이크 (Break) 검출에러 RealSYS (

5 - 2 가지 Wake-up 멀티프로세서모드 Idle- 라인웨이크업 (wake up), Address 비트웨이크업 (wake up) 표. SCIA 레지스터 표. SCIB 레지스터 RealSYS (

6 SCI Communication Control Register (SCICCR) Address 7050h SCICCR 레지스터는문자형태, 프로토콜, 통신모드등의설정에관여한다. STOP_BITS ( 비트 7) : 스톱비트수 0 = 1 비트 1 = 2 비트 EVEN/ODD_PARITY ( 비트 6) : 패리티종류선택 ( 패리티가인에이블인경우 ) 0 = ODD 패리티 1 = EVEN 패리티 PARITY_ENABLE ( 비트 5) : 패리티인에이블 (enable) 0 = 사용안함 1 = 패리티사용함 LOOPBACK_ENA ( 비트 4) : 루프 (loop) 백 (back) 시험모드 0 = 루프백사용안함 1 = 루프백사용함 ADDR/IDLE_MODE ( 비트 3) : 멀티프로세서모드 ( 어드레스비트모드 ) 0 = 일반모드 (idle 라인모드 ) 1 = 어드레스비트모드 SCICHAR2 ~ 0 ( 비트2 ~ 0) : 데이터비트수데이터비트수설정표 : SCI Control Register 1 (SCICTL1) Address 7051h SCICTL1 레지스터는송수신인에이블제어, 슬립기능, 수신에러인터럽트, 소프트웨어 RealSYS (

7 리세트설정에관여한다. RX_ERR_INT_ENA ( 비트 6) : 수신에러인터럽트인에이블제어 0 = 수신에러인터럽트사용안함. 1 = 수신에러인터럽트사용, RX_ERROR 비트가세트되면, 인터럽트발생. SW_RESET ( 비트 5) : SCI 소프트웨어리세트 0 = 소프트웨어리세트걸림 1 = 리세트후에 SCI를정상적으로사용하려면 1로세트해야함. 소프트웨어후레지스터초기화값 : TXWAKE ( 비트 3) : SCI 송신 wakeup 방법선택 0 = 선택안함. 1 = 모드 ( 일반모드, 어드레스비트모드 ) 에따라다름일반모드 : TXWAKE에 1를쓰면, SCITXBUF에데이터를쓰면 1 데이터비트 idle 기간생성. 어드레스비트모드 : TXWAKE에 1를쓰면, SCITXBUF에데이터를쓰면, 그프레임의어드레스비트가 1로됨. SLEEP ( 비트 2) : SCI 슬립모드설정 0 = 슬립모드사용안함. 1 = 슬립모드사용함. TXENA ( 비트 1) : SCI 송신기능사용설정 0 = 송신기능사용안함 (disable) 1 = 송신기능사용함 (enable) RXENA ( 비트 0) : SCI 수신기능사용설정 0 = 수신기능사용안함 (disable) 1 = 수신기능사용함 (enable) RealSYS (

8 Baud-Select MSbyte Register (SCIHBAUD) Address 7052h Baud-Select LSbyte Register (SCILBAUD) Address 7053h SCIHBAUD & SCILBAUD 레지스터는통신속도설정에관여하며아래와같은식으로계 산됨. SCI 통신속도 = CLKOUT / ((BRR + 1)*8) BRR 값 = CLKOUT / ( 통신속도 x 8) - 1 SCI 통신속도테이블 : 통신속도 CPUCLK LSPCLK N BPS 산출 에러율 SCI Control Register 2 (SCICTL2) Address 7054h TXRDY ( 비트 7) : 송신버퍼레디 (ready), 새로운송신데이터를받아들일수있음. 0 = SCITXBUF 사용중 1 = SCITXBUF가비어있어다음문자를받을수있음. TX_EMPTY ( 비트 6) : 송신 empty 플래그, SCITXBUF와 TXSHF 모두비어있음의미. 0 = 비어있지않음. 1= 모두비어있음 RX/BK_INT_ENA ( 비트 1) : 수신버퍼 / 브레이크인터럽트사용여부 0 = 사용암함 (disable) 1 = 사용 (enable) RealSYS (

9 TX_INT_ENA ( 비트 0) : 송신인터럽트인에이블 (enable) 0 = TXRDY 인터럽트사용안함.(enable) 1 = TXRDY 인터럽트사용함.(enable) Receiver Status Register (SCIRXST) Address 7055h SCIRXST는 SCI 의수신상태를관찰할수있는레지스터이다. RX_ERROR ( 비트 7) : 수신에러플래그 0 = No 1 = 에러플래그세트됨 RXRDY ( 비트 6) : 데이터수신완료상태 0 = 수신데이터없음. 1 = 수신완료, SCIRXBUF에서문자를읽을수있는상태. BRKDT ( 비트 5) : 브레이크검출플래그 0 = No 브레이크 1 = 브레이크조건 FE ( 비트 4) : 프레이밍에러발생 0 = No 프레이밍 1 = 프레이밍에러발생 OE ( 비트 3) : 오버런 (overrun) 에러플래그 0 = No 오버런 1 = 오버런에러발생 PE ( 비트 2) : 패리티에러발생 0 = No 패리티에러 1 = 패리티에러발생 RXWAKE ( 비트 0) : 수신 wakeup 검출플래그 0 = No 웨이크업 1 = 수신웨이크업발생 비트 5 에서비트 2 까지어느하나라도 1 이면 RX_ERROR 플래그가세트됨. RX/BK_INT_ENA = 1 이고, RXRDY 또는 BRKDT 가 1 이면인터럽트발생. RealSYS (

10 Emulation Data Buffer Register (SCIRXEMU) Address 7056h 에뮬레이터에서사용하는데이터수신버퍼레지스터임. Receiver Data Buffer (SCIRXBUF) Address 7057h 수신데이터버퍼 Transmit Data Buffer Register (SCITXBUF) Address 7059h 송신데이터버퍼 SCI Priority Control Register (SCIPRI) Address 705Fh SCI_SOFT( 비트 4), SCI_FREE ( 비트 3) : 에뮬레이터제어비트 SCI_SOFT SCI_FREE 에뮬레이터정지시기능 0 0 즉시정지 1 0 현재동작송수신마친후정지 X 0 에뮬레이터관계없이동작 RealSYS (

11 소스프로그램살펴보기 설명 1 >> SCIB 통신포트기능설정 GpioMuxRegs.GPGMUX.all=0x0030; /* GPGMUX: GPIO_G function 0=IOP,1=FUN bit5 1: SCIRXDB,PG5 ;FUN bit4 1: SCITXDB,PG4 ;FUN */ GpioMuxRegs.GPGDIR.all=0x10; // DIR: 1=output,0=input // 5(RXDB),4(TXDB),3,2,1,0 // = 0x10 EDIS; 설명 2 >> SCIB 통신포트초기화 : sci.c 에있음 void sci_init(void){ // SCI(UART) init. // Note: Clocks were turned on to the SCIB peripheral // in the InitSysCtrl() function ScibRegs.SCIFFTX.all = 0xa000; ScibRegs.SCIFFCT.all = 0x4000; // FIFO reset // Clear ABD(Auto baud bit) ScibRegs.SCICCR.all =0x0007; ScibRegs.SCICTL1.all =0x0003; // 1 stop bit, No loopback // No parity,8 char bits, // async mode, idle-line protocol // enable TX, RX, internal SCICLK, // Disable RX ERR, SLEEP, TXWAKE ScibRegs.SCICTL2.bit.RXBKINTENA =1; // RX/BK INT ENA=1, ScibRegs.SCICTL2.bit.TXINTENA =1; // TX INT ENA=1, ScibRegs.SCIHBAUD = BRR_VAL >> 8; // High Value ScibRegs.SCILBAUD = BRR_VAL & 0xff; // Low Value RealSYS (

12 ScibRegs.SCICTL1.all =0x0023; // Relinquish SCI from Reset EALLOW; // This is needed to write to EALLOW protected registers PieVectTable.RXBINT = &scib_rx_isr; 수신인터럽트함수 PieVectTable.TXBINT = &scib_tx_isr; 송신인터럽트함수 EDIS; // This is needed to disable write to EALLOW protected registers // Enable CPU INT9 for SCI-B IER = M_INT9; // Enable SCI-B RX INT in the PIE: Group 9 interrupt 3 PieCtrlRegs.PIEIER9.bit.INTx3 = 1; // Enable SCI-B TX INT in the PIE: Group 9 interrupt 4 PieCtrlRegs.PIEIER9.bit.INTx4 = 1; 설명3 >> 통신관련 define #define CPUCLK L #define LSPCLK (CPUCLK/4) #define BAUDRATE 9600L 9600 bps로시험 #define BRR_VAL (LSPCLK/(8*BAUDRATE)-1) #define SCI_TX_START { ScibRegs.SCICTL2.bit.TXINTENA=1; ScibRegs.SCITXBUF = sci_tx_buf[sci_tx_pos++]; if(sci_tx_pos >= SCI_TX_BUF_SIZE) sci_tx_pos=0; #define SCI_TX_STOP ScibRegs.SCICTL2.bit.TXINTENA=0 #define SCI_TX_BUF_SIZE 100 설명4 >> 송수신인터럽트루틴 interrupt void scib_tx_isr(void){ 송신인터럽트루틴 if(sci_tx_pos!= sci_tx_end){ ScibRegs.SCITXBUF = sci_tx_buf[sci_tx_pos++]; if(sci_tx_pos >= SCI_TX_BUF_SIZE) sci_tx_pos = 0; else{ RealSYS (

13 SCI_TX_STOP; // Acknowledge this interrupt to recieve more interrupts from group 9 PieCtrlRegs.PIEACK.all = PIEACK_GROUP9; 송신버퍼내용이있으면데이터송신, 더이상없으면송신중지 interrupt void scib_rx_isr(void){ 수신인터럽트루틴 rxd = ScibRegs.SCIRXBUF.all; sci_puts(" n rreceived char = "); sci_hex2(rxd); SCI_TX_START; // Acknowledge this interrupt to recieve more interrupts from group 9 PieCtrlRegs.PIEACK.all = PIEACK_GROUP9; 1문자가수신되면일련의문자열을송신해본다. 설명5 >> main 루틴에서 void main(void){ // for SCI-B sci_init(); lcd_gotoxy(0,0); lcd_puts("sci test,9600bps"); lcd_gotoxy(0,1); lcd_puts(" rxd= (0x )"); AD_START; while(1){ mcnt++; ad0 = AdcRegs.ADCRESULT0>>4; EvaRegs.CMPR1 = ad0; key = IN_KEY; OUT_LED(key); lcd_gotoxy(0,1); lcd_hex4(mcnt); RealSYS (

14 lcd_gotoxy(9,1); lcd_putc(rxd); lcd_gotoxy(13,1); lcd_hex2(rxd); if(key_code & KEY_PRESSED) key_process(); if(key_code & KEY_CONT) cont_key_process(); delay_ms(100); 설명6 >> 송신인터럽트처리링버퍼를형성하여송신인터럽트로처리 송신버퍼처리 Tx_pos 송신버퍼 1 2 Tx_end 3 데이터송신버퍼에써넣기 :. 송신데이터를송신버퍼에쓰고 Tx_pos를증가시킨다. 송신 :. TX 인터럽트를사용하여데이터를송신한다.. Tx_end를증가한다. 송신종료 :. 만일 Tx_pos와 Tx_end가같으면송신을종료한다. 폴링송신방식 : 일렬의문자열을보낼때, 이전데이터가전송완료하기까지기다리다가 완료하면이어서다음문자를전송하는방식으로진행. 인터럽트방식 : 보내고자하는문자열을링버퍼에먼저써넣고, 송신을출발시키면 ( 첫 RealSYS (

15 번째문자보냄 ) 다음문자부터는송신인터럽트로처리, 링버퍼의데이터 가모두전송되면송신을중지함. 실행관찰 LCD 에수신문자표시 RS232 커넥터접속 하이퍼터미널실행 : 9600bps 1 문자를입력하면 Received char = xx 로응답. RealSYS (

(6)

(6) 5-6. EV 모듈의 PWM 출력예제목표 : 본예제에서는 EV(Event Manager) 모듈의 PWM 출력에대해학습한다. DSP8x에는 3상 AC 모터를구동할수있는 조의 PWM과엔코더입력회로가있다. 본예제에서는이 PWM 출력을사용하여 DC 모터의속도를조절해보고, RC 회로로 PWM 를필터링하여사인파형을출력시켜본다. 사용회로고찰 : 3.3V AR Kx4 3

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

Microsoft PowerPoint - Chapter 8_USART Serial Communication

Microsoft PowerPoint - Chapter 8_USART Serial Communication MEC382 마이크로프로세서응용및실습 USART Serial Communication Jee-Hwan Ryu School of Mechanical Engineering 통신방법 병렬통신 고속데이터전송이필요한곳에서이루어짐 여러개의라인에서동시에이루어짐 직렬통신 한라인에서이루어짐 데이터의송수신속도가느리다 라인수적고멀리까지통신 동기식, 비동기식있음 동기식 : 기준클럭인동기클럭라인과데이터송

More information

2주차: 입출력 제어 복습

2주차: 입출력 제어 복습 마이크로프로세서 응용및실습 ` 13-14 주차 : 직렬통신 (2) 한철수 전자공학과 2/35 직렬통신과병렬통신 직렬통신 한가닥의선으로송수신할데이터를차례대로전송하는방식 장점 : 통신선로가적기때문에경제적임 단점 : 전송속도가느림. 송수신약속이복잡해짐 병렬통신 여러가닥의선으로동시에여러개의데이터를전송하는방식 장점 : 전송속도가빠름 단점 : 직렬통신보다비쌈 3/35

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

Microsoft PowerPoint - ccs33_bios_com1_semaphore.ppt [호환 모드]

Microsoft PowerPoint - ccs33_bios_com1_semaphore.ppt [호환 모드] 1. CCS3.3 DSP/BIOS semaphore 를이용한 COM1 시리얼통신 기존의예제프로그램을바탕으로실제현장에적용가능한 BIOS 프로그램을시작하겠습니다. 이장에서사용할 H/W 는 F28335 내부에있는 UART0 포트를사용해 PC 와 RS-232 통신을 프로세서간동기를맞추는데사용하는 semaphore 를사용해작성해보겠습니다. -Semaphore 란 C

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

개요

개요 Application Note (003) 시리얼인터페이스 (RS232/RS422/RS485) Version 1.0 솔내시스템주식회사 1. 개요 는 RS232, RS422, RS485등 3개의시리얼인터페이스를지원합니다. 사용자는 의설정용유틸리티인 ezconfig를이용해서 3개의인터페이스중에서하나를선택하여설정할수있습니다. 1.1. RS232 Ground를기준으로한전압을이용해서통신하는형태입니다.

More information

Microsoft PowerPoint - AVR 시리얼 통신.ppt [호환 모드]

Microsoft PowerPoint - AVR 시리얼 통신.ppt [호환 모드] AVR UART 통신 류대우 davidryu@newtc.co.kr 시리얼 (Serial) 통신이란? Serial 통신은하나의신호선을이용해서데이터를비트단위로보내는방식 8 비트비동기식통신콘트롤러 (UART : Universal Asynchronous Receiver Transmitter) 데이터는 LSB 부터 MSB 순으로데이터전송 Serial interface

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

ATmega128

ATmega128 ATmega128 외부인터럽트실습 Prof. Jae Young Choi ( 최재영교수 ) (2015 Spring) Prof. Jae Young Choi 외부인터럽트실험 외부인터럽트를사용하기위해관렦레지스터를설정 일반적으로 I/O 포트에대한설정이끝난후에외부인터럽트나타이머 / 카운터설정 PE4~7 번까지 4 개의외부인터럽트 INT4~INT7 까지사용 외부인터럽트사용법요약

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

목차 1. UART와 RS232 개요 2. ATMega128의 USART 포트 3. UART로 Hello 보내기 4. UART로 PC와데이터주고받기

목차 1. UART와 RS232 개요 2. ATMega128의 USART 포트 3. UART로 Hello 보내기 4. UART로 PC와데이터주고받기 Chapter. 8 UART HBE-MCU-Multi AVR Jaeheug, Lee 목차 1. UART와 RS232 개요 2. ATMega128의 USART 포트 3. UART로 Hello 보내기 4. UART로 PC와데이터주고받기 UART 와 RS232 개요 UART(Uiversal Asychroous Receiver/Trasmitter) 시리얼기반의통신방식으로일반적으로

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

제품소개 MODBUS Tester 는 MODBUS 프로토콜을사용하는산업장비테스트및점검하기위해 PC 를휴대및설치할필요없이쉽고빠르게장비와연결하여원하는작업을진행할수있도록휴대성을강조한 MODBUS 프로토콜테스트장치입니다. MODBUS Tester 에는 3 가지의기능이지원되며,

제품소개 MODBUS Tester 는 MODBUS 프로토콜을사용하는산업장비테스트및점검하기위해 PC 를휴대및설치할필요없이쉽고빠르게장비와연결하여원하는작업을진행할수있도록휴대성을강조한 MODBUS 프로토콜테스트장치입니다. MODBUS Tester 에는 3 가지의기능이지원되며, MODBUS Tester ( 사용자메뉴얼 ) RealSYS V1.02 1 제품소개 MODBUS Tester 는 MODBUS 프로토콜을사용하는산업장비테스트및점검하기위해 PC 를휴대및설치할필요없이쉽고빠르게장비와연결하여원하는작업을진행할수있도록휴대성을강조한 MODBUS 프로토콜테스트장치입니다. MODBUS Tester 에는 3 가지의기능이지원되며, Master 모드기능을통해각종장비의데이터정보를읽어오거나제어및데이터쓰기를할수있으며,

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

AN_0005B_UART

AN_0005B_UART CANTUS-CAN - UART - 32bits EISC Microprocessor CANTUS Ver 1.1 April 24, 213 Advanced Digital Chips Inc. Ver 1.1 CANTUS Application Note History 213-2-19 Released 213-4-24 Modified CANTUS-CAN CANTUS-CAN

More information

(MHT-SB112\273\347\276\347\274\255.hwp)

(MHT-SB112\273\347\276\347\274\255.hwp) 무한테크 Digital I/O Board MHT-SB112 경기도의왕시고천동 290-2 대영골든밸리 902 호 http:// Tel : 031-450 - 6737 Fax : 031-450 - 6738 Email : info@moohantechbiz 차례 1 사용되는용도및특징 2 구성요소 3 인터페이스구성 4 아날로그입력 5 통신프로토콜 6 딥스위치설정 7 PCB

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

인터럽트 (Interrupt) 범용입출력포트에서입출력의내용을처리하기위해매번입출력을요구하는플래그를검사하는일 (Pollong) 에대하여마이크로컨트롤러에게는상당한시간을소비하게만든다. 인터럽트란 CPU가현재처리하고있는일보다급하게처리해야할사건이발생했을때, 현재수행중인일을중단하고

인터럽트 (Interrupt) 범용입출력포트에서입출력의내용을처리하기위해매번입출력을요구하는플래그를검사하는일 (Pollong) 에대하여마이크로컨트롤러에게는상당한시간을소비하게만든다. 인터럽트란 CPU가현재처리하고있는일보다급하게처리해야할사건이발생했을때, 현재수행중인일을중단하고 CHAPTER 7 인터럽트 가. 레지스터구조이해하기 나. 엔코더제어하기 인터럽트 (Interrupt) 범용입출력포트에서입출력의내용을처리하기위해매번입출력을요구하는플래그를검사하는일 (Pollong) 에대하여마이크로컨트롤러에게는상당한시간을소비하게만든다. 인터럽트란 CPU가현재처리하고있는일보다급하게처리해야할사건이발생했을때, 현재수행중인일을중단하고급한일을처리한후에본래의일을다시수행하는것을말한다.

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

MAX232 MAXIM사에서생산되는 RS-232통신을가능토록해주는송수신 IC이다. 송수신드라이브를각각 2개씩가지고있다. AVR과컴퓨터가인식하는 0과 1의값이다르기때문에.. 마이컴컴퓨터 이차이를해결해주는것이다. 0 0V -10V 1 5V 10V TTL IC 의전원단자와다

MAX232 MAXIM사에서생산되는 RS-232통신을가능토록해주는송수신 IC이다. 송수신드라이브를각각 2개씩가지고있다. AVR과컴퓨터가인식하는 0과 1의값이다르기때문에.. 마이컴컴퓨터 이차이를해결해주는것이다. 0 0V -10V 1 5V 10V TTL IC 의전원단자와다 Code Vison AVR C ATmega 8535 RS232 시리얼통신 컨넥터와신호선 RS232 통신을위한컨넥터는 9핀과 25핀컨넥터가있으나, 최근에는 9핀컨넥터를많이사용한다. 실제데이터가송수신되는핀은 TXD(3) 와 RXD(2) 이고기능은다음과같다. 9 핀컨넥터의모습 TXD - Transmit Data 비동기식직렬통신장치가외부장치로데이터를보낼때, 직렬통신데이터가나오는신호선

More information

Microsoft PowerPoint - ccs33_bios_PRD.ppt [호환 모드]

Microsoft PowerPoint - ccs33_bios_PRD.ppt [호환 모드] 1. CCS3.3 DSP/BIOS PRD(periodic fuction manager) 생성 1. 디렉토리구성.. cmd..dsp2833x_headers.. include.. testprj_2.. testsrc_2 : Linker 컴맨드파일 : Chip관련헤더파일및헤더용 Linker 컴맨드파일 : 사용자인쿠르드파일 : 사용자프로젝트파일및실행파일 (.HEX)

More information

<4D F736F F D20B1E2BCFAC0DAB7E1202D20454F435220B8F0B5E5B9F6BDBA20C5EBBDC5C1A6C7B020BBE7BFEBB9FD202D F302E646F63>

<4D F736F F D20B1E2BCFAC0DAB7E1202D20454F435220B8F0B5E5B9F6BDBA20C5EBBDC5C1A6C7B020BBE7BFEBB9FD202D F302E646F63> 통신설정 1. Parity Bit 가무엇인가요? 어떻게설정해야합니까? 시설치단계에서통신케이블을연결하고, PCON 또는 PDM 등을통해설정을변경하여시스템과연결하고자할때 EOCR 통신제품에서지원하는프로토콜은 Modbus-RTU 로서, 데이터는 8 비트로구성되며, 데이터의무결성을검증하기위하여데이터비트에 parity bit 1 비트를더해서함께보냅니다. Even Parity

More information

CAN 통신

CAN 통신 CAN 통신 2008 년 4 월 2 일에이스트로닉스 양은숙 목차 CAN 통신이란 CAN 통신특징 CAN 통신규격 CAN 통신응용범위 AT90CAN128 의특징 AT90CAN128 의회로구조 CAN Controller 의구조 AT90CAN128 의 CAN Register Source 예제 1. CAN 통신이란 1988년 Bosch와 Intel에서개발된차량용네트워크시스템.

More information

1 1. INTRODUCTION 2 2. DOWNLOAD Windows Desktop & Server Max OS X, Linux, Windows CE 2 3. API REFERENCE CAN_OpenVcp CAN_Op

1 1. INTRODUCTION 2 2. DOWNLOAD Windows Desktop & Server Max OS X, Linux, Windows CE 2 3. API REFERENCE CAN_OpenVcp CAN_Op USB2CAN USB2CAN-VCP USB2CAN-FIFO API Reference Manual ver. 1.00 Updated in November 2013 1 1. INTRODUCTION 2 2. DOWNLOAD 2 2-1. Windows Desktop & Server 2 2-2. Max OS X, Linux, Windows CE 2 3. API REFERENCE

More information

정보보안 개론과 실습:네트워크

정보보안 개론과 실습:네트워크 ` 마이크로프로세서설계및실습 12-13 주차강의자료 학습목표 A/D 변환기의제어방법을이해한다 능숙하게 A/D 변환기를제어할수있도록반복실습한다 2/28 아날로그 - 디지털변환회로 아날로그 - 디지털변환회로 (A/D 변환회로 ) 는, 아날로그전기신호를디지털전기신호로변환하는전자회로이다 A/D 컨버터 (ADC: Analog-to-digital converter) 라고도불린다

More information

UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ UL UART PORT1 void UAR

UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ UL UART PORT1 void UAR IMC-V0.1 예제소스파일 1. UART 소스코드 (page 1-3) 2. Encoder 소스코드 (page 4-7) 3. ADC 소스코드 (page 8-10) UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

untitled

untitled CAN BUS RS232 Line CAN H/W FIFO RS232 FIFO CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter PROTOCOL Converter CAN2RS232 Converter Block Diagram > +- syntax

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

Microsoft Word - STM32 BxCAN.doc

Microsoft Word - STM32 BxCAN.doc 개정내역 버전개정내역일자 0.1.0 첫번째릴리즈 2011/08/29 사용된 Tool 버전 Tool IAR EWARM Kickstart Edition Version 5 또는이후버전 참고문서 번호 회사명 문서명 1 STMicroelectronics RM0008 STM32 Reference manual 2 IAR Systems EWARM_IDEGuide.ENU.pdf

More information

TMS320F28335 칩과 SYNCWORKS 사에서제작한 SMC150 기판을사용하기로결정하였다. 마지막으로소리출력부분에대해서는 MP3 모듈과스피커를사용, 해당구간에해당하는음원파일을재생하기위한장치로네오틱스사에서나온 NA-EMP3 보드와 NA-EMP3M 칩을사용하여소리를

TMS320F28335 칩과 SYNCWORKS 사에서제작한 SMC150 기판을사용하기로결정하였다. 마지막으로소리출력부분에대해서는 MP3 모듈과스피커를사용, 해당구간에해당하는음원파일을재생하기위한장치로네오틱스사에서나온 NA-EMP3 보드와 NA-EMP3M 칩을사용하여소리를 소리나는모터시스템을이용한전기자동차개발 Development of Electric Car by Application of Virtual Motor Sound System 전기전자공학전공 : 김주성, 김성기 Ju-Sung Kim and Seong-Ki Kim 강원대학교 IT 대학전기전자공학부전기전자공학전공 E-mail: jusung37@naver.com, hermes0si@naver.com

More information

Chapter #01 Subject

Chapter #01  Subject Device Driver March 24, 2004 Kim, ki-hyeon 목차 1. 인터럽트처리복습 1. 인터럽트복습 입력검출방법 인터럽트방식, 폴링 (polling) 방식 인터럽트서비스등록함수 ( 커널에등록 ) int request_irq(unsigned int irq, void(*handler)(int,void*,struct pt_regs*), unsigned

More information

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1 SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 100) 1 Contents 1 INTRODUCTION 2 PROTOCOL FRAME OUTLINE 3 FUNCTION FIELD 4 DATA FIELD 5 CRC CHECK 6 FUNCTION EXAM 7 EXCEPTION RESPONSE 8 I/O STATUS

More information

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-PIR100은 UART 인터페이스를통하여인체모션감지 (PIR) 데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. ( PIR: Pyroelectric

More information

Microsoft PowerPoint - polling.pptx

Microsoft PowerPoint - polling.pptx 지현석 (binish@home.cnu.ac.kr) http://binish.or.kr Index 이슈화된키보드해킹 최근키보드해킹이슈의배경지식 Interrupt VS polling What is polling? Polling pseudo code Polling 을이용한키로거분석 방어기법연구 이슈화된키보드해킹 키보드해킹은연일상한가! 주식, 펀드투자의시기?! 최근키보드해킹이슈의배경지식

More information

Microsoft PowerPoint - 9.Serial.pptx

Microsoft PowerPoint - 9.Serial.pptx 8051 Serial 통신 Mode 0 : 동기통신 Mode 123 1,2,3 : 비동기통신 dolicom@naver.com http://blog.naver.com/dolicom /d li 통신시동시에보내는데이터비트수 패럴럴통신 (Parallel) 두지점간데이터시데이터연결수가많다. 8비트를많이사용 LPT(Printer), SCSI(HDD), ATAPI(HDD)

More information

AVR Atmega128

AVR Atmega128 AVR Atmega128 외부인터럽트 중원대학교최재영 인터럽트와폴링 MCU 에서입력을받아들이는방법은폴링방식과인터럽트방식이있음 - 폴링 (Polling) 방식 : 사용자의명령어에의해서하드웨어의변경사항을주기적으로읽어들이는방식 주기적으로하드웨어의변화를체크하기때문에사용자의프로그래밍에따라다양핚변화에대응이가능하지만 CPU 의점유율이높기때문에반응속도가느리다. 인터럽트 (Interrupt)

More information

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver 1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-MOS100은 UART 인터페이스를통하여토양수분데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. PC에서는 OSTSen-MOS100에서제공하는토양수분데이터를

More information

Microsoft PowerPoint - 6. UART통신 사용.ppt [호환 모드]

Microsoft PowerPoint - 6. UART통신 사용.ppt [호환 모드] 강좌 : UART 통신사용 본강좌에서는좀더원칙적인방식으로예제실습에접근해봅니다. ST사의최신자료를다운로드하여수정사용작성일자 : 2010.5.26 목표 : 이번강좌에서는비동기 (UART) 통신에대해서다루어봅시다.UART 통신동작의이해는여러응용장치를만드는데, 매우필요한기술로각종설정, 표 시, 저장장치의응용, 각종센서류와의인터페이스, GPS, RF 장치등여러곳에서비동기통신기술을필요로합니다.

More information

네트워크 설정

네트워크 설정 158. 내용 비고 제조사 기기명 통신모듈 MITSUBISHI MELSEC(GOLDSEC-M) AJ71E71(MJ71E71)/QJ71E71 통신방식 Ethernet 3 장참조 프로토콜 3E 통신프레임표시가능 1 장 8 쪽참조 쓰기후읽기가능 1 장 9 쪽참조 통신설정 1. 통신포트설정 소켓포트번호네트워크통신을위해지정된소켓포트번호를입력합니다. 값은 5000 에서

More information

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-THL100은 UART 인터페이스를통하여온도, 습도, 조도데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에쉽게적용할수있도록소형으로제작되었습니다. PC에서 OSTSen-THL100의온도,

More information

HWP Document

HWP Document KSControl.com TITLE 'Middle ware UART PIC16C711' LIST P=16C711 INCLUDE "P16C711.INC" [OSC=HS 4MHz OSC,WDT=ON] [ KAESUNG CONTROL_Jong-Kyoon Lee / www.kscontrol.com ] ===============================================

More information

lecture4(6.범용IO).hwp

lecture4(6.범용IO).hwp 제 2 부 C-언어를 사용한 마이크로컨트롤러 활용기초 66 C-언어는 수학계산을 위해 개발된 FORTRAN 같은 고급언어들과는 달 리 Unix 운영체제를 개발하면서 같이 개발된 고급언어이다. 운영체제의 특성상 C-언어는 다른 고급언어에 비해 컴퓨터의 하드웨어를 직접 제어할 수 있는 능력이 탁월하여 마이크로프로세서의 프로그램에 있어서 어셈블 리와 더불어 가장

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_ 통신프로토콜사용자메뉴얼 리얼시스 TEL : 031-342-3000 FAX : 031-343-0003 주소 : 경기도안양시동안구호계동 1027번지안양IT밸리 504호 - 1 - [ 공통용어설명 ] 통신프로토콜 UART_ Analyzer 통신프로토콜공통형식 1. 동작요청명령및정상응답구조 시작문자 명령코드 Hex ASCII 데이터문자열 Check Sum

More information

LS XGT SERIES Connection Manual

LS XGT SERIES Connection Manual GP-ProPBIII 접속매뉴얼 LS Industrial Systems - XGT Series Cnet 편 - Recognition Check Creation 유성철 정원영 [ 개정이력 ] 일시 개정내용 비고 2006.09.06 드라이버매뉴얼개정. 2006.10.25 시스템구성도수정. 결선도수정. 부록추가 2007.01.20 RS232C결선도수정 (ST측 8번->Shell)

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론 강 AVR bard & dwlad cable 2009 년도 학기 A V R 을시작하며 AVR bard Pi cfigurati 64 개의핀으로구성 Vcc(2) Avcc() GND(3) 입출력핀 (8*6+5) Clck, reset, Aref, PEN 한개의핀이두개이상의기능을수행하기도한다. Pi cfigurati I/O PORT A~G 의 7 개의 prt 중 A~E

More information

슬라이드 1

슬라이드 1 AVR(Atmega128) Interrupt 1 Interrupt Polling 사용자가명령어를사용하여입력핀의값을계속읽어서변화를알아냄 모든경우의입력또는값의변화에대응하여처리가가능 Interrupt MCU 자체가하드웨어적으로그변화를체크하여변화시에만일정한동작 하드웨어적으로지원되는몇개의입력또는값의변화에만대응처리가가능 처리속도는일반적인경우인터럽트가빠름 인터럽트발생시

More information

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16),

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16), All In OneSTEP MBCD-13A ( 스텝모터용 1 축컨트롤러 / 드라이버일체형 ) 사용설명서 MotionBank 1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64),

More information

Microsoft Word - EWKit-RS232.doc

Microsoft Word - EWKit-RS232.doc EWKit-RS232(WAM-424XSS 장착상태 ) 사진 구성품 (Test Board, USB Power Cable, RS-232 Cable) EM Tech 대전대덕구대화동 289-1 공구상가 5 동 227 호 TEL: (042) 623-4470 http://www.wgmsk.com - 1 - www.wgmsk.com 2 6 EWKit-RS232 및 AFSKtest

More information

USB2CAN USB2CAN-UART USB2CAN-FIFO API Reference Manual Copyright NTREXLAB

USB2CAN USB2CAN-UART USB2CAN-FIFO API Reference Manual Copyright NTREXLAB USB2CAN USB2CAN-UART USB2CAN-FIFO API Reference Manual Copyright NTREXLAB http://ntrexgo.com 설명서에포함된정보는정확하고신뢰성이있는내용입니다. 그러나출판당시발견되지않은 오류가있을수있으니사용자는자신의제품검증을수행하시기바라며, 전적으로설명서에포 함된정보에의존하지마시기바랍니다. 1 소개 1 2

More information

RaspberryPi 시리얼통신 1 제 08 강 시리얼통신제어 시리얼통신시리얼모니터링루프백시리얼통신 Win. PC와의시리얼통신가상머신과의시리얼통신아두이노보드와의시리얼통신 KUT51보드와의시리얼통신

RaspberryPi 시리얼통신 1 제 08 강 시리얼통신제어 시리얼통신시리얼모니터링루프백시리얼통신 Win. PC와의시리얼통신가상머신과의시리얼통신아두이노보드와의시리얼통신 KUT51보드와의시리얼통신 RaspberryPi 시리얼통신 1 제 08 강 시리얼통신제어 시리얼통신시리얼모니터링루프백시리얼통신 Win. PC와의시리얼통신가상머신과의시리얼통신아두이노보드와의시리얼통신 KUT51보드와의시리얼통신 시리얼통신 * Serial 활성화후재부팅 $ sudo raspi-config RaspberryPi 시리얼통신 2 $ sudo reboot : 시리얼통신을위한장치파일

More information

ATmega128 교재 - 8장 EEPROM.hwp

ATmega128 교재 - 8장 EEPROM.hwp 8.1 EEPROM 과 Flash Memory ATmega128에는프로그램메모리로서 128KB의플래시메모리를내장하고있고데이터메모리로서 4KB의 EEPROM을내장하고있다. EEPROM과플래시메모리는하나의뿌리에서발전해온매우유사한메모리이지만사용방법이서로다르다. 이것들은오늘날독립된메모리소자로서도널리사용되고있으므로충분히알아둘필요가있다. EEPROM(Electrically

More information

KEY 디바이스 드라이버

KEY 디바이스 드라이버 KEY 디바이스드라이버 임베디드시스템소프트웨어 I (http://et.smu.ac.kr et.smu.ac.kr) 차례 GPIO 및 Control Registers KEY 하드웨어구성 KEY Driver 프로그램 key-driver.c 시험응용프로그램 key-app.c KEY 디바이스드라이버 11-2 GPIO(General-Purpose Purpose I/O)

More information

IoT FND8 7-SEGMENT api

IoT FND8 7-SEGMENT api IoT FND8 7-SEGMENT api http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

Section 03 인터럽트활성화와인터럽트서비스루틴연결 34/82 장치에대한인터럽트설정과활성화 내부장치에대한특수레지스터존재 장치의특성을반영한동작설정용또는상태관찰용비트로구성 인터럽트사건의발생패턴을설정해야함 인터럽트활성화비트를 1 로셋하여, 인터럽트발생을허락» 전제, 전역

Section 03 인터럽트활성화와인터럽트서비스루틴연결 34/82 장치에대한인터럽트설정과활성화 내부장치에대한특수레지스터존재 장치의특성을반영한동작설정용또는상태관찰용비트로구성 인터럽트사건의발생패턴을설정해야함 인터럽트활성화비트를 1 로셋하여, 인터럽트발생을허락» 전제, 전역 Section 03 인터럽트활성화와인터럽트서비스루틴연결 33/82 Section 03 인터럽트활성화와인터럽트서비스루틴연결 34/82 장치에대한인터럽트설정과활성화 내부장치에대한특수레지스터존재 장치의특성을반영한동작설정용또는상태관찰용비트로구성 인터럽트사건의발생패턴을설정해야함 인터럽트활성화비트를 1 로셋하여, 인터럽트발생을허락» 전제, 전역인터럽트활성화비트가 1 로셋되었을때

More information

Microsoft Word - IRM9600x Spec.doc

Microsoft Word - IRM9600x Spec.doc IRM-9600x EM Tech 대전대덕구대화동 289-1 공구상가 5 동 227 호 TEL: (042) 623-4470 - 1 - 1. 주요기능및규격 PWM 변조방식 (Carrier Frequency: 307.2 KHz) Scrambler & Descrambler 기능통신지연 : 2.5 Bit 이하 ( 송신기 : 1Bit, 수신기 : 1.5 Bit) 빠른자동모드전환

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Text-LCD Device Control - Device driver Jo, Heeseung M3 모듈에장착되어있는 Tedxt LCD 장치를제어하는 App 을개발 TextLCD 는영문자와숫자일본어, 특수문자를표현하는데사용되는디바이스 HBE-SM5-S4210 의 TextLCD 는 16 문자 *2 라인을 Display 할수있으며, 이 TextLCD 를제어하기위하여

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 TI DSP Programmer(v1.4) for TMS320LF240xA & TMS320F281x Device ( 모델명 : TDProg) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - TDProg v1.4 Update History < Ver1.4

More information

CPX-E-SYS_BES_C_ _ k1

CPX-E-SYS_BES_C_ _ k1 CPX-E 8727 27-7 [875294] CPX-E-SYS-KO CODESYS, PI PROFIBUS PROFINET (). :, 2 Festo CPX-E-SYS-KO 27-7 ... 5.... 5.2... 5.3... 5.4... 5.5... 5 2... 6 2.... 6 2..... 6 2..2 CPX-E... 7 2..3 CPX-E... 9 2..4...

More information

Microsoft Word - AM-SLCD_시리얼 LCD_ 메뉴얼.doc

Microsoft Word - AM-SLCD_시리얼 LCD_ 메뉴얼.doc 영문시리얼 LCD 모듈 ( Model : AM-SLCD) 메뉴얼 뉴테크놀로지컴패니 (N.T.C) 1 AM-SLCD ( 영문시리얼 LCD 모듈 ) 소개 영문 Character LCD 를 Serial 을이용하여터미널모드와커맨드모드로제어할수있다. 터미널모드는시리얼로출력되는 ASCII Code 데이터를 LCD 화면에보여주는기능이다. 커맨드모드는통신커맨드에해당하는데이터를수신하여

More information

TOP-R V1.0 접속 매뉴얼

TOP-R V1.0 접속 매뉴얼 LS Industrial Systems Co., Ltd. STARVERT Inverter Series LSBus Driver 지원버전 TOP Design Studio V1.0 이상 CONTENTS 본사 M2I의 Touch Operation Panel(M2I TOP) Series 를사용해주시는고객님께감사드립니다. 본매뉴얼을읽고 TOP-R 외부장치 의접속방법및절차를숙지해주십시오.

More information

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드]

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드] 제품명 : STM_LCD 보드 STM_LCD 보드는 STMF0 Cortex-M 를처음사용하시는분들께편리한개발환경을제공합니다. 다양한통신커넥터를구비하고있어서특히산업용제품을개발하시고자하는분께좋은 Prototype을제공합니다. 부트보드특징 : 선택 STMF0RBT 스위치 SPI 통신 개 RS 통신 개 RS 통신 IC 통신 C 확장 Data Flash: DB 입출력

More information

V. 통신망 기술

V. 통신망 기술 오류검출기법 데이터전송오류 (error) 를검출하는기법 메시지전송시오류검출코드를데이터꼬리부분에부착하여전송하고수신측에서는이를사용하여오류발생여부판단 오류검출기법 패리티검사 블록합검사 (block sum check) 순환중복검사 (CRC : Cyclic Redundancy Check) 1 오류검출의기본원리 E= f(data) E,E = 오류검출코드 f = 오류검출함수

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

K&R2 Reference Manual 번역본

K&R2 Reference Manual 번역본 typewriter structunion struct union if-else if if else if if else if if if if else else ; auto register static extern typedef void char short int long float double signed unsigned const volatile { } struct

More information

ABC 11장

ABC 11장 12 장고급응용 0 수행중인프로그램 프로세스 모든프로세스는유일한프로세스식별번호 (PID) 를가짐 유닉스에서는 ps 명령을사용하여프로세스목록을볼수있음 12-1 프로세스 $ ps -aux USER PID %CPU %MEM SZ RSS TT STAT START TIME COMMAND blufox 17725 34.0 1.6 146 105 i2 R 15:13 0:00

More information

NERO_M128_V10.opj

NERO_M128_V10.opj SW 0 R 0R ISP Port REF 0.uF PE P R 0K 0.uF R 0R 0.uF JP HEER/X 0.uF X pf PF PF PF PF PF PF PF REF TK TMS TO TI PE PE PE PE PE PE PE P0 P P P P P P P Y MHz X pf JTG Port IR_FREQ IR_OUT 0 0 0 TK TO TMS TI

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED Controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

// 변수선언 unsigned char i; unsigned char FONT[]={0xC0, 0xF9, 0xA4, 0xB0, 0x99, 0x92, 0x82, 0xD8, 0x80, 0x98}; //PORTA 를출력으로설정하고초기값은모두 0 PORTA = 0x00; DD

// 변수선언 unsigned char i; unsigned char FONT[]={0xC0, 0xF9, 0xA4, 0xB0, 0x99, 0x92, 0x82, 0xD8, 0x80, 0x98}; //PORTA 를출력으로설정하고초기값은모두 0 PORTA = 0x00; DD Code Vison AVR C ATmega8535 ATmega8535 제어실습 Chapter 1 I / O 포트제어하기 FND 제어 FND에는 Vcc를이용하는애노드 (anode) 형과 Gnd를이용하는 cathode형이있다. LED가여러개모여있다생각하고 LED선택에따라문자와숫자를만들어낼수있다. 최대한많은영문자를만들기위해대문자와소문자를혼합한다. ( 표현가능한문자

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

PowerPoint Presentation

PowerPoint Presentation Korea Tech Conference 2005 년 5 월 14 일, 서울 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 1 Parallel port 를이용한가전제품 제어 임효준 LG 전자 imhyo@lge.com 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 2

More information

Microsoft PowerPoint - 04-UDP Programming.ppt

Microsoft PowerPoint - 04-UDP Programming.ppt Chapter 4. UDP Dongwon Jeong djeong@kunsan.ac.kr http://ist.kunsan.ac.kr/ Dept. of Informatics & Statistics 목차 UDP 1 1 UDP 개념 자바 UDP 프로그램작성 클라이언트와서버모두 DatagramSocket 클래스로생성 상호간통신은 DatagramPacket 클래스를이용하여

More information

Product Brief Manual

Product Brief Manual Product Brief Manual ELS-6XM Motion Sensor Processing Unit Embedded and Logic Solution elogics 이로직스 Rm607-1,DigitalEmpire,#685Gasandong,Geumcheon-gu 디지털엠파이어 607-1호 ( 우 : 153-023) 서울특별시금천구가산동 685 Seoul,

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 임베디드시스템기초 (#514115 ) #2. GPIO & Matrix Keypad 한림대학교전자공학과이선우 Short Review #1 General Purpose Input Output (GPIO) Output port Input port Switch 사용방법 2 General Purpose Input Output(GPIO) port 모든 MCU의가장기본적이고중요한주변장치

More information

0.1-6

0.1-6 HP-19037 1 EMP400 2 3 POWER EMP400 4 5 6 7 ALARM CN2 8 9 CN3 CN1 10 24V DC CN4 TB1 11 12 Copyright ORIENTAL MOTOR CO., LTD. 2001 2 1 2 3 4 5 1.1...1-2 1.2... 1-2 2.1... 2-2 2.2... 2-4 3.1... 3-2 3.2...

More information

슬라이드 1

슬라이드 1 데이터수집 (Labview VISA) 시리얼통신개요 시리얼통신은일반컴퓨터와주변계측장비의비동기식통신수단으로지금까지도많이쓰이는인터페이스중에하나입니다. 이러한이유로대부분의데스크탑컴퓨터에는 RS-232C (Recommended Standard 232 Revision C) 형을시리얼포트를내장하고있어 1:1 통신을수행하며, 1: 多통신인유사한통신방법인 RS-485 통신을사용합니다.

More information

Microsoft Word - DTM-M300_Spec_V1_0.doc

Microsoft Word - DTM-M300_Spec_V1_0.doc Page 1 of 10 Digital Thermopile Module 적외선온도센서모듈 Version 1.0 (-35~300 ) History Version Document name Date Purpose Author 1.0 DTM-M300_Spec_V1_0.doc 13.09.2010 Creation DS Jeong Page 2 of 10 1 제품설명 1.1

More information

untitled

untitled EZ-TFT700(T) : EZ-TFT700(T) : Rev.000 Rev No. Page 2007/08/03 Rev.000 Rev.000. 2007/12/12 Rev.001 1.6 Allstech,,. EZ-TFT700(T). Allstech EZ-TFT700(T),,. EZ-TFT700(T) Allstech. < > EZ-TFT Information(13h)

More information

Robostar Robot Controller Manual 로보스타로봇 RCS 시리즈옵션 C-NET 옵션모듈 - C-NET ( 주 ) 로보스타

Robostar Robot Controller Manual 로보스타로봇 RCS 시리즈옵션 C-NET 옵션모듈 - C-NET   ( 주 ) 로보스타 Robostar Robot Controller Manual 로보스타로봇 RCS 시리즈옵션 C-NET 옵션모듈 - C-NET www.robostar.co.kr ( 주 ) 로보스타 Robostar Robot Controller Manual ROBOSTAR ROBOT RCS Series Option C-NET Option Module - C-NET www.robostar.co.kr

More information

歯설명서_020925_.PDF

歯설명서_020925_.PDF GMPC-III (Protocol Converter-III) USER S MANUAL 1 1 1 4 2 5 2.1 5 2.2 5 2.3 6 3 7 3.1 7 4 8 4.1 8 4.2 8 5 GMPC-III 9 5.1 9 5.2 I-NET 9 5.3 ( ) 9 5.4 ( ) ( ) 10 6 12 6.1 12 7 GMPC-III 13 7.1 CPU 13 7.2

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

인터럽트 * 인터럽트처리메커니즘 ATmega128 인터럽트 2

인터럽트 * 인터럽트처리메커니즘 ATmega128 인터럽트 2 ATmega128 인터럽트 1 제 04 강 인터럽트 (Interrupt) 인터럽트개요외부인터럽트참고 ) FND 회로실습및과제 인터럽트 * 인터럽트처리메커니즘 ATmega128 인터럽트 2 인터럽트 ( 계속 ) ATmega128 인터럽트 3 * 인터럽트벡터 (P.104 표 7.1 참조 ) : 35 개 인터럽트 ( 계속 ) * 인터럽트허용 / 금지메커니즘 ATmega128

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

untitled

untitled Embedded System Lab. II Embedded System Lab. II 2 RTOS Hard Real-Time vs Soft Real-Time RTOS Real-Time, Real-Time RTOS General purpose system OS H/W RTOS H/W task Hard Real-Time Real-Time System, Hard

More information