<C8B8B7CEBCB3B0E8BBEABEF7B1E2BBE7315FC7D8BCB3BABB2E687770>

Size: px
Start display at page:

Download "<C8B8B7CEBCB3B0E8BBEABEF7B1E2BBE7315FC7D8BCB3BABB2E687770>"

Transcription

1 국가기술자격검정실기시험예상문제 자격종목전자회로설계산업기사예상문제작품명도면참조 비번호 ( 등번호 ) 시험시간 : 표준시간 : 4 시간, 연장시간 : 30 분 1. 요구사항 (1) 주어진재료목록을사용하여미완성회로도를보고요구사항과같이회로설계를하시오. (2) 미완성도면을완성하여주어진도면을 CAD 프로그램을사용하여완성하시오. (3) 동작확인을위하여각각의 DESIGN 부분회로를시뮬레이션하여결과를프린트하고, 디스켓에저장하여감독위원의확인후제출하시오. (4) 회로동작및회로설계사항 1 회로는두개의데이터를비교하여표시하는회로이다. 2 회로동작은다음과같다. 구분 FND1 > FND2 FND1 = FND2 FND1 < FND2 FND3 표시홀수카운터 8진카운터짝수카운터 3 주어진회로의블록다이어그램은다음과같다. 발진 10진카운터 FND표시 비교 BCD설정 FND표시 발진 8진카운터 FND표시 D/A변환 V/F변환 TP

2 4 DESIGN A 부분을 IC 4520과 NAND Gate 2개를사용하여 10진업카운터회로를설계하시오. 5 DESIGN B는 NE555 IC를사용하여출력주파수가 1[Hz] 가되도록하고 RESET 스위치를누르면발진이정지하도록회로를설계하시오. 6 DESIGN C는 IC 4520을사용하여 8진업카운터회로를설계하시오. (5) 문제의점선 PCB부분을주어진크기의 PCB( 양면기판 ) 를설계하시오. (PCB의크기 : mm) 1

3 자격종목전자회로설계산업기사예상문제작품명도면참조 2. 수검자유의사항 1) 미리작성된 part program 또는 block은일체사용을금한다. 2) 시험위원의지시에따라실행순서를준수하시고, 시험위원의지시가있기전에전원을 ON-OFF 시키거나검정시스템을임의로조작하여서는안됩니다. 3) 시험중디스켓을주고받는행위나대화등은부정행위로처리하며시험종료후하드디스크에서작업내용을삭제해야합니다. 4) 출력물을확인하여동일작품이발견될경우모두부정행위로처리한다. 5) 만일의기계고장으로인한자료손실을방지하기위하여 20분에 1회씩저장 (save) 하시오. 6) 도면에서표시되지않은규격은 data book에서가장적당한것을선정하여해당규격으로제도합니다. 7) 다음사항에해당하는작품은채점하지아니하고불합격처리하니유의하시오. -표준시간 +30분이내작업을완료하지못한수검자 ( 시험시간 = 표준시간 +30분까지 ) -장비조작미숙으로파손및고장을일으킬염려가있거나출력시간이 20분을초과할경우는감독위원합의하에실격되니유의하시오. -부정행위를한수검자 ( 작업내용을무단복사하여외부로반출하려는행위, 제출한파일내용과출력물의내용이다른경우, 출력과정에서작품내용을수정할경우등 ) -회로설계가미완성이고, 시뮬레이션,PCB 설계를하지못한경우 8) 표준시간내에작품을제출하여야감점이없으며, 연장시간사용시허용연장시간범위내에서매 10분까지마다 2점씩을감점합니다. 9) 실험과관련된파일을디스켓에저장하고, 회로도면출력물과함께제출하시오. ( 단, 작업의인쇄출력물마다문제형별과비번호를상단우측에기재한후감독위원확인을꼭받으시오.) 10) 답안출력이완료되면 수검진행사항점검표 의답안지매수란에수검자가매수를확인하여기록하고, 감독위원의확인을꼭받으시오. 11) 요구한작업을완료하고파일저장디스켓과인쇄출력물을지정한순서에의거편철하여제출할경우에만채점대상에해당됩니다. 12) 특별히지정한것이외에는일반적인상식에준함. 13) 문제는비번호 ( 등번호 ) 기재후반드시제출하시오. 14) 재료목록의재료의수량은도면의내용과일치하지않을수도있으므로주의하여사용하기바랍니다. 2

4 15) PCB 설계시요구사항 1 PCB 기판의크기는 100 * 100( 단위 mm) 로설계한다. 2 기판의층수는양면 (2층) 으로설계하시오. 3 배선과정에서필요한경우에는비아홀 (Via hole) 의사용이가능하나이크기는패드 1mm의크기와홀 0.6mm의크기를지킬것. 4 모든부품은실장소자 (SMD) 의사용을금한다. 5 모든배선은기판의가장자리에서 1.5mm 이상떨어질것. 6 전원 (+5V, Vcc, GND, +12V, -12V) 선의두께는 1.5mm 이상으로설계할것. (Vcc와 +5V는동일한 Net임 ) 7 모든신호선의두께는 0.8mm로설계할것. 8 부품과부품의이격거리는최소 3mm이상띠울것.( 부품의외각기준 ) 9 배선과배선간의근접가능한거리는최소 0.8mm이상으로설계할것. 10 부품의기호및크기품명크기품명크기 저항 FET 다이오드 TP IC DIP8 가변저항 마일러콘덴서세라믹콘덴서 3

5 자격종목전자회로설계산업기사예상문제작품명도면참조 3. 도면 4

6 4. 재료목록 자격종목및등급 전자회로설계산업기사 번호재료명규격 ( 치수 ) 단위수량비고 1 IC LF356 개 4 2 IC NE555 개 1 3 IC 4520 개 1 4 IC 4066 개 1 5 IC 4042 개 1 6 IC 4511 개 3 7 IC 4011 개 1 8 IC 4585 개 1 9 IC 4049 개 1 10 저항 1[kΩ], 1/4[W] 개 8 11 저항 2[kΩ], 1/4[W] 개 2 12 저항 330[Ω], 1/4[W] 개 3 13 저항 2.2[kΩ],1/4[W] 개 1 14 저항 4.7[kΩ], 1/4[W] 개 1 15 저항 47[kΩ], 1/4[W] 개 1 16 저항 10[kΩ], 1/4[W] 개 8 17 저항 20[kΩ], 1/4[W] 개 1 18 저항 39[kΩ], 1/4[W] 개 1 19 저항 51[kΩ], 1/4[W] 개 2 20 저항 100[kΩ],1/4[W] 개 1 21 저항 470[kΩ],1/4[W] 개 1 22 반고정저항 1[MΩ] 개 1 23 반고정저항 47[kΩ] 개 1 24 반고정저항 10[kΩ] 개 1 25 마일러콘덴서 0.1[uF] 개 5 26 마일러콘덴서 0.22[uF] 개 1 27 세라믹콘덴서 300[pF] 개 1 5

7 4. 재료목록 자격종목및등급 전자회로설계산업기사 번호 재 료 명 규격 ( 치수 ) 단위 수량 비 고 28 세라믹콘덴서 300[pF] 개 1 29 전해콘덴서 100[uF] 개 1 30 트랜지스터 C1815 개 세그멘트 (-) com 개 3 32 스위치 3P 개 1 33 PB 스위치 2P 개 1 34 DIP 스위치 4P 개 1 6

8 자격종목전자회로설계산업기사예상문제작품명도면참조 문제 1 : DESIGN A. IC 4520과 NAND Gate 2개를사용하여 10진업카운터회로를설계하시오. 1 결과도면 2 시뮬레이션결과 문제2 : DESIGN B. NE555 IC를사용하여출력주파수가 1[Hz] 가되도록하고 RESET 스위치를누르면발진이정지하도록회로를설계하시오. 1 결과도면 2 시뮬레이션결과 문제3 : DESIGN C. IC 4520을사용하여 8진업카운터회로를설계하시오. 1 결과도면 2 시뮬레이션결과 문제 4 : 주어진도면에서 PCB 설계부분만요구조건에맞게 PCB 를설계하시오. 1 도면 2-1 PCB 설계도면 ( Silkscreen top ) 2-2 PCB 설계도면 ( TOP ) 2-3 PCB 설계도면 ( BOTTOM ) 7

9 자격종목전자회로설계산업기사예상문제정답작품명도면참조 8

10 자격종목전자회로설계산업기사예상문제정답작품명도면참조 문제 1 : DESIGN A. IC 4520과 NAND Gate 2개를사용하여 10진업카운터회로를설계하시오. 1 결과도면 VCC U1A V1 +5V DSTM1 S1 Implementation = A 1 2 Q1 CLKQ2 Q3 EN Q4 RESET CD4520B Q0 Q1 Q2 Q U2A CD4011A 4 U3B CD4011A 2 시뮬레이션결과 9

11 [ 해설 ] IC 4520 과 NAND Gate 2 개를사용하여 10 진업카운터회로를설계하시오 OrCAD Capture를실행한다. 메뉴 File > new를선택한다. - 한글경로를사용하지않는다. Create a blank project를선택하고 OK를선택한다. 기본사용법및단축키는 Capture와같다. 라이브러리를추가한다. 메뉴 Place > Part를선택한다. Add library를선택하여모든라이브러리를추가한다. 10

12 회로도를작성한다. 불러올 Part 는 VDC / CD4011A / CD4520B / Digstim1 / 전원 VCC_CIRCLE / 0 이다. 배치후배선을한다. 11

13 메뉴 place > wire 로배선을한다. 메뉴 Place > Net Alias를선택해서 Q0, Q1, Q2, Q3의 Net Alias를작성한다. 전원을 VDC를사용하였으므로 CD4520B와 CD4011A의전원이 VDD와 VSS이므로전원에추가적으로작업을한다. DSTM1의파형을작성한다. DSTM1을선택하고마우스오른쪽버튼의 Edit PSpice Stimulus를선택한다. New Stimulus창이뜨면이름을기입하고 Digital의 Clock를선택한다. 12

14 Clock Attributes 창이뜨면생성할파형을정의한다. OK 를누르면파형이보인다. 13

15 파형확인후메뉴 File 의 Close 를선택한다. Updata schematic? 창이뜨면예를선택한다. 회로도를확인해보면 implementation = A 로저장되어있다. 메뉴 PSpice > New simulation profile 을선택한다. 14

16 Name 란에작성후 Create 를선택한다. Edit Simulation Settings 창이열린다. Time domain 을선택하고 Run to Time 을 15m 를기입한다. [ 시뮬레이션시간설정 ] Option Tap을선택한다. Gate-level Simulation을선택하고 Initialize all filp-flops to : 0 이나 1로설정한다. [ Gate의초기값을 0 또는 1로한다. ] 15

17 확인을선택한다. 메뉴 Pspice > Makers > Voltage Leval 을선택한다. 아이콘에서선택시 회로도에서 Q0, Q1, Q2, Q3 의 net 에 voltage Maker 를붙인다. 16

18 메뉴 Pspice > Run 또는 F11 키를누른다. Pspice AD 가실행된후시물레이션결과가나타난다. 결과물레포트작성하기. 메뉴 Windows > Copy to Clipboard를선택한다. 17

19 흑백으로선택하고 Ok 를선택한다. 문서작성프로그램을연다. 마우스오른족버튼의붙여넣기를선택한다. - 붙여넣기로붙여넣은모습. 18

20 Digital Stimulus를대신해서 Digital Clock를사용해도결과는같다. 부품을불러올때 DigClock을불러온다, 수정 시뮬레이션하면결과는같은값이출력된다. 전원의경우따로표기하지않아도시물레이션에는지장이없으나회로도작성이문제이기때문에전원을표기해준다. 19

21 문제2 : DESIGN B. NE555 IC를사용하여출력주파수가 1[Hz] 가되도록하고 RESET 스위치를누르면발진이정지하도록회로를설계하시오. 1 결과도면 VCC VCC R1 10k R3 1k U s 1 2 U2 V1 +5V R2 2.2k VCC RESET DISCHARGE 3 OUTPUT THRESHOLD TRIGGER GND CONTROL alt OUTPUT C1 100uF C2 0.1uF 시뮬레이션결과 20

22 [ 해설 ] 문제1과동일한순서로진행한다, Part : Vdc, R, C, 555alt, sw_tclose 전원 : Vcc_circle, 0 Port : PORTNO-L 555alt 의핀배치를수정한다. 수정 소자의값을수정하고, 배선을완료한다. 21

23 메뉴 PSpice > New simulation profile을선택하여이름을기입한다. 그림과같이설정한다. Skip the initial transient bias point calculation[skipbp] 란에체크를한다, L과 C의초기값을무시하고계산한다. 메뉴 Pspice > Makers > Voltage Leval을선택하여 OUTPUT net을선택한다. 메뉴 Pspice > RUN 또는 F11을선택한다. Pspice AD가실행된후시물레이션결과가나타난다. 22

24 메뉴 View > Measurement Results 를선택한다. Measurement Results 창의빈칸을클릭한다. Evaluate Measurement 창이뜨면오른쪽창에서 Period(1) 을선택하고왼쪽창에서 V(OUTPUT) 를선택한다. 차례대로선택하면하단에자동기입되어진다. OK를선택하면주기를확인할수있다. 23

25 주기가 로나타난다. 문제의조건에만족하므로결과파형을저장한다. 메뉴 Windows > Copy to Clipboard를선택한다. 문서작성프로그램에붙이기로붙여넣는다. 24

26 문제3 : DESIGN C. IC 4520을사용하여 8진업카운터회로를설계하시오. 1 결과도면 VCC U1A V1 +5V DSTM1 S1 Implementation = A 1 2 Q1 CLKQ2 Q3 EN Q4 RESET CD4520B Q0 Q1 Q 시뮬레이션결과 [ 해설 ] 문제 1 과동일 25

27 문제 4 : 주어진도면에서 PCB 설계부분만요구조건에맞게 PCB 를설계하시오. 1 도면 R20 C4 47k +12V 300pF +12V +12V TP U V R21 6 R26 LF k 51k R27 51k R29 47k U17 C V LF356 D3 1S1588 R24 4.7k U V R23 10k R25 10k LF PCB 설계도면 ( Silkscreen top ) 26

28 2-2 PCB 설계도면 ( TOP ) 2-3 PCB 설계도면 ( BOTTOM ) 27

29 [ 해설 -OrCAD Layout] Ⅰ. 회로도작성하기 Sub note - 모든경로의이름을영문으로작성한다. [ 저장경로, 파일명..] - 단축키를사용한다. - 부품을정확하게불러온다. - 핀과핀을직접연결하지않는다. ( 수정시불리 ) - 주어진회로도와똑같이작업한다. - 보이지않는전원핀의속성을수정한다 새로운프로젝트의시작 - 영문으로작성한다. 숫자는가능하나한글이나특수문자 (!,&,*), 특히빈칸을허용하지않는다. - 저장경로는폴더까지확인한다. 28

30 2. 환경설정 - 모든환경설정은 option에서한다. - Backup 여부에관계없이프로그램의비정상종료시복원해주는기능이다. - 10분간격으로 3개의파일을백업한다. Browse를눌러현재작업폴더로경로를지정해준다. - 페이지사이즈지정 [ 출력할용지에맞춘다.] 29

31 3. 라이브러리추가 - 단축키 P를눌러모든라이브러리를추가시킨다. 30

32 4. PART 배치하기 - 빠르고정확하게작업하기위해단축키를사용한다. 단축키 P를눌러부품을불러온다. 부품배치시단축키 R, H, V 를사용한다. 부품복사기능을최대한효율적으로사용한다. 예 ] 부품값및레퍼런스를정리, 부품값설정후복사하면빠르게작업가능하다. 부품또는영역복사는먼저마우스로선택후 Ctrl + C 또는 Ctrl 키를누른상태에서마우스로드래그한다. - TR, JFET 불러오기예 ] NPN-ECB의경우는 NPN 타입이며핀번호는 E=1, C=2, B=1번이된다. JFET N DGS의경우는 N 타입이며핀번호는 D=1, G=2, S=3번이된다. 정확히불러오면핀번호를수정할필요가없다. - PART LIST CAP NP / NPN ECB / R /DIODE / LF356 / RESISTOR VAR 2 / T POINT R - SYMBOL GND_EARTH / VCC_CIRCLE / VCC_WAVE Reference를주어진회로도에맞추어변경한다. 부품값을주어진회로도에맞추어변경한다. LM324의핀배치를주어진회로도와일치하게수정한다. 배치후배선한다. 31

33 R20 C4 47k 300pF +12V +12V +12V TP V U16 LF356 R21 R26 100k 51k R27 51k R29 49k V U17 LF356 R24 4.7k V U18 LF356 R23 10k C1815 R25 10k D3 1S DRC 검사기본검사를수행하고에러가있으면수정한다. 6. Footprint 작업 - 각부품에주어진 Footprint를참조하여 OrCAD Layout의 Footprint명을입력한다. Find(Ctrl+F) 기능을통해부품 (parts) 만선택, Edit properties 속성창에서직접입력하는방법을사용한다. - OrCAD Layout Library Manager 를실행, 해당 Footprint 명을복사, 붙여넣기한다. 32

34 33

35 - 동일한 Footprint 명은한꺼번에입력한다. - 아래와같이비어있던 PCB Footprint 속성란을모두채운다. 34

36 Part Reference PCB Footprint 속한 Library C4 RAD/.200X.100/LS.100/.031 TM_CYLND C1815 TO92/100 TO R21~R25 AX/.400X.100/.034 TM_AXIAL D3 DAX1/.400X.080/.028 TM_DIODE TP TP TP U16, U17, U18 DIP.100/8/W.300/L.400 DIP100T R20 VRES52 VRES 7. Netlist 생성 - Capture 의최종작업산출물인 Netlist를생성한다. - Layout 에서 mm 단위작업예정으로 millimeter 타입으로생성 35

37 - Netlist 가저장되는경로를기억하도록한다 ( 경로에는한글이포함되지않도록한다 : OrCAD Layout이한글인식못함 ) - 확장자 *.mnl 이생성된것을확인할수있다. 작업중데이터가분실된경우 - DSN은열리나 OPJ파일이없는경우이런경우에는 Backup 파일을열어다른이름으로저장하면복원된다. 36

38 - 파일형식을 All File로설정하고확장자 DBK를연다. 파일속성을보고가장최근시간에생성된파일을연다. 파일이열리면다른이름으로저장한다. 37

39 Ⅱ. PCB 설계 Sub note - Capture에서생성된 Netlist를 Layout 에서 New.. 를통해시작한다. - Footprint 정보가 Pin수불일치, 오타등으로에러가발생하지않도록확인한다. - 부품배치 / 배선전각요구사항을먼저설정해놓는다 ( 전략파일, 기판층수, 배선폭, 컬러 ) - Zoom 단축키 ( 키보드 I, O) 사용하여신속히설계한다. - 각메뉴별단축키를충분히활용한다. - 툴바의아이콘 Refresh All, 또는키보드 F5 로설계창수시 Redraw 새로운보드설계시작하기 - Netlist와 Netlist의설계단위와알맞은환경파일을새로 load 시킨다. 38

40 - Netlist를 mm로생성하였기때문에 default.tch 라는 mm환경의테크파일을선택한다 - Capture에서생성시킨 Netlist( 확장자명 *.mnl) 를선택한다 ( 저장경로에는한글이포함되면안됨 ) 39

41 - 확인후 Apply ECO 선택 - 정확하게 Footprint 값이입력되었다면아래와같이확인창이나오고, Accept 한다. 40

42 2. 기본설계환경설정하기 - 먼저 Online DRC 를해제한다 ( 흰점선사각영역이사라진다 ) - 기판층수에맞는전략파일 (*.sf) 를 Load 시킨다. 메뉴바 File Load.. ( 기본적으로해당층수에맞는설계타입으로선택되어설정이편리해진다 ) 41

43 - 2 층리드형부품을사용하는설계타입인 2 thr_h 선택한다 - 전략파일이있는경로 [ 요구사항 ] 기판의층수는양면 (2층) 으로설계하시오. - 2층기판층수를툴바의아이콘 View Spreadsheet 의 Layers에서설정한다. (PCB를설계하는모든정보를알수있는메뉴가 View Spreadsheet에포함되어있다 ) - 2층기판이기때문에 TOP, BOTTOM면만 Routing 으로한상태에서나머지 GND, POWER, Layer를 Unused 시킨다. 42

44 - 전원선 (24mil) 과일반선 (12mil) 의배선폭을툴바의아이콘 View Spreadsheet 의 Nets에서설정한다 [ 요구사항 ] 전원 ( +5V, Vcc, gnd, +12V. -12V) 의두께 1.5mm [ 요구사항 ] 신호선의두께 0.8mm 43

45 net의색상을전원선만다로구별하여바꾸어준다. 색상선택 > 마우스오른쪽버튼 > Change Color > 색상선택 - Spacing 설정 [ 요구사항 ] 부품과부품의이격거리는최소 3mm [ 요구사항 ] 배선과배선간의근접가능한최소이격거리는최소 0.8mm 44

46 - 설계하는데편리하도록툴바의아이콘 Color settings에서 color를설정한다. - 해당 Data 의색상을 color 칸에서키보드 키로기본색상으로설정한다. ( 누를때마다표시 / 비표시반복 ) - SSTOP(SilkScreen Top) 색상만흰색으로변경한다. 45

47 - 아래와같이화면에나타난다. 46

48 - 메뉴바 Options System Settings.. 에서설계단위및그리드를설정한다. 단축키 Ctrl + G 3. Board Outline 그리기 [ 요구사항 ] PCB 기판의크기는 100 * 100( 단위 mm) 로설계한다. - 기판사이즈 ( 가로 100mm X 세로 100mm) 대로보드외곽선을그리기위해툴바의아이콘 Obstacle Tool 선택한후오른쪽마우스팝업메뉴의 New.. 선택. - 한번더오른쪽마우스팝업메뉴의 Properties 선택후 Obstacle Type과 Obstacle Layer를아래와같이확인한후 OK. 47

49 - 정확하게 0, 0( 원점 ) 에서시작하기위해좌표계를이용한다 ( 키보드의 tab 키 ) - 0,0 입력후 OK한후마우스를움직여보면원점에서보드외곽선이시작된다. 좌표 [ 0,0 ] / [ 100,0 ] / [ 100,100 ] / [ 0,100 ] 마우스오른쪽버튼 > finish 선택 48

50 - 치수보조선을그린다. 치수보조선을그리기위해레이어에서 DRL을선택한다.. [ 시험에서는요구하지않음으로시간이나면할것 ] - 메뉴 Tool > Dimension > Snap To Board Outline 선택메뉴 Tool > Dimension > New 선택 보드의가장자리를선택하고치수를배치 - 완성된치수보조선 ( 툴바의아이콘 Reconnect mode 로 ratsnest 표시 / 49

51 비표시 ) - Route Keepin 영역설정 [ 요구사항 ] 모든배선은기판의가장자리에서 1.5mm이상떨어질것 좌표 [ 1.5,1.5 ] [ 1.5,98.5 ] [ 98.5,98.5 ] [ 1.5,1.5 ] 사각형을그린다. 사각형안쪽으로작업한다. 4. 부품 (Footprint) 배치 [ 요구사항 ] 배치도면을보면서그대로배치한다. - 부품배치도대로배치를한다 ( 가장외형이큰순서대로배치를하는것이효과적임 ) - 툴바의아이콘 Component Tool 을선택해놓아야한다. - 오른쪽마우스팝업메뉴의 Queue For Placement 와 Place 기능을통해선택적으로배치한다. - 먼저 [ 부피가큰부품 ] 부터배치한다. - 대. 소문자구분없이 Part Reference를직접입력한다 50

52 - 다시오른쪽마우스팝업메뉴의 Place 를선택하면 U1 선택, OK 후해당위치에배치 - 부품의 1 번핀을고려하여 Rotate 사용, 배치 - 배치가완료되면다시오른쪽마우스팝업메뉴의 Place 선택하여반복배치. 51

53 net 이서로꼬이지않게끔배치한다. 52

54 5. 배선하기 (Routing) - 배선을하기전에 Online DRC를켠다. - 툴바의아이콘 Add/Edit Route Mode 를선택한후오른쪽마우스팝업메뉴의 Minimize Connections( 단축키 M) 을실행한후배선한다. - 전원선부터배선한다. 핀과핀을클릭해가면서 Ratsnest가없어질때까지배선한다. ( 오른쪽마우스팝업메뉴의각메뉴별단축키를최대한활용한다 ) 예 : 예 : - 배선중에동일한 Net는흰색으로하이라이트된다. 하이라이트된 Net 어떠한 Pin에연결해도배선이완료된다. 53

55 Top 과 Bottom을바꿔가며배선한다. ( 숫자키 1과 2를누르면바뀜 ) - 배치 / 배선의완성률은 View Spreadsheet의 Statistics에서확인한다 % 배선이안되었을시작업창에서 Route모드상태에서오른쪽마우스메뉴의 Select Next ( 단축키 N) 선택하면배선되지않은 Ratsnest를자동으로선택해준다. 54

56 6. Reference 배치도대로정리하기 - Reference(R1, R2 ) 는 Silkscreen top레이어에서정리한다. Top Bottom 레이어및기타레이어는보이지않게설정한다. 55

57 빠르게설정하기위해서는키보드의 - 키를누른다. - 툴바의아이콘 Text Tool 선택한후영역 drag, rotate, move 등으로부품배치도와동일하게위치시켜준다. 이동하거나 rotate 시키고자하는텍스트를정확히선택해야한다. 56

58 7. Design Rule Check - 에러가없는정상적인설계 - 에러발생시 - Query 선택 - Error Tool 선택 - 에러마크선택하면에러메시지확인한다음수정, 그리고다시 DRC 실행. 에러가없으면에러마크가자동지워진다. - 에러마크를한꺼번에지울시에는아래메뉴를통해지운다. 57

59 8. Gerber( 거버 ) 파일생성 - 해당레이어만설정해서생성한다. 58

60 - Plot output File Name 칸선택하면전체가선택된 다. - Enable for Post Processing 체크해제 양면기판에필요한레이어만선택한다. 59

61 - Enable for Post Processing 체크 OK 를눌러설정을완료한다. 60

62 - 거버파일생성하기 - 작업폴더에거버파일이생성되어있다. 9. Gerber( 거버 ) 파일출력하기 61

63 - 예 선택 - Color 창에서전체 Layer 의체크를해제한다. - SST 만선택 - Print Setup.. 에서프린트가로설정 62

64 - Page Setup 에서 Fit to page, Center 체크 63

65 - Print Preview 에서확인후인쇄 인쇄를한다. 다시레이어를 Top 을선택해서인쇄를한다. 64

66 - TOP, 선택 레이어를 Bottom 만선택해서인쇄를한다. - BOT 선택 65

67 완료된인쇄물과작업데이터를저장하여제출한다. - 수고하셨습니다 - 66

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Chapter 4. Layout 시작하기 4. Layout 시작하기 [ 시작 ]-[ 모든프로그램 ]-[OrCAD 10.0 Demo]-[Layout Demo] 를 클릭하여실행 클릭 레이아웃작업틀 (Layout session frame) 4.1 기존의보드파일불러오기 (1) Layout 기본창에서 Open 선택 (2) C:\OrCAD \OrCAD_10.0_Demo

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

<4F B8A620C0CCBFEBC7D120C8B8B7CE20C0DBBCBAC0C720B1E2C3CA2E687770>

<4F B8A620C0CCBFEBC7D120C8B8B7CE20C0DBBCBAC0C720B1E2C3CA2E687770> Orcad 9.2 capture CIS(Component Information System) 을이용한회로도작성의기초 한국해양대학교지능로봇및자동화실험실 1. 시작 모든프로그램 Orcad Family Release 9.2 Capture CIS 를선택하여프로그램을실행시킨다. 2. New Project를선택하여프로젝트생성윈도우를띄우고나서, 오른쪽의그림예시와같이프로젝트명과디렉토리를설정해준다.

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft PowerPoint - CPLD_수정1.pptx

Microsoft PowerPoint - CPLD_수정1.pptx Xilinx ISE Design Suite 13.1 대진대학교전자공학과 1. 프로젝트생성하기 Xilinx ISE Design Suite 13.1 을실행한다. 새로운프로젝트생성을위해 File New Project 를클릭한다. 1. 프로젝트생성하기 New Project Wizard 창에서기본설정을마치고 Next 를클릭한다. 프로젝트이름 프로젝트생성경로 프로젝트설명

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

4S 1차년도 평가 발표자료

4S 1차년도 평가 발표자료 모바일 S/W 프로그래밍 안드로이드개발환경설치 2012.09.05. 오병우 모바일공학과 JDK (Java Development Kit) SE (Standard Edition) 설치순서 Eclipse ADT (Android Development Tool) Plug-in Android SDK (Software Development Kit) SDK Components

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

EndNote X2 초급 분당차병원도서실사서최근영 ( )

EndNote X2 초급 분당차병원도서실사서최근영 ( ) EndNote X2 초급 2008. 9. 25. 사서최근영 (031-780-5040) EndNote Thomson ISI Research Soft의 bibliographic management Software 2008년 9월현재 X2 Version 사용 참고문헌 (Reference), Image, Fulltext File 등 DB 구축 참고문헌 (Reference),

More information

게임 기획서 표준양식 연구보고서

게임 기획서 표준양식 연구보고서 ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ

More information

System Recovery 사용자 매뉴얼

System Recovery 사용자 매뉴얼 Samsung OS Recovery Solution 을이용하여간편하게 MagicInfo 의네트워크를설정하고시스템을백업및복원할수있습니다. 시스템시작시리모컨의 - 버튼이나키보드의 F3 키를연속해서누르면복구모드로진입한후 Samsung OS Recovery Solution 이실행됩니다. Samsung OS Recovery Solution 은키보드와리모컨을사용하여조작할수있습니다.

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

Studuino소프트웨어 설치

Studuino소프트웨어 설치 Studuino 프로그래밍환경 Studuino 소프트웨어설치 본자료는 Studuino 프로그래밍환경설치안내서입니다. Studuino 프로그래밍 환경의갱신에따라추가 / 수정될수있습니다. 목차 1. 소개... 1 2. Windows... 2 2.1. 프로그래밍환경설치... 2 2.1.1. 웹설치버전설치방법... 2 2.2. Studuino 프로그래밍환경실행...

More information

슬라이드 1

슬라이드 1 첨부 4 리모콘별 TV 셋팅방법 2011. 1 전략서비스본부서비스지원팀 1 경제형 2002년개발쌍방향단순-2007년개발경제형 /PVR-2007년개발 2002 년 02 월 ~ 2003 년 08 월 85 만 (40 만은 TV 설정불가 ) 선호채널 도움말 만가능 1) TV 전원을키고 2) 0( 숫자 )+ 음소거동시에누름 -> LED ON 3) 리모콘 LED 주황색불확인후제조사코드입력

More information

tut_modelsim(student).hwp

tut_modelsim(student).hwp ModelSim 사용법 1. ModelSim-Altera 를이용한 Function/RTL 시뮬레이션 1.1. 테스트벤치를사용하지않는명령어기반시뮬레이션 1.1.1. 시뮬레이션을위한하드웨어 A B S C 그림 1. 반가산기 1.1.2. 작업디렉토리 - File - Change Directory 를클릭하여작업디렉토리지정. 1.1.3. 소스파일작성 - 모델심편집기나기타편집기가능

More information

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 Eclipse (IDE) JDK Android SDK with ADT IDE: Integrated Development Environment JDK: Java Development Kit (Java SDK) ADT: Android Development Tools 2 JDK 설치 Eclipse

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

Data Sync Manager(DSM) Example Guide Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager

Data Sync Manager(DSM) Example Guide Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager are trademarks or registered trademarks of Ari System, Inc. 1 Table of Contents Chapter1

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

국가기술자격실기시험문제 자격종목항공사진기능사과제명영상지도제작 비번호 : 시험시간 : [ 표준시간 : 3 시간, 연장시간 : 없음 ] 1. 요구사항 다음의요구사항을시험시간내에완성하시오. 가. 항공사진기본처리 ( 답안지에작성 ) 주어진파일 < 항공사진기본처리.tif> 를기

국가기술자격실기시험문제 자격종목항공사진기능사과제명영상지도제작 비번호 : 시험시간 : [ 표준시간 : 3 시간, 연장시간 : 없음 ] 1. 요구사항 다음의요구사항을시험시간내에완성하시오. 가. 항공사진기본처리 ( 답안지에작성 ) 주어진파일 < 항공사진기본처리.tif> 를기 국가기술자격실기시험문제 비번호 : 시험시간 : [ 표준시간 : 3 시간, 연장시간 : 없음 ] 1. 요구사항 다음의요구사항을시험시간내에완성하시오. 가. 항공사진기본처리 ( 답안지에작성 ) 주어진파일 < 항공사진기본처리.tif> 를기준으로항공사진의명암및색상을조정하시오. ( 포토샵메뉴의 [Image]-[adjustments]- [Brightness/Contrast]

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

NTD36HD Manual

NTD36HD Manual Upnp 사용 D7 은 UPNP 를지원하여 D7 의네크워크에연결된 UPNP 기기에별다른설정없이연결하여, 유무선으로네트워크상의연결된 UPNP 기기의콘텐츠를재생할수있습니다. TV 화면의 브라우저, UPNP 를선택하면연결가능한 UPNP 기기가표시됩니다. 주의 - UPNP 기능사용시연결된 UPNP 기기의성능에따라서재생되지않는콘텐츠가있을수있습니다. NFS 사용 D7

More information

<4D F736F F F696E74202D20C0FCC0DAC8B8B7CEBDC7C7E8312E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20C0FCC0DAC8B8B7CEBDC7C7E8312E BC8A3C8AF20B8F0B5E55D> 전자회로실험 (PSPICE 사용법 ) 대진대학교전자공학과 2010년 2 학기 Lecture #1 2010. 09. 10 목차 PSPICE 사용법 Q&A 공지사항 2 1 PSPICE의전체과정 1. 회로도그리기 (Schematic) (1) 소자가져오기 (Draw) (2) 결선 (Wire) (3) 기준 node의접지 2.Simulation (1) 조건설정 (Simulation/Setup)

More information

Convenience Timetable Design

Convenience Timetable Design Convenience Timetable Design Team 4 2 Contents 1. Introduction 2. Decomposition description 3. Dependency description 4. Inter face description 5. Detailed design description 3 1. Introduction Purpose

More information

Microsoft PowerPoint - 전자공학 실험 3강 - PSpice.PPT

Microsoft PowerPoint - 전자공학 실험 3강 - PSpice.PPT 기초전자실험 PSpice 2005. 9. 30. Pspice 기초 - 설치 - 사용법 -LPF 설계 Pspice 란? SPICE(Simulation Program with Integrated Circuit Emphasis) 전자회로컴퓨터시뮬레이션툴임. 실제로전기, 전자, 디지털회로를제작하기전에, 컴퓨터를이용하여계산하고, 측정, 평가하여해석및설계를하는툴 Pspice

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Altium Designer 16 Intergratech 목차 1. 3D STEP Model Generation in IPC Wizard 2. Embedded Board Array Enhancements 3. Design Rules Enhancements 4. Streamlined Design Rule Editor 5. Differential Pair Routing

More information

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다.

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다. Eclipse 개발환경에서 WindowBuilder 를이용한 Java 프로그램개발 이예는 Java 프로그램의기초를이해하고있는사람을대상으로 Embedded Microcomputer 를이용한제어시스템을 PC 에서 Serial 통신으로제어 (Graphical User Interface (GUI) 환경에서 ) 하는프로그램개발예를설명한다. WindowBuilder:

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63>

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63> SAM4S Printer Driver Installer 달리명시하지않은한, 인쇄또는복사된문서는통제하지않는문서임 목 차 1. 1. WINDOWS DRIVER INSTALLER 설치 설치...... 2 2. 프린터추가...... 5 3. 프린터제거...... 19 4. 프린터추가 / 제거선택...... 21 5. 프로그램추가 / 제거...... 21 SHC- 11-01-

More information

슬라이드 1

슬라이드 1 CCS v4 사용자안내서 CCSv4 사용자용예제따라하기안내 0. CCS v4.x 사용자 - 준비사항 예제에사용된 CCS 버전은 V4..3 버전이며, CCS 버전에따라메뉴화면이조금다를수있습니다. 예제실습전준비하기 처음시작하기예제모음집 CD 를 PC 의 CD-ROM 드라이브에삽입합니다. 아래안내에따라, 예제소스와헤더파일들을 PC 에설치합니다. CD 드라이브 \SW\TIDCS\TIDCS_DSP80x.exe

More information

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc Modelsim 과 Quartus II 를이용한설계방법 퀀텀베이스연구개발실, 경기도부천시원미구상동 546-2, 두성프라자 1-606 TEL: 032-321-0195, FAX: 032-321-0197, Web site: www.quantumbase.com 최근 Modelsim은 PC에포팅되어있는것에힘입어많은설계자들이사용하고있습니다이에 Modelsim을이용하여설계하고,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Altium Designer Import / Export 가이드 Intergratech 기술팀한정희과장 Altium Designer Interface List File Type Description Import Version 비고 Protel 99SE DDB Files 99SE DDB (*.ddb) Cadence Allegro Design Files Allegro

More information

Chapter 1

Chapter 1 3 Oracle 설치 Objectives Download Oracle 11g Release 2 Install Oracle 11g Release 2 Download Oracle SQL Developer 4.0.3 Install Oracle SQL Developer 4.0.3 Create a database connection 2 Download Oracle 11g

More information

01장

01장 뇌를자극하는 Windows Server 2012 R2 부록 NAS4Free 의설치와환경설정 네트워크상에서저장공간이제공되는 NAS(Network Attached Storage) 환경을 VMware에서구성해야한다. 이책에서는그중 Unix 계열의운영체제이며무료로사용할수있는 NAS4Free 운영체제를설치하고사용할것이다. 결국지금설치하는 NAS4Free는쿼럼디스크와클러스터디스크를제공하는것이목적이다.

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

슬라이드 1

슬라이드 1 Subclipse 1. 도구개요 2. 설치및실행 3. 주요기능 4. 활용예제 1. 도구개요 도구명 Subclipse (http://subclipse.tigris.org/) 라이선스 Eclipse Public License v1.0 소개 Subversion( 이하 svn) 용 Eclipse 플러그인 SVN 을만든 Tigris.org 에서만든클라이언트툴 Java

More information

기존에 Windchill Program 이 설치된 Home Directory 를 선택해준다. 프로그램설치후설치내역을확인해보면 Adobe Acrobat 6.0 Support 내역을확인할수 있다.

기존에 Windchill Program 이 설치된 Home Directory 를 선택해준다. 프로그램설치후설치내역을확인해보면 Adobe Acrobat 6.0 Support 내역을확인할수 있다. PDMLink 에등록된 Office 문서들의 PDF 문서변환기능및 Viewer 기능을알아보자 PDM Link에서지원하는 [Product View Document Support] 기능은 Windows-Base 기반의 Microsoft Office 문서들을 PDMLink용 Viewer인 Product View를통한읽기가가능한 PDF Format 으로변환하는기능이다.

More information

Slide 1

Slide 1 Acronis TrueImage 사용법 효과적인백업 / 복구솔루션 설치가이드목차 1. ACRONIS TRUEIMAGE 설치하기 ----------------------------- 3 2. 백업및복구방법 -------------------------------------------------- 10 3. OS 부팅없이복구하기 ------------------------------------------

More information

소프트웨어공학 Tutorial #2: StarUML Eun Man Choi

소프트웨어공학 Tutorial #2: StarUML Eun Man Choi 소프트웨어공학 Tutorial #2: StarUML Eun Man Choi emchoi@dgu.ac.kr Contents l StarUML 개요 l StarUML 소개및특징 l 주요기능 l StarUML 화면소개 l StarUML 설치 l StarUML 다운 & 설치하기 l 연습 l 사용사례다이어그램그리기 l 클래스다이어그램그리기 l 순서다이어그램그리기 2

More information

작동 원리

작동 원리 작동원리 악보제작소프트웨어및 DAW 와연동되는 Kontakt 국악기의작동원리는그림 1 과같다. 그림 1. 악보제작소프트웨어및 sequencer, DAW 와연동되는 Kontakt 의작동원리 즉, 악보제작 software 와연동되는 Kontakt 는다음의조건을전제로해야연동이가능하다. 악보상의특정지시어혹은기호 = 특정 MIDI message = 특정 Kontakt

More information

Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University

Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University Outline Network Network 구조 Source-to-Destination 간 packet 전달과정 Packet Capturing Packet Capture 의원리 Data Link Layer 의동작 Wired LAN Environment

More information

목차 1. 시스템요구사항 암호및힌트설정 ( 윈도우 ) JetFlash Vault 시작하기 ( 윈도우 ) JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault

목차 1. 시스템요구사항 암호및힌트설정 ( 윈도우 ) JetFlash Vault 시작하기 ( 윈도우 ) JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault 사용자매뉴얼 JetFlash Vault 100 ( 버전 1.0) 1 목차 1. 시스템요구사항... 3 2. 암호및힌트설정 ( 윈도우 )... 3 3. JetFlash Vault 시작하기 ( 윈도우 )... 7 4. JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault 찾아보기... 10 JetFlash

More information

슬라이드 1

슬라이드 1 Delino EVM 용처음시작하기 - 프로젝트만들기 (85) Delfino EVM 처음시작하기앞서 이예제는타겟보드와개발홖경이반드시갖추어져있어야실습이가능합니다. 타겟보드 : Delfino EVM + TMS0F85 초소형모듈 개발소프트웨어 : Code Composer Studio 4 ( 이자료에서사용된버전은 v4..입니다. ) 하드웨어장비 : TI 정식 JTAG

More information

1

1 1 2 3 4 5 6 b b t P A S M T U s 7 m P P 8 t P A S M T U s 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 Chapter 1 29 1 2 3 4 18 17 16 15 5 6 7 8 9 14 13 12 11 10 1 2 3 4 5 9 10 11 12 13 14 15

More information

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 개발환경구조및설치순서 JDK 설치 Eclipse 설치 안드로이드 SDK 설치 ADT(Androd Development Tools) 설치 AVD(Android Virtual Device) 생성 Hello Android! 2 Eclipse (IDE) JDK Android SDK with

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

< F B1B3C0E B3E238BFF93134C0CF292E BC8A3C8AF20B8F0B5E55D>

< F B1B3C0E B3E238BFF93134C0CF292E BC8A3C8AF20B8F0B5E55D> OrCAD Capture V.7 Training Guide 나인플러스정보기술 EDA 사업부 서울특별시서초구서초 동 - 원진 B/D F 전화 : 0)8-7 팩스 : 0)8-77 부산광역시수영구망미동 799-7 나니B/D F/F 전화 : 0)78-8,, 팩스 : 0)78-8 http://www.orcad.net master@orcad.net 담당 : 김태형연구원,

More information

PowerPoint Template

PowerPoint Template JavaScript 회원정보 입력양식만들기 HTML & JavaScript Contents 1. Form 객체 2. 일반적인입력양식 3. 선택입력양식 4. 회원정보입력양식만들기 2 Form 객체 Form 객체 입력양식의틀이되는 태그에접근할수있도록지원 Document 객체의하위에위치 속성들은모두 태그의속성들의정보에관련된것

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 A 반 T2 - 김우빈 (201011321) 임국현 (201011358) 박대규 (201011329) Robot Vacuum Cleaner 1 Motor Sensor RVC Control Cleaner Robot Vaccum Cleaner 2 / Event Format/ Type Front Sensor RVC 앞의장애물의유무를감지한다. True / False,

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

Microsoft PowerPoint - 07_04_s7기초기술교육_simatic_manager_operation.ppt [호환 모드]

Microsoft PowerPoint - 07_04_s7기초기술교육_simatic_manager_operation.ppt [호환 모드] Simatic Manager Operation 참고문헌 : Programming with Step7(V5.4) Edition 03/2006 SIMATIC Manager 신규프로젝트작성 (New Project) SIMATIC Manager File 메뉴 New ( 단축키 CTRL + N) 기존에작업했던프로젝트 신규프로젝트이름입력 프로젝트저장경로 경로변경시 SIMATIC

More information

UNIST_교원 홈페이지 관리자_Manual_V1.0

UNIST_교원 홈페이지 관리자_Manual_V1.0 Manual created by metapresso V 1.0 3Fl, Dongin Bldg, 246-3 Nonhyun-dong, Kangnam-gu, Seoul, Korea, 135-889 Tel: (02)518-7770 / Fax: (02)547-7739 / Mail: contact@metabrain.com / http://www.metabrain.com

More information

1809_2018-BESPINGLOBAL_Design Guidelines_out

1809_2018-BESPINGLOBAL_Design Guidelines_out 베스핀글로벌 디자인 가이드라인 베스핀글로벌은 경험과 기술을 바탕으로 고객에게 신뢰를 주는 기업이기를 지향합니다. 모든 서비스와 소통채널에서 우리의 가치를 일관되게 표현하도록 합니다. SIGNATURE SIGNATURE + SLGAN SIGNATURE [CHINA] SIGNATURE + SYMBL 2018년 1.ver 베스핀글로벌 디자인 가이드라인 디자인 가이드라인을

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

SW_faq2000번역.PDF

SW_faq2000번역.PDF FREUENTLY ASKED UESTIONS ON SPEED2000 Table of Contents EDA signal integrity tool (vias) (via) /, SI, / SPEED2000 SPEED2000 EDA signal integrity tool, ( (via),, / ), EDA, 1,, / 2 FEM, PEEC, MOM, FDTD EM

More information

ICAS CADWorx SPLM License 평가판설치가이드

ICAS CADWorx SPLM License 평가판설치가이드 ICAS CADWorx SPLM License 평가판설치가이드 CADWorx SPLM License 평가판설치가이드 설치권장사항 Operating System Compatibility ( 반드시 AutoCAD 가설치되어있어야합니다.) 추천시스템 3.0 GHz Intel Pentium IV or greater Windows XP Professional or later

More information

Windows 8에서 BioStar 1 설치하기

Windows 8에서 BioStar 1 설치하기 / 콘텐츠 테이블... PC에 BioStar 1 설치 방법... Microsoft SQL Server 2012 Express 설치하기... Running SQL 2012 Express Studio... DBSetup.exe 설정하기... BioStar 서버와 클라이언트 시작하기... 1 1 2 2 6 7 1/11 BioStar 1, Windows 8 BioStar

More information

MACH3 Jog Pendant User Manual Draft Revision th of November 2015 By TECHDINE

MACH3 Jog Pendant User Manual Draft Revision th of November 2015 By TECHDINE MACH3 Jog Pendant User Manual Draft Revision 0.12 25th of November 2015 By TECHDINE Table of Contents Introduction...3 1. Jog Pendant 의 연결 및 설정...4 2. Key 배치 / 설명...5 3. Jog Pendant 의 Key 확장...6 4. A축

More information

슬라이드 1

슬라이드 1 TortoiseSVN 1. 도구개요 2. 설치및실행 3. 주요기능 4. 활용예제 1. 도구개요 1.1 도구정보요약 도구명 Tortoise SVN (http://tortoisesvn.net) 라이선스 GNU GPL v2.0 소개 Subversion 를통해서소스버전관리를할수있게하는클라이언트도구 특징 Windows Explorer 에서곧바로 Subversion 를사용하여버전컨트롤가능

More information

설치 순서 Windows 98 SE/Me/2000/XP 1 PC를 켜고 Windows를 시작합니다. 아직 컴퓨터에 프린터를 연결하지 마십시오. 2 PC에 P-S100 CD-ROM(프 린터 드라이버)을 삽입합니다. 3 설치 프로그램을 시작합니다. q CD-ROM의 PS1

설치 순서 Windows 98 SE/Me/2000/XP 1 PC를 켜고 Windows를 시작합니다. 아직 컴퓨터에 프린터를 연결하지 마십시오. 2 PC에 P-S100 CD-ROM(프 린터 드라이버)을 삽입합니다. 3 설치 프로그램을 시작합니다. q CD-ROM의 PS1 디지털 사진 프린터 P-S100 프린터 드라이버 설치 가이드 사용하는 컴퓨터에 따라 제공된 프린터 드라이버를 설치합니다. 설치 절차 에 대한 자세한 내용은 CD-ROM의 Readme 파일을 참조하십시오. 작동 환경 Windows 호환 모델: IBM PC/AT 및 호환품 운영 체제: Windows 98 SE/Me/2000/XP (Windows 98 SE/Me/2000/XP

More information

Microsoft Word - src.doc

Microsoft Word - src.doc IPTV 서비스탐색및콘텐츠가이드 RI 시스템운용매뉴얼 목차 1. 서버설정방법... 5 1.1. 서비스탐색서버설정... 5 1.2. 컨텐츠가이드서버설정... 6 2. 서버운용방법... 7 2.1. 서비스탐색서버운용... 7 2.1.1. 서비스가이드서버실행... 7 2.1.2. 서비스가이드정보확인... 8 2.1.3. 서비스가이드정보추가... 9 2.1.4. 서비스가이드정보삭제...

More information

소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를 제공합니다. 제품은 계속 업데이트되므로, 이 설명서의 이미지 및 텍스트는 사용자가 보유 중인 TeraStation 에 표시 된 이미지 및 텍스트와 약간 다를 수

소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를 제공합니다. 제품은 계속 업데이트되므로, 이 설명서의 이미지 및 텍스트는 사용자가 보유 중인 TeraStation 에 표시 된 이미지 및 텍스트와 약간 다를 수 사용 설명서 TeraStation Pro II TS-HTGL/R5 패키지 내용물: 본체 (TeraStation) 이더넷 케이블 전원 케이블 TeraNavigator 설치 CD 사용 설명서 (이 설명서) 제품 보증서 www.buffalotech.com 소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를

More information

*Revision History 날짜 내용 최초작성 Tel Fax [2] page

*Revision History 날짜 내용 최초작성 Tel Fax [2] page MSP430-SDS100i 매뉴얼 V1.0 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page *Revision History 날짜 내용 2013. 07. 010 최초작성 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

PathEye 공식 블로그 다운로드 받으세요!! 지속적으로 업그래이드 됩니다. 여러분의 의견을 주시면 개발에 반영하겠 습니다.

PathEye 공식 블로그 다운로드 받으세요!!   지속적으로 업그래이드 됩니다. 여러분의 의견을 주시면 개발에 반영하겠 습니다. PathEye Mobile Ver. 0.71b 2009. 3. 17 By PathEye 공식 블로그 다운로드 받으세요!! http://blog.patheye.com 지속적으로 업그래이드 됩니다. 여러분의 의견을 주시면 개발에 반영하겠 습니다. PathEye 설치 1/3 최종 배포 버전을 다 운로드 받습니다. 다운로드된 파일은 CAB 파일입니다. CAB 파일에는

More information

Facebook API

Facebook API Facebook API 2조 20071069 임덕규 20070452 류호건 20071299 최석주 20100167 김민영 목차 Facebook API 설명 Android App 생성 Facebook developers App 등록 Android App Facebook SDK 추가 예제 Error 사항정리 Facebook API Social Plugin Facebook

More information

Xcrypt 내장형 X211SCI 수신기 KBS World 채널 설정법

Xcrypt 내장형 X211SCI 수신기 KBS World 채널 설정법 [ X211S CI 위성방송수신기 - KBS World 채널 설정법 ] 세기위성 T: 82-2-2231-7989, F: 82-2-2232-6373 http://www.sekisat.com webmaster@sekisat.com 주의사항 구매 전에, 위의 X211S CI 수신기의 재고가 당사에 충분히 있는지, 미리 확인바랍니다. 본 제품은 Xcrypt

More information

슬라이드 1

슬라이드 1 Software Verification #3 정적분석도구, 단위 / 시스템테스트도구 Software Verification Team 4 강 정 모 송 상 연 신 승 화 1 Software Verification #3 정적분석도구, 단위 / 시스템테스트도구 CONTENTS 01 Overall Structure 02 Static analyzer SonarQube

More information

chapter4

chapter4 Basic Netw rk 1. ก ก ก 2. 3. ก ก 4. ก 2 1. 2. 3. 4. ก 5. ก 6. ก ก 7. ก 3 ก ก ก ก (Mainframe) ก ก ก ก (Terminal) ก ก ก ก ก ก ก ก 4 ก (Dumb Terminal) ก ก ก ก Mainframe ก CPU ก ก ก ก 5 ก ก ก ก ก ก ก ก ก ก

More information

CD-6208_SM(new)

CD-6208_SM(new) Public Address Power Amplifier PA-63 CONTENTS Specifications... Electrical parts list... top and bottom view of p.c. board... 8 Application... 0 block Diagram... Schematic Diagram... Exploded view of cabinet

More information

PCB 설계를 통한 EMC대책

PCB 설계를 통한 EMC대책 1.? 2. (PCB) 3. 4. Cross talk 5. Decoupling Condenser 6. (Pattern) 7. PCB simulation Gerber PCB , dv/dt di/dt... Artwork? Artwork.,. VCC GND 4 VCC GND 1 2 3 1 2 3 1 2 3 Crosstalk(

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Xcovery 사용설명서

Xcovery 사용설명서 ㄱ 센티리온 프리미엄 사용설명서 목 차 Chapter 1 프로그램 소개 및 기본개념 1) 시스템 복구 2) 시스템백업 3) 시스템 백업 및 시스템 복구 활용하기 4) 폴더보호 Chapter 2 프로그램 설치하기 1) 프로그램 설치 방법 2) Centillion 설치 소프트웨어 사용권 계약서 3) 제품 인증 키 입력 4) Centillion 폴더보호 5) Windows

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

슬라이드 1

슬라이드 1 Tadpole for DB 1. 도구개요 2. 설치및실행 4. 활용예제 1. 도구개요 도구명 소개 Tadpole for DB Tools (sites.google.com/site/tadpolefordb/) 웹기반의데이터베이스를관리하는도구 Database 스키마및데이터관리 라이선스 LGPL (Lesser General Public License) 특징 주요기능

More information

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate ALTIBASE HDB 6.1.1.5.6 Patch Notes 목차 BUG-39240 offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG-41443 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate 한뒤, hash partition

More information

SBR-100S User Manual

SBR-100S User Manual ( 1 / 13 ) SBR-100S 모델에 대한 사용자 펌웨어 업그레이드 방법을 안내해 드립니다. SBR-100S 는 신규 펌웨어가 있을시 FOTA(자동업데이트) 기능을 통하여 자동 업그레이드가 되며, 필요시 사용자가 신규 펌웨어를 다운받아 수동으로 업그레이드 할 수 있습니다. 1. 준비하기 1.1 연결 장치 준비 펌웨어 업그레이드를 위해서는 SBR-100S

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 논문작성서지관리프로그램 EndNote X8 이용가이드 Updated 2017.02 EndNote 이용가이드 CONTENTS 1. About EndNote 2. Reference 수집방법 3. EndNote 주요기능 4. MS Word에서논문작성 5. EndNote Library 관리 EndNote 이용가이드 1. About EndNote 1) EndNote

More information

............ ......

............ ...... 3 N.P 하모닉드라이브 의 작동원리 서큘러스플라인 웨이브제네레이터 플렉스플라인 플렉스플라인은 웨이브제네레 이터에 의해 타원형상으로 탄 성변형되어 이로인해 타원의 장축부분에서는 서큘러스플라 인과 이가 맞물리고 단축부분 에서는 이가 완전히 떨어진 상태로

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

<4D F736F F F696E74202D C20C4C4C7BBC5CD20C8B0BFEB20B9D720C6C4C0CF20B0FCB8AE20BBF9C7C >

<4D F736F F F696E74202D C20C4C4C7BBC5CD20C8B0BFEB20B9D720C6C4C0CF20B0FCB8AE20BBF9C7C > Word Processing 1. 실라버스 2. 지침사항 3. 샘플문제풀이 Ⅱ. 컴퓨터활용및파일관리 - 실라버스 모듈 2 컴퓨터사용및파일관리에대한요약으로서, 이모듈에서이론과실제에대한기준을제공한다. 모듈 2 컴퓨터사용및파일관리는수험생에게개인용컴퓨터를실행하고관리하는능력을입증할것을요구한다. 수험생은다음을할수있어야한다. 메인컴퓨터설정값의조정및내장도움말기능의사용을포함하여운영체제의주요특성을사용한다.

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

MF5900 Series MF Driver Installation Guide

MF5900 Series MF Driver Installation Guide 한국어 MF 드라이버설치설명서 사용자소프트웨어 CD-ROM................................................ 1.................................................................... 1..............................................................................

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information