Microsoft PowerPoint - CPLD_수정1.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - CPLD_수정1.pptx"

Transcription

1 Xilinx ISE Design Suite 13.1 대진대학교전자공학과

2 1. 프로젝트생성하기 Xilinx ISE Design Suite 13.1 을실행한다. 새로운프로젝트생성을위해 File New Project 를클릭한다.

3 1. 프로젝트생성하기 New Project Wizard 창에서기본설정을마치고 Next 를클릭한다. 프로젝트이름 프로젝트생성경로 프로젝트설명 Schematic 으로설정

4 1. 프로젝트생성하기 Project Settings 를아래와같이설정한후 Next 를클릭한다.

5 1. 프로젝트생성하기 설정한 Project 의요약이아래와같이나온다. 확인후 Finish 를클릭한다.

6 2. 프로젝트생성하기 Schematic 파일생성 새로운프로젝트가생성되면왼쪽의 Design View 창의 Chip Number 에서오른쪽버튼클릭후 New Source 를클릭한다.

7 2. 프로젝트생성하기 Schematic 파일생성하기 Schematic 파일을생성해야하므로아래와같이설정후 Next 를클릭한다. Schematic 파일이름 파일경로

8 2. 프로젝트생성하기 Schematic 파일생성하기 설정한 Source 의요약이아래와같이나온다. 확인후 Finish 를클릭한다.

9 3. Symbol 추가하기 부품을추가하기위해왼쪽도구모음에서 Add Symbol 을클릭한다.

10 3. Symbol 추가하기 또는 Schematic 창에서오른쪽버튼을클릭후 Add Symbol 을클릭한다. 단축키를이용하면 Ctrl + M

11 3. Symbol 추가하기 왼쪽의 Symbols 창에서원하는부품을선택한다.

12 3. Symbol 추가하기 Schematic 창에서원하는위치에붙여넣는다. Ctrl + 마우스휠 ( 상 / 하 ) 또는 F8 과 F7 을이용해 Zoom 을설정할수있다.

13 4. I/O 설정하기 I/O Marker Input / Output 을설정하기위해왼쪽도구모음에서 Add I/O Marker 를클릭하거나, Schematic 창에서오른쪽버튼 Add I/O Marker 을클릭한다. 단축키 Ctrl + G

14 4. I/O 설정하기 I/O Marker 왼쪽에 Options 창이생기며 Input 으로할지 Output 로할지결정할수있다. 여기서는 Add an automatic marker 로설정한다. ( 자동으로 Input/Output 지정 )

15 4. I/O 설정하기 I/O Marker 아래와같이마우스포인터를부품의핀에가져가면풍선도움말이뜬다. 이렇게 I/O Marker 를그려준다. Input 과 Output 을모두설정한후의 And gate 의 Symbol

16 4. I/O 설정하기 -pin name 각핀의이름을설정하기위해 Input/Output 핀에서오른쪽버튼 Object Properties 또는핀을더블클릭하거나핀을한번클릭한상태에서 Alt + Enter 을누른다.

17 4. I/O 설정하기 -pin name 선택한핀에대한 Object Properties 창이생기며아래와같이하면각핀들의이름을바꿔줄수있다. ( 핀의이름은사용자임의설정 ) 2 핀이름입력 1 3

18 4. I/O 설정하기 -pin name 핀의이름을모두설정해준다.

19 5. Pin Locking UCF 파일생성 왼쪽창의내용을 Design 탭을클릭해변경한다.

20 5. Pin Locking UCF 파일생성 Design 탭의현재프로젝트의하위에 Schematic 파일을클릭후오른쪽버튼 New Source 를클릭한다.

21 5. Pin Locking UCF 파일생성 Implementation Constraints File 선택후 File name 기입후 Next 를클릭한다.

22 5. Pin Locking UCF 파일생성 Finish 를클릭한다.

23 5. Pin Locking UCF 파일생성 하위항목을보면 test.ucf 파일이생성된것을볼수있다.

24 6. Implement Top Module 상단도구모음또는 File Save all 을클릭해저장을한다.

25 6. Implement Top Module Test 프로젝트에서오른쪽버튼 Implement Top Module 를클릭한다.

26 6. Implement Top Module 상단도구모음의 Implement Top Module 버튼을클릭하거나 메뉴 Process Implement Top module 버튼을클릭해도된다.

27 6. Implement Top Module Implement Top Module 를실행하면아래와같이 Running 이라는표시로진행되고있음을말해준다. 성공하면아래와같은페이지가생성된다. 빨간박스와같이 Successful 이면성공이다.

28 7. Pin Locking UCF 파일수정 CPLD Reports 페이지의왼쪽메뉴의 Pin List 를클릭해보면아래와같이우리가설정하지않아임의로핀번호를할당한것을볼수있다.

29 7. Pin Locking UCF 파일수정 핀번호를우리가원하는대로설정하기위해서다음과같이한다. 아래의 Process 탭에서그림과같이하위항목에들어가 Lock Pins 를더블클릭한다.

30 7. Pin Locking UCF 파일수정 Design 탭의 test.ucf 파일을더블클릭해 Open 한다. 아래와같은 Warning 이뜨면 Yes 를눌러준다.

31 7. Pin Locking UCF 파일수정 아래와같이현재의 UCF 파일을보여준다. 아까지정했던각핀의이름들이있는것을볼수있다. 회로도의 I/O 핀들중각핀에원하는 I/O 번호를다시매겨서저장을한다.

32 7. Pin Locking UCF 파일수정 아까와같은방법으로 Lock Pins 를더블클릭한다.

33 7. Pin Locking UCF 파일수정 CPLD Reports 의 Pin List 를보면핀의번호가바뀐것을볼수있다.

34 8. Simulation Test Fixture 생성 왼쪽창의내용을 Design 탭을클릭해변경한다.

35 8. Simulation Test Fixture 생성 Design 탭상단의라디오박스중 Simulation 을클릭한다.

36 8. Simulation Test Fixture 생성 Schematic 파일에서오른쪽버튼 New Source 를클릭한다.

37 8. Simulation Test Fixture 생성 Verilog Test Fixture 을클릭하고 File name 입력후 Next 버튼을클릭한다.

38 8. Simulation Test Fixture 생성 Next 를클릭한다.

39 8. Simulation Test Fixture 생성 Summary 창이나오면 Finish 를클릭한다.

40 8. Simulation Test Fixture 생성 Design 탭이아래와같이바뀐것을볼수있고, 오른쪽창에는우리가만든 Logic 의소스파일을볼수있다.

41 8. Simulation Test Fixture 아래와같이주석처리혹은지워주고마지막라인에 end 를입력후그사이에 Test 구문을입력한다. Test 구문입력

42 8. Simulation Test Fixture Clock 이필요없는 Logic 이라서각 Input 에 0 이나 1 을그리고 100ns 의 Delay 를입력해줬다. Test code 를모두작성했으면 Save all 을눌러저장한다.

43 8. Simulation Design 탭에서아래와같이파일을한번클릭한다. 아래의 Process 탭에서 Isim Simulator 의하위메뉴중 Simulate Behavioral Model 를더블클릭한다.

44 8. Simulation - 결과확인 아래와같이 ISim 창이실행되며이창을확인하면결과값을확인할수있다. 빨간박스의시간을수정하면시뮬레이션의총시간을조절할수있다.

45 8. Simulation - 결과확인 왼쪽의 Name 은설정했던각핀들의이름이나오며, 그옆 Value 는현재노란선상에서의각핀들의값이며, 오른쪽은 Timing 을보여준다. 임의의시간을클릭하면노란선의위치를바꿀수있다. 빨간박스의스크롤로시간대별로관찰할수있으며 Ctrl + 마우스상 / 하휠또는 F7 과 F8 로 Zoom 을설정할수있다.

46 8. Simulation - 결과확인 아래와같이 286ns 에서의 In/Out 핀의상태를확인할수있다. AND Gate 를사용했으니 IN_1 이 0 과 IN_2 가 1 이니 OUT 은 0 이될것이며, 아래화면확인결과와일치한다. Test code 를수정할경우 Simulation 창을끄고수정해야에러가발생하지않는다.

47 9. CPLD Writing 이제실습키트에작성한 Logic 을 Writing 하는단계이다. 먼저다운로더를 USB 에연결한다. 실습키트에도전원 (5V) 을핀에유의하며연결한다.

48 9. CPLD Writing 아래빨간원과노란원에유의하며커넥터를연결한다.

49 9. CPLD Writing Simulation 창을닫고, Design 탭의 Implementation 으로돌아간다. Process 탭에서다시 Implement Top module 를실행한다.

50 9. CPLD Writing Process 탭의 Configure Target Device - Manage Configuration Project(iMPACT) 를더블클릭한다.

51 9. CPLD Writing 다음과같이 ISE impact 창이열리며설문조사를할지물어본다. NO 를클릭한다.

52 9. CPLD Writing 아래와같이 Launch Wizard 또는 Edit Launch Wizard 를클릭한다.

53 9. CPLD Writing Configure devices using Boundary-Scan (JTAG) 의 Automatically connect to a cable and identify Boundary Scan chain 을선택후 OK 를누른다.

54 9. CPLD Writing 다음과같은메시지가뜨면 Yes 를누른다. test.jed 파일을선택후 Open 한다.

55 9. CPLD Writing 아래와같이설정한후 OK 버튼을클릭한다.

56 9. CPLD Writing 칩을클릭한후오른쪽버튼 Erase 를클릭해현재 CPLD 칩안의내용을지운다.

57 9. CPLD Writing Erase 가완료되면아래파란박스에 Erase Succeeded 라는메시지가생긴다.

58 9. CPLD Writing 다시칩을클릭후오른쪽버튼 Program 을클릭해우리가작성한 Logic 을칩안에 Writing 한다. 아래와같이 Writing 상태가표시된다.

59 9. CPLD Writing Program Writing 가완료되면아래와같이 Program Succeeded 라는메시지가출력된다.

60 10. Test Test 하기위해 AND Gate 의 Input 으로설정한 3 번과 5 번핀에 High 신호를입력하고 Output 인 31 번에프로브를연결해확인해보았다.

61 10. Test 다음과같이 High 신호가출력되는것을볼수있다.

62 Example. 예제로 JK Filp-Flop 을 Writing 해보겠다.

63 Example. Simulation 을위해아래와같이테스트코드를작성한다. 빨간박스안의내용은클럭을생성하는코드로 CLK 핀에 1us 단위로 Toggle 입력을넣어줌으로클럭을생성했다. 실제시뮬레이션할때는박스안의내용을적절히수정해서원하는주기의클럭을넣어줄수있다.

64 10. Test Simulation 결과이다. CLK 이 Rising edge 에서출력핀 FF_Q 가입력에따라변하는파형을볼수있다.

65 10. Test BreadBoard 는아래와같이꾸몄다. 클럭은오실레이터를이용해입력하고, DIP 스위치와풀업저항을이용해 High 와 Low 를입력한다.

66 10. Test JK 가토글일떄

67 10. Test J=1, K=0 Q=1

68 10. Test J=0, K=1 Q=0

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

01장

01장 뇌를자극하는 Windows Server 2012 R2 부록 NAS4Free 의설치와환경설정 네트워크상에서저장공간이제공되는 NAS(Network Attached Storage) 환경을 VMware에서구성해야한다. 이책에서는그중 Unix 계열의운영체제이며무료로사용할수있는 NAS4Free 운영체제를설치하고사용할것이다. 결국지금설치하는 NAS4Free는쿼럼디스크와클러스터디스크를제공하는것이목적이다.

More information

슬라이드 1

슬라이드 1 Delino EVM 용처음시작하기 - 프로젝트만들기 (85) Delfino EVM 처음시작하기앞서 이예제는타겟보드와개발홖경이반드시갖추어져있어야실습이가능합니다. 타겟보드 : Delfino EVM + TMS0F85 초소형모듈 개발소프트웨어 : Code Composer Studio 4 ( 이자료에서사용된버전은 v4..입니다. ) 하드웨어장비 : TI 정식 JTAG

More information

Data Sync Manager(DSM) Example Guide Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager

Data Sync Manager(DSM) Example Guide Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager are trademarks or registered trademarks of Ari System, Inc. 1 Table of Contents Chapter1

More information

슬라이드 1

슬라이드 1 CCS v4 사용자안내서 CCSv4 사용자용예제따라하기안내 0. CCS v4.x 사용자 - 준비사항 예제에사용된 CCS 버전은 V4..3 버전이며, CCS 버전에따라메뉴화면이조금다를수있습니다. 예제실습전준비하기 처음시작하기예제모음집 CD 를 PC 의 CD-ROM 드라이브에삽입합니다. 아래안내에따라, 예제소스와헤더파일들을 PC 에설치합니다. CD 드라이브 \SW\TIDCS\TIDCS_DSP80x.exe

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc Modelsim 과 Quartus II 를이용한설계방법 퀀텀베이스연구개발실, 경기도부천시원미구상동 546-2, 두성프라자 1-606 TEL: 032-321-0195, FAX: 032-321-0197, Web site: www.quantumbase.com 최근 Modelsim은 PC에포팅되어있는것에힘입어많은설계자들이사용하고있습니다이에 Modelsim을이용하여설계하고,

More information

NTD36HD Manual

NTD36HD Manual Upnp 사용 D7 은 UPNP 를지원하여 D7 의네크워크에연결된 UPNP 기기에별다른설정없이연결하여, 유무선으로네트워크상의연결된 UPNP 기기의콘텐츠를재생할수있습니다. TV 화면의 브라우저, UPNP 를선택하면연결가능한 UPNP 기기가표시됩니다. 주의 - UPNP 기능사용시연결된 UPNP 기기의성능에따라서재생되지않는콘텐츠가있을수있습니다. NFS 사용 D7

More information

슬라이드 1

슬라이드 1 전자정부개발프레임워크 1 일차실습 LAB 개발환경 - 1 - 실습목차 LAB 1-1 프로젝트생성실습 LAB 1-2 Code Generation 실습 LAB 1-3 DBIO 실습 ( 별첨 ) LAB 1-4 공통컴포넌트생성및조립도구실습 LAB 1-5 템플릿프로젝트생성실습 - 2 - LAB 1-1 프로젝트생성실습 (1/2) Step 1-1-01. 구현도구에서 egovframe>start>new

More information

게임 기획서 표준양식 연구보고서

게임 기획서 표준양식 연구보고서 ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ

More information

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 Eclipse (IDE) JDK Android SDK with ADT IDE: Integrated Development Environment JDK: Java Development Kit (Java SDK) ADT: Android Development Tools 2 JDK 설치 Eclipse

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

1

1 WebPACK ISE5.1i Manual Insight Korea Xilinx FAE Team 2003. 3. 10 WebPACK ISE 5.1i( 이하 WebPACK ) 은 Xilinx FPGA 나 CPLD 를쉽게디자인할수있게 하는 Free Design Software 로서 Design Entry, Synthesis, 그리고 Verification, Simulation

More information

슬라이드 1

슬라이드 1 - 1 - 전자정부모바일표준프레임워크실습 LAB 개발환경 실습목차 LAB 1-1 모바일프로젝트생성실습 LAB 1-2 모바일사이트템플릿프로젝트생성실습 LAB 1-3 모바일공통컴포넌트생성및조립도구실습 - 2 - LAB 1-1 모바일프로젝트생성실습 (1/2) Step 1-1-01. 구현도구에서 egovframe>start>new Mobile Project 메뉴를선택한다.

More information

tut_modelsim(student).hwp

tut_modelsim(student).hwp ModelSim 사용법 1. ModelSim-Altera 를이용한 Function/RTL 시뮬레이션 1.1. 테스트벤치를사용하지않는명령어기반시뮬레이션 1.1.1. 시뮬레이션을위한하드웨어 A B S C 그림 1. 반가산기 1.1.2. 작업디렉토리 - File - Change Directory 를클릭하여작업디렉토리지정. 1.1.3. 소스파일작성 - 모델심편집기나기타편집기가능

More information

<4F B8A620C0CCBFEBC7D120C8B8B7CE20C0DBBCBAC0C720B1E2C3CA2E687770>

<4F B8A620C0CCBFEBC7D120C8B8B7CE20C0DBBCBAC0C720B1E2C3CA2E687770> Orcad 9.2 capture CIS(Component Information System) 을이용한회로도작성의기초 한국해양대학교지능로봇및자동화실험실 1. 시작 모든프로그램 Orcad Family Release 9.2 Capture CIS 를선택하여프로그램을실행시킨다. 2. New Project를선택하여프로젝트생성윈도우를띄우고나서, 오른쪽의그림예시와같이프로젝트명과디렉토리를설정해준다.

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

<4D F736F F F696E74202D20C0FCC0DAC8B8B7CEBDC7C7E8312E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20C0FCC0DAC8B8B7CEBDC7C7E8312E BC8A3C8AF20B8F0B5E55D> 전자회로실험 (PSPICE 사용법 ) 대진대학교전자공학과 2010년 2 학기 Lecture #1 2010. 09. 10 목차 PSPICE 사용법 Q&A 공지사항 2 1 PSPICE의전체과정 1. 회로도그리기 (Schematic) (1) 소자가져오기 (Draw) (2) 결선 (Wire) (3) 기준 node의접지 2.Simulation (1) 조건설정 (Simulation/Setup)

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

*Revision History 날짜 내용 최초작성 Tel Fax [2] page

*Revision History 날짜 내용 최초작성 Tel Fax [2] page MSP430-SDS100i 매뉴얼 V1.0 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page *Revision History 날짜 내용 2013. 07. 010 최초작성 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr

More information

슬라이드 1

슬라이드 1 TortoiseSVN 1. 도구개요 2. 설치및실행 3. 주요기능 4. 활용예제 1. 도구개요 1.1 도구정보요약 도구명 Tortoise SVN (http://tortoisesvn.net) 라이선스 GNU GPL v2.0 소개 Subversion 를통해서소스버전관리를할수있게하는클라이언트도구 특징 Windows Explorer 에서곧바로 Subversion 를사용하여버전컨트롤가능

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

UART Controller 구현

UART Controller 구현 7-Segment LED Controller 구현 Lecture # 학습목표 다양한실습을통해 VHDL 의응용능력을기른다 기본적인타이밍도의이해및응용능력을배양한다 주로사용되는출력장치인 FND(7 Segment) 의특성을이해한다 강의순서 7-Segment LED(FND) 장치 PXA55-FPGA FND 회로도구성 7-Segment LED Controller 설계

More information

Microsoft PowerPoint - 안드로이드 개발 환경 구축(170411)

Microsoft PowerPoint - 안드로이드 개발 환경 구축(170411) Android 7.0 Nougat 개발환경구축 목차 기존방식 JAVA JDK 다운로드및설치 Android SDK 다운로드및설치 Eclipse 다운로드및설치 Eclipse와의연동 AVD 생성과 Android 프로젝트생성및실행 Android Studio 를이용한방식 JAVA JDK 다운로드및설치 Android Studio 설치및프로젝트생성 기존방식 (Android

More information

EISC-GANG_User_Guide_V1.2

EISC-GANG_User_Guide_V1.2 HW/SW User Guide EISC-GANG II 사용 설명서 Ver 1.2, 2012-09-20 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced

More information

PowerPoint Template

PowerPoint Template 3. 안드로이드개발환경설치 Android Studio 설정 [1/13] [Windows 시작 ]-[ 모든앱 ]-[Android Studio] 의 Android Studio 를실행 Page 34 3. 안드로이드개발환경설치 Android Studio 설정 [2/13] 첫설치이므로다음과같은선택화면이나오면아래쪽 I do not have a previous~ 가 선택된상태에서

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 개발환경구조및설치순서 JDK 설치 Eclipse 설치 안드로이드 SDK 설치 ADT(Androd Development Tools) 설치 AVD(Android Virtual Device) 생성 Hello Android! 2 Eclipse (IDE) JDK Android SDK with

More information

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx MAI-ISP-STK500 사용자매뉴얼 Manual Ver. 2.5 엠에이아이 (M.A.I) www.maicom.co.kr http://cafe.naver.com/at128mai [ 모델이름 ]: MAI-ISP-STK5000 [ 용도 ]: AVR MCU의내부 Flash Memory 및 EEPROM 에 HEX 파일을 Write W / Readd 할수있는기능을하는프로그래머로써,

More information

슬라이드 1

슬라이드 1 Subclipse 1. 도구개요 2. 설치및실행 3. 주요기능 4. 활용예제 1. 도구개요 도구명 Subclipse (http://subclipse.tigris.org/) 라이선스 Eclipse Public License v1.0 소개 Subversion( 이하 svn) 용 Eclipse 플러그인 SVN 을만든 Tigris.org 에서만든클라이언트툴 Java

More information

Leader in Electrics & Automation GIPAM MANAGER Electric Equipment

Leader in Electrics & Automation GIPAM MANAGER Electric Equipment Leader in Electrics & Automation GIPAM MANAGER Electric Equipment >>GIPAM MANAGER 사용설명서 목 차 1. 접속 4 2. SYSTEM CONFIG ( 시간설정 ) 10 3. MIMIC DIAGRAM 16 4. DI/DO Point Editing 27 5. Relay Setting 42 6. EVENT/FAULT

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

Studuino소프트웨어 설치

Studuino소프트웨어 설치 Studuino 프로그래밍환경 Studuino 소프트웨어설치 본자료는 Studuino 프로그래밍환경설치안내서입니다. Studuino 프로그래밍 환경의갱신에따라추가 / 수정될수있습니다. 목차 1. 소개... 1 2. Windows... 2 2.1. 프로그래밍환경설치... 2 2.1.1. 웹설치버전설치방법... 2 2.2. Studuino 프로그래밍환경실행...

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

슬라이드 1

슬라이드 1 1 < 목차 > 1. SYSMAC Gateway 설정 -------------- 3 2. Network Configurator 수동설정 -------------- 23 3. Tag Status Area 설정방법 --------------------- 30 2 1. SYSMAC Gateway 설정 1) 시작 > 프로그램 > OMRON > SYSMAC Gateway

More information

Microsoft PowerPoint - ccs33_bios_PRD.ppt [호환 모드]

Microsoft PowerPoint - ccs33_bios_PRD.ppt [호환 모드] 1. CCS3.3 DSP/BIOS PRD(periodic fuction manager) 생성 1. 디렉토리구성.. cmd..dsp2833x_headers.. include.. testprj_2.. testsrc_2 : Linker 컴맨드파일 : Chip관련헤더파일및헤더용 Linker 컴맨드파일 : 사용자인쿠르드파일 : 사용자프로젝트파일및실행파일 (.HEX)

More information

목차 1. 시스템요구사항 암호및힌트설정 ( 윈도우 ) JetFlash Vault 시작하기 ( 윈도우 ) JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault

목차 1. 시스템요구사항 암호및힌트설정 ( 윈도우 ) JetFlash Vault 시작하기 ( 윈도우 ) JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault 사용자매뉴얼 JetFlash Vault 100 ( 버전 1.0) 1 목차 1. 시스템요구사항... 3 2. 암호및힌트설정 ( 윈도우 )... 3 3. JetFlash Vault 시작하기 ( 윈도우 )... 7 4. JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault 찾아보기... 10 JetFlash

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

슬라이드 1

슬라이드 1 Tadpole for DB 1. 도구개요 2. 설치및실행 4. 활용예제 1. 도구개요 도구명 소개 Tadpole for DB Tools (sites.google.com/site/tadpolefordb/) 웹기반의데이터베이스를관리하는도구 Database 스키마및데이터관리 라이선스 LGPL (Lesser General Public License) 특징 주요기능

More information

Microsoft Word - codevision사용법_pdf버전.docx

Microsoft Word - codevision사용법_pdf버전.docx CodevisionAVR 설치와 기본사용법 민경학 ( hak@anycalluser.net) 인하대학교 IT 공과대학전자공학과멀티미디어연구실 ( 하이테크센터 916 호 ) 2008.9.7.001 들어가며 AVR 에서쓸수있는컴파일러종류에는 CodeVisionAVR, AVR Edit, IAR, AVRStudio 등이있습니다. CodeVisionAVR 과 IAR 은상용이고

More information

MF5900 Series MF Driver Installation Guide

MF5900 Series MF Driver Installation Guide 한국어 MF 드라이버설치설명서 사용자소프트웨어 CD-ROM................................................ 1.................................................................... 1..............................................................................

More information

Chapter 1

Chapter 1 3 Oracle 설치 Objectives Download Oracle 11g Release 2 Install Oracle 11g Release 2 Download Oracle SQL Developer 4.0.3 Install Oracle SQL Developer 4.0.3 Create a database connection 2 Download Oracle 11g

More information

Microsoft PowerPoint - 03_DB Migration 방법론 및 툴 사용법-인쇄.ppt

Microsoft PowerPoint - 03_DB Migration 방법론 및 툴 사용법-인쇄.ppt DB Migration 방법론및툴사용법 대상정보기술솔루션사업본부 / DB 기술팀이정용과장 1 목 차 0. Case Company 1. Migration Workflow (SQL Server, DB2 to Oracle) 2. Migration 시기술적인문제점분석 3. Migration Process Overview 4. 세부적인 Migration Process

More information

Microsoft Word - EISC-GANG_User_Guide_V1.4.doc

Microsoft Word - EISC-GANG_User_Guide_V1.4.doc HW/SW User Guide EISC-GANG II 사용 설명서 Ver 1.4, 2014-2-7 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced Digital

More information

B.3 JDBC 설치 JDBC Java DataBase Connectivity 는자바에서 DBMS의종류에상관없이일관된방법으로 SQL을수행할수있도록해주는자바 API Application Program Interface 다. 이책에서는톰캣과 SQL Server 간의연결을위

B.3 JDBC 설치 JDBC Java DataBase Connectivity 는자바에서 DBMS의종류에상관없이일관된방법으로 SQL을수행할수있도록해주는자바 API Application Program Interface 다. 이책에서는톰캣과 SQL Server 간의연결을위 B.3 JDBC 설치 JDBC Java DataBase Connectivity 는자바에서 DBMS의종류에상관없이일관된방법으로 SQL을수행할수있도록해주는자바 API Application Program Interface 다. 이책에서는톰캣과 SQL Server 간의연결을위해서설치한다. http://www.microsoft.com/ko-kr/download에접속해

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

USBISPV3.0(071112).hwp

USBISPV3.0(071112).hwp USBISP V3.0 User Manual AVRMALL http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 AVRMALL All Rights Reserved. USBISP V3.0 User Manual Page 2/14 Contents 1. Introduction 3 2. USB DRIVER

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63>

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63> SAM4S Printer Driver Installer 달리명시하지않은한, 인쇄또는복사된문서는통제하지않는문서임 목 차 1. 1. WINDOWS DRIVER INSTALLER 설치 설치...... 2 2. 프린터추가...... 5 3. 프린터제거...... 19 4. 프린터추가 / 제거선택...... 21 5. 프로그램추가 / 제거...... 21 SHC- 11-01-

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

소프트웨어공학 Tutorial #2: StarUML Eun Man Choi

소프트웨어공학 Tutorial #2: StarUML Eun Man Choi 소프트웨어공학 Tutorial #2: StarUML Eun Man Choi emchoi@dgu.ac.kr Contents l StarUML 개요 l StarUML 소개및특징 l 주요기능 l StarUML 화면소개 l StarUML 설치 l StarUML 다운 & 설치하기 l 연습 l 사용사례다이어그램그리기 l 클래스다이어그램그리기 l 순서다이어그램그리기 2

More information

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt)

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt) 마이크로칩 USB Host & Device 부트로더기능실습 한국마이크로칩서한석부장 (CAE) 2009-09-07 마이크로칩 16 비트 USB 데모보드세팅 Explorer 16 + USB PICtail Plus Daughter Board + USB PIMs Part #: DM240001 Part #: AC164131 Part #: MA240014(PIC24FJ256GB11)

More information

PowerPoint Template

PowerPoint Template JavaScript 회원정보 입력양식만들기 HTML & JavaScript Contents 1. Form 객체 2. 일반적인입력양식 3. 선택입력양식 4. 회원정보입력양식만들기 2 Form 객체 Form 객체 입력양식의틀이되는 태그에접근할수있도록지원 Document 객체의하위에위치 속성들은모두 태그의속성들의정보에관련된것

More information

Admin Guide for dummy

Admin Guide for dummy Admin Guide for dummy WebLogic Server 8.1 sp2 for Windows Contents 1. Installation 2. Domain & Server Configuration Configuration Wizard Administrative Server Configuration Managed Server Configuration

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

MaxstAR SDK 2.0 for Unity3D Manual Ver

MaxstAR SDK 2.0 for Unity3D Manual Ver MaxstAR SDK 2.0 for Unity3D Manual Ver 1.2 2015. 05. 14. 목차 1. 사전요구사항및제약사항 1 2. Trackable Data 생성 2 (1) 페이지접속및회원가입 2 (2) Trackable Data 생성및추가 / 삭제 5 (3) Unity3D 4.6.x 다운로드및설치 11 3. Maxst AR SDK Unity3D

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Altium Designer 16 Intergratech 목차 1. 3D STEP Model Generation in IPC Wizard 2. Embedded Board Array Enhancements 3. Design Rules Enhancements 4. Streamlined Design Rule Editor 5. Differential Pair Routing

More information

슬라이드 1

슬라이드 1 SW 개발도구연계 Jenkins - Redmine - Mylyn 목차 Intro Mylyn - Redmine 연계 Mylyn - Jenkins 연계및빌드실행 Mylyn에서 Redmine 일감처리 Intro 연계도구 웹기반의프로젝트관리도구 한글화가잘되어있어사용저변이넓음 플러그인을통해다양한도구와연계가능 Eclipse 용 ALM(Application Lifecycle

More information

Windows 8에서 BioStar 1 설치하기

Windows 8에서 BioStar 1 설치하기 / 콘텐츠 테이블... PC에 BioStar 1 설치 방법... Microsoft SQL Server 2012 Express 설치하기... Running SQL 2012 Express Studio... DBSetup.exe 설정하기... BioStar 서버와 클라이언트 시작하기... 1 1 2 2 6 7 1/11 BioStar 1, Windows 8 BioStar

More information

CODESYS 런타임 설치과정

CODESYS 런타임 설치과정 CODESYS 런타임설치과정 CODESYS Control RTE / SoftMotion RTE Setup Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 목 차 CODESYS 런타임 - Control RTE, SoftMotion RTE... 2 다운로드및설치과정... 2 CODESYS EtherCAT

More information

1) 인증서만들기 ssl]# cat >www.ucert.co.kr.pem // 설명 : 발급받은인증서 / 개인키파일을한파일로저장합니다. ( 저장방법 : cat [ 개인키

1) 인증서만들기 ssl]# cat   >www.ucert.co.kr.pem // 설명 : 발급받은인증서 / 개인키파일을한파일로저장합니다. ( 저장방법 : cat [ 개인키 Lighttpd ( 멀티도메인 ) SSL 인증서신규설치가이드. [ 고객센터 ] 한국기업보안. 유서트기술팀 1) 인증서만들기 [root@localhost ssl]# cat www.ucert.co.kr.key www.ucert.co.kr.crt >www.ucert.co.kr.pem // 설명 : 발급받은인증서 / 개인키파일을한파일로저장합니다. ( 저장방법 : cat

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Database Design Lab: MS Access Queries 1. Download lab6.accdb and rename it to lab7.accdb. 2. 이름 값을가지는쿼리 qryauthor 를만든다 a) Open lab6.accdb. b) 리본메뉴 만들

Database Design Lab: MS Access Queries 1. Download lab6.accdb and rename it to lab7.accdb. 2. 이름 값을가지는쿼리 qryauthor 를만든다 a) Open lab6.accdb. b) 리본메뉴 만들 Database Design Lab: MS Access Queries 1. Download lab6.accdb and rename it to lab7.accdb. 2. 이름 값을가지는쿼리 qryauthor 를만든다 a) Open lab6.accdb. b) 리본메뉴 만들기 - 쿼리디자인 을클릭한다. c) 테이블표시 창에서 Author 테이블을선택하고 추가 버튼을누르고닫는다

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

슬라이드 1

슬라이드 1 Qt Creator 1. 도구개요 2. 설치및실행 3. 주요기능 4. 활용예제 1. 도구개요 도구명 소개 Qt Creator (http://qt-project.org/wiki/category:tools::qtcreator) 라이선스 LGPL v2.1 GUI 프로그램을쉽게만들수있는 Cross-platform 프레임워크인 Qt 를통해애플리케이션을개발할수있게해주는

More information

윈도우시스템프로그래밍

윈도우시스템프로그래밍 데이터베이스및설계 MySQL 을위한 MFC 를사용한 ODBC 프로그래밍 2012.05.10. 오병우 컴퓨터공학과금오공과대학교 http://www.apmsetup.com 또는 http://www.mysql.com APM Setup 설치발표자료참조 Department of Computer Engineering 2 DB 에속한테이블보기 show tables; 에러발생

More information

작동 원리

작동 원리 작동원리 악보제작소프트웨어및 DAW 와연동되는 Kontakt 국악기의작동원리는그림 1 과같다. 그림 1. 악보제작소프트웨어및 sequencer, DAW 와연동되는 Kontakt 의작동원리 즉, 악보제작 software 와연동되는 Kontakt 는다음의조건을전제로해야연동이가능하다. 악보상의특정지시어혹은기호 = 특정 MIDI message = 특정 Kontakt

More information

SQL Developer Connect to TimesTen 유니원아이앤씨 DB 기술지원팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 작성자

SQL Developer Connect to TimesTen 유니원아이앤씨 DB 기술지원팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 작성자 SQL Developer Connect to TimesTen 유니원아이앤씨 DB 팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 2010-07-28 작성자 김학준 최종수정일 2010-07-28 문서번호 20100728_01_khj 재개정이력 일자내용수정인버전

More information

슬라이드 1

슬라이드 1 첨부 4 리모콘별 TV 셋팅방법 2011. 1 전략서비스본부서비스지원팀 1 경제형 2002년개발쌍방향단순-2007년개발경제형 /PVR-2007년개발 2002 년 02 월 ~ 2003 년 08 월 85 만 (40 만은 TV 설정불가 ) 선호채널 도움말 만가능 1) TV 전원을키고 2) 0( 숫자 )+ 음소거동시에누름 -> LED ON 3) 리모콘 LED 주황색불확인후제조사코드입력

More information

사용자매뉴얼

사용자매뉴얼 AVRISP mkii 사용자매뉴얼 OL 마이크로웨이브 http://olmicrowaves.com 1 / 27 목차 1. AVRISP mkii 개요 1) 제품기능 2) 사용환경 3) 제품사양 4) 제품구조 2. AVRISP mkii 사용방법 1) Jungo USB Driver 설치 2) AVRISP mkii 셋업 3) 타겟보드셋업 4) AVR Studio 4로펌웨어프로그래밍

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

System Recovery 사용자 매뉴얼

System Recovery 사용자 매뉴얼 Samsung OS Recovery Solution 을이용하여간편하게 MagicInfo 의네트워크를설정하고시스템을백업및복원할수있습니다. 시스템시작시리모컨의 - 버튼이나키보드의 F3 키를연속해서누르면복구모드로진입한후 Samsung OS Recovery Solution 이실행됩니다. Samsung OS Recovery Solution 은키보드와리모컨을사용하여조작할수있습니다.

More information

슬라이드 1

슬라이드 1 IntelliJ IDEA 1. 도구개요 2. 설치및실행 3. 주요기능 1. 도구개요 도구명 IntelliJ IDEA (http://www.jetbrains.com/idea/) 라이선스 Apache 2 소개 Java 용통합개발도구 요구사항을정의하고전체개발과정에서요구사항을추적할수있도록지원. 특징 Java IDE 환경제공 모바일및엔터프라이저개발을위한프레임워크제공

More information

USER Manual

USER Manual KOR V1.3 AltPLC 6637 Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel i7-3610qe 2.3GHz - Intel i5-3610me 2.7GHz Memory - 1 x DDR3 1066/1333MHz SODIMM,

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

제품 특징 PC에서 마우스/키보드로 사용 Motion Ring은 사용자의 동작을 인식하는 3D 공간 인식 센서 가 장착되어 있어 정해진 제스처를 사용하여 마우스나 키보드 로 사용할 수 있습니다. - 일반적인 마우스와 키보드 없이 인터넷 웹 페이지를 사용하 거나 프레젠테

제품 특징 PC에서 마우스/키보드로 사용 Motion Ring은 사용자의 동작을 인식하는 3D 공간 인식 센서 가 장착되어 있어 정해진 제스처를 사용하여 마우스나 키보드 로 사용할 수 있습니다. - 일반적인 마우스와 키보드 없이 인터넷 웹 페이지를 사용하 거나 프레젠테 Motion Ring 사용 설명서 본 사용 설명서의 주의사항은 사용자의 안전을 지키고, 재산상의 손해 등을 막기 위한 내용입니다. 반드시 읽고 올바르게 사용하여 주십시오. UZ-R001 제품 특징 PC에서 마우스/키보드로 사용 Motion Ring은 사용자의 동작을 인식하는 3D 공간 인식 센서 가 장착되어 있어 정해진 제스처를 사용하여 마우스나 키보드 로

More information

PowerPoint Template

PowerPoint Template SOFTWARE ENGINEERING Team Practice #3 (UTP) 201114188 김종연 201114191 정재욱 201114192 정재철 201114195 홍호탁 www.themegallery.com 1 / 19 Contents - Test items - Features to be tested - Features not to be tested

More information

Facebook API

Facebook API Facebook API 2조 20071069 임덕규 20070452 류호건 20071299 최석주 20100167 김민영 목차 Facebook API 설명 Android App 생성 Facebook developers App 등록 Android App Facebook SDK 추가 예제 Error 사항정리 Facebook API Social Plugin Facebook

More information

Convenience Timetable Design

Convenience Timetable Design Convenience Timetable Design Team 4 2 Contents 1. Introduction 2. Decomposition description 3. Dependency description 4. Inter face description 5. Detailed design description 3 1. Introduction Purpose

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

Xcrypt 내장형 X211SCI 수신기 KBS World 채널 설정법

Xcrypt 내장형 X211SCI 수신기 KBS World 채널 설정법 [ X211S CI 위성방송수신기 - KBS World 채널 설정법 ] 세기위성 T: 82-2-2231-7989, F: 82-2-2232-6373 http://www.sekisat.com webmaster@sekisat.com 주의사항 구매 전에, 위의 X211S CI 수신기의 재고가 당사에 충분히 있는지, 미리 확인바랍니다. 본 제품은 Xcrypt

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

을풀면된다. 2. JDK 설치 JDK 는 Sun Developer Network 의 Java( 혹은 에서 Download > JavaSE 에서 JDK 6 Update xx 를선택하면설치파일을

을풀면된다. 2. JDK 설치 JDK 는 Sun Developer Network 의 Java(  혹은   에서 Download > JavaSE 에서 JDK 6 Update xx 를선택하면설치파일을 안드로이드설치및첫번째예제 안드로이드설치 안드로이드개발킷은안드로이드개발자사이트 (http://developer.android.com/) 에서다운로드받을수있으며현재 1.5 버전으로윈도우즈, 맥 OS X( 인텔 ), 리눅스플랫폼패키지가링크되어져있다. 안드로이드개발킷을설치하기위해서는다음과같은시스템환경이갖추어져있어야한다. 플랫폼 Windows Mac Linux 지원환경

More information

Microsoft Word - VMware와 리눅스 설치_v0.11.doc

Microsoft Word - VMware와 리눅스 설치_v0.11.doc 2007. 10. HANBACK ELECTRONICS CO., LTD. VMware & 리눅스설치 VMware 에대해이해를하고, VMware Workstation 을설치해보겠다. 그리고 WMware 에 운영체제 ( 리눅스 fedora core 5) 를설치해보겠다. 실습환경 1) Host PC 이번장의내용을실습하기위해다음을준비한다. Fedora Core 5 설치

More information

MACH3 Jog Pendant User Manual Draft Revision th of November 2015 By TECHDINE

MACH3 Jog Pendant User Manual Draft Revision th of November 2015 By TECHDINE MACH3 Jog Pendant User Manual Draft Revision 0.12 25th of November 2015 By TECHDINE Table of Contents Introduction...3 1. Jog Pendant 의 연결 및 설정...4 2. Key 배치 / 설명...5 3. Jog Pendant 의 Key 확장...6 4. A축

More information

Microsoft PowerPoint - 07_04_s7기초기술교육_simatic_manager_operation.ppt [호환 모드]

Microsoft PowerPoint - 07_04_s7기초기술교육_simatic_manager_operation.ppt [호환 모드] Simatic Manager Operation 참고문헌 : Programming with Step7(V5.4) Edition 03/2006 SIMATIC Manager 신규프로젝트작성 (New Project) SIMATIC Manager File 메뉴 New ( 단축키 CTRL + N) 기존에작업했던프로젝트 신규프로젝트이름입력 프로젝트저장경로 경로변경시 SIMATIC

More information

Microsoft PowerPoint - USB다운로더PC연결.pptx

Microsoft PowerPoint - USB다운로더PC연결.pptx [ USB Driver 설치 및 SkyRobo 전송 방법 ] 작성일자 : 2013.01.31 - 목 차 - < USB Driver 설치 및 SkyRobo 전송 방법 > 1. USB Driver 설치 방법 2. PC에 USB 포트 연결하기 3. Port 설정 확인 방법 4. SkyRobo 프로그램 설치 / 실행하기 5. SkyRobo 프로그램 메뉴설명 6. SkyRobo

More information