ๆญฏIntro_alt_han_s.PDF

Size: px
Start display at page:

Download "ๆญฏIntro_alt_han_s.PDF"

Transcription

1 ALTERA & MAX+PLUS II

2 ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2

3 Altera & Altera Devices

4 4

5 ALTERA Programmable Logic Device Inventor of the EPLD in 1983 Programmable Logic Device families Product term(eprom) MAX3000A, MAX 7000/E/S/A/B, MAX 9000/A Look-up table(sram) FLEX 6000/A, FLEX 8000A, FLEX 10K/A/E Product term & Look-up table (SOC) APEX20K/E : MAX+PLUS II 5

6 MAX 7000 MAX ~ 256 macrocells, 600 ~ 5,000 gates In-System Programmability(ISP) in MAX 7000S output slew-rate 2 global clocks, 6 output enable signals 3.3V or 5.0V protection 6

7 MAX7000 7

8 Macrocell MAX7000 8

9 MAX7000A MAX7000A 32 ~ 512 macrocells, 600 ~ 10,000 gates 3.3V in-system programmability(isp) Built in JTAG boundary-scan test(bst) circuitry Open-drain protection 3.3V 2.5V, 3.3V, 5V 9

10 FLEX 10K FLEX10K PLD 10,000 ~ 250,000 gates 6,144 ~ 40,960 RAM bits ICR, built-in JTAG, PCI compliant Clock-Lock and Clock-Boost Tri-State Enable 10

11 FLEX10K FLEX10K 10K -- 5V ( 10,000 ~ 100,000 typical gates) 10KV V ( 50,000, 130,000 typical gates) 10KA V ( 10,000 ~ 250,000 typical gates) 10KE V ( 30,000 ~ 250,000 typical gates) 10K V, 5V interface 10KV V, 5V interface 10KA V, 3.3V, 5V interface 10KE V, 3.3V, 5V interface 11

12 FLEX10K 12

13 Logic Element FLEX8000A 13

14 Embedded Array Block FLEX10K 14

15 APEX 20K APEX20K System-On-a-programmable-Chip(SOPC) PLD MultiCore (LUT, Product-T, Memory) 6 ~ 100 gates Up to 51,840 logic elements Up to 3,456 product-term-based macrocells Up to 442,368 RAM bits 1.8-V and 2.5V (LVDS,SSTL,GTL+) SignalTap signal : Quartus 15

16 APEX20K 16

17 MAX+PLUS II

18 MAX+plus II PC ( Windows 98 & ME, Windows NT 3.5 or higher ) UNIX Sun SPARCstation HP 9000 Series 700/800 workstation IBM RISC System /6000 workstation PC & UNIX Network 18

19 MAX+PLUS II MAX+PLUS II Manager Start-up window : : Project name, File name MAX+PLUS II menu : MAX+plus II Help menu : on-line-help 19 Status bar :

20 Questions about MAX+PLUS II? On-Line Help MAX+plus II MAX+plus II On-Line Help Help Menu MAX+PLUS II Applications AHDL, VHDL, VerilogHDL Libraries Devices and Programming Adapters Context-Sensitive Help (F1 or Shift+F1 or ) Menus Dialog boxes 20

21 MAX+PLUS II

22 22

23 23

24 Design MAX+PLUS II Graphic Text AHDL, VHDL, Verilog HDL 3rd party EDA tools EDIF(Electronic Design Interchange Format) OrCAD schematics Mixing LPM and Megafunctions 24

25 25

26 Graphic Schematic Symbols Net (wires) Symbols Net singal label Save, Save & check the design :.gdf Message Processor Symbol 26

27 Text Text VHDL Verilog HDL Save the design :.vhd(vhdl),.v(verilog HDL) 27

28 VHDL VHSIC Hardware Description Language 1987 and 1993 IEEE 1074 standard High-level hardware behavior description language Especially well-suited for large or complex designs Text Editor has VHDL Template and Syntax Color 28

29 29

30 MAX+PLUS II Process all design files associated with the project Files can be created with MAX+PLUS II or 3rd party EDA Tools Logic synthesis and place & route MAX+PLUS II or 3rd party EDA Tools 30

31 Functional Netlist Extractor.cnf netlist file. Database Builder node name database Functional SNF Extractor Functional.snf file 31

32 Timing Netlist Extractor.cnf netlist file Logic Synthesizer logic synthesis/minimization Design Doctor Partitioner and Fitter place & route algorithm Timing SNF Extractor Timing.snf file 32

33 Assignments Assignments Device assignments Pin assignments assignments Logic options architectural features Location assignments Lab, Row, Column, LC Clique Timing assignments Device Option assignments 33

34 Device Assignment Select Device Specific device Auto MAX+PLUS II 34

35 Pin Assignment Graphic or text source file Assign > Pin/Location/Chip Floorplan Editor. Highlight node and choose Assign Pin/Location/Chip Node name automatically entered in the Node Name field Choose pin or LCELL location then click on Add to enter assignment (Note: You must choose a specific device prior to this step) 35

36 Floorplan GUI view/create assignments Pins Logic cells Cliques Logic options Drag-and-drop pins/logic cells GUI Assignment assignment LAB view, external Device view 36

37 Floorplan (Read Only) Display control Highlighted LCELL Fan-in and Fan-out LCELL equation 37

38 Floorplan (Editable) 38

39 Start Button Message Processor Info Warning Error Start Compilation Messages 39

40 Device assignments Error summary Device pin-out diagram Resource utilization Pin LCELL Equations Compiler resources Compilation time Memory usage Report file 40

41 41

42 MAX+PLUS II Waveform Vector Functional synthesis functional. Timing sythesis logical & delay. 42

43 Run Functional Simulation Click on Start Button Output change on clock edge Open.scf file 43

44 Run Timing Simulation Output change after timing delay 44

45 45

46 MAX+PLUS II Delay Matrix MAX+PLUS II Floorplan Editor MAX+PLUS II Compiler Setup/Hold Matrix MAX+PLUS II Graphic Editor Registered Performance MAX+PLUS II Text Editor MAX+PLUS II Timing Analyzer 46

47 3 Registered Performance calculates fastest possible internal clock frequency Delay Matrix calculates combinatorial delays Setup/Hold Matrix calculates setup & hold times for device flipflops Design file Floorplan Editor 47

48 Registered Performance Analysis Source/Destination, Clock period and Frequency of the longest path are displayed List Paths clock delay path 48

49 Delay Matrix Analysis Matrix shows all paths, longest path, or shortest path depending on Time Restrictions option selected List Paths delay path 49

50 Setup/Hold Matrix Analysis Setup/Hold times are displayed with respect to the clocks 50

51 51

52 Altera LP6 ISA Bus Programming Card Master Programming Unit (PL-MPU) Stand-alone Programmer (PL-ASAP2 = LP6 + PL-MPU + S/W) Programming adapters ICR, ISP, JTAG Programming BitBlaster (Serial) ByteBlaster (Parallel) MasterBlaster (Serial/USB) Third-party ex. Data IO, BP Microsystems 52

53 53

54 54

MAX+plus II Getting Started - ๋ฌด์ž‘์ •๋”ฐ๋ผํ•˜๊ธฐ

MAX+plus II Getting Started - ๋ฌด์ž‘์ •๋”ฐ๋ผํ•˜๊ธฐ ๋ฌด์ž‘์ • ๋”ฐ๋ผํ•˜๊ธฐ 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

๋””์ง€ํ„ธ ASIC ์„ค๊ณ„ (1์ฃผ์ฐจ) MAXPLUS II ์†Œ๊ฐœ ๋ฐ ์‚ฌ์šฉ๋ฒ•

๋””์ง€ํ„ธ ASIC ์„ค๊ณ„    (1์ฃผ์ฐจ)  MAXPLUS II  ์†Œ๊ฐœ ๋ฐ ์‚ฌ์šฉ๋ฒ• ๋””์ง€ํ„ธ ASIC ์„ค๊ณ„ (1 ์ฃผ์ฐจ ) MAXPLUS II ์†Œ๊ฐœ๋ฐ์‚ฌ์šฉ๋ฒ• ์‹ ํฅ๋Œ€ํ•™์ „์žํ†ต์‹ ๊ณผ๊น€์ •ํ›ˆ jhkim@shc.ac.kr ์ฐจ๋ก€ 1. Why Digital 2. Combinational logic ( ์กฐํ•ฉํšŒ๋กœ ) ์†Œ๊ฐœ 3. Sequential logic ( ์ˆœ์ฐจํšŒ๋กœ ) ์†Œ๊ฐœ 4. MAX+PLUSII ์†Œ๊ฐœ 5. MAX+PLUSII Tools ์„ค๊ณ„ํ™˜๊ฒฝ 6. ์˜ˆ์ œ์†Œ๊ฐœ

More information

DE1-SoC Board

DE1-SoC Board ์‹ค์Šต 1 ๊ฐœ๋ฐœํ™˜๊ฒฝ DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

PRO1_02E [์ฝ๊ธฐ ์ „์šฉ]

PRO1_02E [์ฝ๊ธฐ ์ „์šฉ] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

Quartus-Manual_Kor.PDF

Quartus-Manual_Kor.PDF (Technical) Document No: MJL-LD-Manual_Quartus Author: [jclee@mjlcom] Version: 10 Date: 2001 3 21 Subject: Quartus Manual Start the Tutorial To start the tutorial, click one of the following tutorial icons

More information

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O Orange for ORACLE V4.0 Installation Guide ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE...1 1....2 1.1...2 1.2...2 1.2.1...2 1.2.2 (Online Upgrade)...11 1.3 ORANGE CONFIGURATION ADMIN...12 1.3.1 Orange Configuration

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

Mentor_PCB์„ค๊ณ„์ž…๋ฌธ

Mentor_PCB์„ค๊ณ„์ž…๋ฌธ Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ฮถ ฯ‰ n (rad/sec) 2 ( ฮถ < 1), 1 (ฮถ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ฮถ ฯ‰ n (rad/sec) 2 ( ฮถ < 1), 1 (ฮถ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation ๊ทธ๋ฆผ 1. Insight Base ๋ฉ”์ธ๋ฉ”๋‰ด Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

ยบรŽยทรB

ยบรŽยทรB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

PowerChute Personal Edition v3.1.0 ์—์ด์ „ํŠธ ์‚ฌ์šฉ ์„ค๋ช…์„œ

PowerChute Personal Edition v3.1.0 ์—์ด์ „ํŠธ ์‚ฌ์šฉ ์„ค๋ช…์„œ PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

00 SPH-V6900_....

00 SPH-V6900_.... SPH-V6900 ์‚ฌ์šฉ์„ค๋ช…์„œ ์‚ฌ์šฉ์ „์— ์•ˆ์ „์„ ์œ„ํ•œ ๊ฒฝ๊ณ  ๋ฐ ์ฃผ์˜์‚ฌํ•ญ์„ ๋ฐ˜๋“œ์‹œ ์ฝ๊ณ  ๋ฐ”๋ฅด๊ฒŒ ์‚ฌ์šฉํ•ด ์ฃผ์„ธ์š”. ์‚ฌ์šฉ์„ค๋ช…์„œ์˜ ํ™”๋ฉด๊ณผ ๊ทธ๋ฆผ์€ ์‹ค๋ฌผ๊ณผ ๋‹ค๋ฅผ ์ˆ˜ ์žˆ์Šต๋‹ˆ๋‹ค. ์‚ฌ์šฉ์„ค๋ช…์„œ์˜ ๋‚ด์šฉ์€ ํœด๋Œ€์ „ํ™”์˜ ์†Œํ”„ํŠธ์›จ์–ด ๋ฒ„์ „ ๋˜๋Š” KTF ์‚ฌ์—…์ž์˜ ์‚ฌ์ •์— ๋”ฐ๋ผ ๋‹ค๋ฅผ ์ˆ˜ ์žˆ์œผ๋ฉฐ, ์‚ฌ์šฉ์ž์—๊ฒŒ ํ†ต๋ณด์—†์ด ์ผ๋ถ€ ๋ณ€๊ฒฝ๋  ์ˆ˜ ์žˆ์Šต๋‹ˆ๋‹ค. ํœด๋Œ€์ „ํ™”์˜ ์†Œํ”„ํŠธ์›จ์–ด๋Š” ์‚ฌ์šฉ์ž๊ฐ€ ์ตœ์‹  ๋ฒ„์ „์œผ๋กœ ์—…๊ทธ๋ ˆ์ด๋“œ

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 1......... 2 3..\ 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 " RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

์ธ์ผˆ(๊ตญ๋ฌธ)pdf.pdf

์ธ์ผˆ(๊ตญ๋ฌธ)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

PRO1_04E [์ฝ๊ธฐ ์ „์šฉ]

PRO1_04E [์ฝ๊ธฐ ์ „์šฉ] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

OPCTalk for Hitachi Ethernet 1 2. Path. DCOMwindow NT/2000 network server. Winsock update win95. . . 3 Excel CSV. Update Background Thread Client Command Queue Size Client Dynamic Scan Block Block

More information

๊ฐ•์˜10

๊ฐ•์˜10 Computer Programming gdb and awk 12 th Lecture ๊น€ํ˜„์ฒ ์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€์„œ์šธ๋Œ€ํ•™๊ต ์ˆœ์„œ C Compiler and Linker ๋ณด์ถฉ Static vs Shared Libraries ( ๊ณ„์† ) gdb awk Q&A Shared vs Static Libraries ( ๊ณ„์† ) Advantage of Using Libraries Reduced

More information

P/N: (Dec. 2003)

P/N: (Dec. 2003) P/N: 5615 1451 0014 (Dec. 2003) iii 1... 1...1...1...2...3...4...4...5...6...6...7...8...8...8...9...11...11...11 2... 13...13...14...14...15...16...17...18 ... 19... 20... 20... 22... 22... 24 3 Pocket

More information

์†Œ๊ฐœ TeraStation ์„ ๊ตฌ์ž…ํ•ด ์ฃผ์…”์„œ ๊ฐ์‚ฌํ•ฉ๋‹ˆ๋‹ค! ์ด ์‚ฌ์šฉ ์„ค๋ช…์„œ๋Š” TeraStation ๊ตฌ์„ฑ ์ •๋ณด๋ฅผ ์ œ๊ณตํ•ฉ๋‹ˆ๋‹ค. ์ œํ’ˆ์€ ๊ณ„์† ์—…๋ฐ์ดํŠธ๋˜๋ฏ€๋กœ, ์ด ์„ค๋ช…์„œ์˜ ์ด๋ฏธ์ง€ ๋ฐ ํ…์ŠคํŠธ๋Š” ์‚ฌ์šฉ์ž๊ฐ€ ๋ณด์œ  ์ค‘์ธ TeraStation ์— ํ‘œ์‹œ ๋œ ์ด๋ฏธ์ง€ ๋ฐ ํ…์ŠคํŠธ์™€ ์•ฝ๊ฐ„ ๋‹ค๋ฅผ ์ˆ˜

์†Œ๊ฐœ TeraStation ์„ ๊ตฌ์ž…ํ•ด ์ฃผ์…”์„œ ๊ฐ์‚ฌํ•ฉ๋‹ˆ๋‹ค! ์ด ์‚ฌ์šฉ ์„ค๋ช…์„œ๋Š” TeraStation ๊ตฌ์„ฑ ์ •๋ณด๋ฅผ ์ œ๊ณตํ•ฉ๋‹ˆ๋‹ค. ์ œํ’ˆ์€ ๊ณ„์† ์—…๋ฐ์ดํŠธ๋˜๋ฏ€๋กœ, ์ด ์„ค๋ช…์„œ์˜ ์ด๋ฏธ์ง€ ๋ฐ ํ…์ŠคํŠธ๋Š” ์‚ฌ์šฉ์ž๊ฐ€ ๋ณด์œ  ์ค‘์ธ TeraStation ์— ํ‘œ์‹œ ๋œ ์ด๋ฏธ์ง€ ๋ฐ ํ…์ŠคํŠธ์™€ ์•ฝ๊ฐ„ ๋‹ค๋ฅผ ์ˆ˜ ์‚ฌ์šฉ ์„ค๋ช…์„œ TeraStation Pro II TS-HTGL/R5 ํŒจํ‚ค์ง€ ๋‚ด์šฉ๋ฌผ: ๋ณธ์ฒด (TeraStation) ์ด๋”๋„ท ์ผ€์ด๋ธ” ์ „์› ์ผ€์ด๋ธ” TeraNavigator ์„ค์น˜ CD ์‚ฌ์šฉ ์„ค๋ช…์„œ (์ด ์„ค๋ช…์„œ) ์ œํ’ˆ ๋ณด์ฆ์„œ www.buffalotech.com ์†Œ๊ฐœ TeraStation ์„ ๊ตฌ์ž…ํ•ด ์ฃผ์…”์„œ ๊ฐ์‚ฌํ•ฉ๋‹ˆ๋‹ค! ์ด ์‚ฌ์šฉ ์„ค๋ช…์„œ๋Š” TeraStation ๊ตฌ์„ฑ ์ •๋ณด๋ฅผ

More information

Solaris Express Developer Edition

Solaris Express Developer Edition Solaris Express Developer Edition : 2008 1 Solaris TM Express Developer Edition Solaris OS. Sun / Solaris, Java, Web 2.0,,. Developer Solaris Express Developer Edition System Requirements. 768MB. SPARC

More information

chapter4

chapter4 Basic Netw rk 1. เธ เธ เธ 2. 3. เธ เธ 4. เธ 2 1. 2. 3. 4. เธ 5. เธ 6. เธ เธ 7. เธ 3 เธ เธ เธ เธ (Mainframe) เธ เธ เธ เธ (Terminal) เธ เธ เธ เธ เธ เธ เธ เธ 4 เธ (Dumb Terminal) เธ เธ เธ เธ Mainframe เธ CPU เธ เธ เธ เธ 5 เธ เธ เธ เธ เธ เธ เธ เธ เธ เธ

More information

untitled

untitled R&S Power Viewer Plus For NRP Sensor 1.... 3 2....5 3....6 4. R&S NRP...7 -.7 - PC..7 - R&S NRP-Z4...8 - R&S NRP-Z3... 8 5. Rohde & Schwarz 10 6. R&S Power Viewer Plus.. 11 6.1...12 6.2....13 - File Menu...

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device ์„ค๊ณ„ํŠน์„ฑ 2006. 4. 6. ์ด์žฌํฅํ•œ๋ฐญ๋Œ€ํ•™๊ต์ •๋ณดํ†ต์‹ ์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€ ๋ฐœํ‘œ์ˆœ์„œ 1. PLD์˜๊ฐœ์š”๋ฐ๊ตฌ์กฐ 2. CPLD/FPGA์˜๊ตฌ์กฐ 3. CPLD/FPGA ์„ค๊ณ„๋ฐ๊ฒ€์ฆ๋ฐฉ๋ฒ• 4. Embedded SW์™€ FPGA Design ์งˆ์˜ & ์‘๋‹ต 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

PRO1_09E [์ฝ๊ธฐ ์ „์šฉ]

PRO1_09E [์ฝ๊ธฐ ์ „์šฉ] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. ์ œ ํ’ˆ ๊ฐœ ์š” eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. ์ œ ํ’ˆ ๊ฐœ ์š” eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

, N-. N- DLNA(Digital Living Network Alliance).,. DLNA DLNA. DLNA,, UPnP, IPv4, HTTP DLNA. DLNA, DLNA [1]. DLNA DLNA DLNA., [2]. DLNA UPnP. DLNA DLNA.

, N-. N- DLNA(Digital Living Network Alliance).,. DLNA DLNA. DLNA,, UPnP, IPv4, HTTP DLNA. DLNA, DLNA [1]. DLNA DLNA DLNA., [2]. DLNA UPnP. DLNA DLNA. http://dx.doi.org/10.5909/jeb.2012.17.1.37 DLNA a), a), a) Effective Utilization of DLNA Functions in Home Media Devices Ki Cheol Kang a), Se Young Kim a), and Dae Jin Kim a) DLNA(Digital Living Network

More information

๋ชฉ์ฐจ 1. ์ œํ’ˆ ์†Œ๊ฐœ... 4 1.1 ํŠน์ง•... 4 1.2 ๊ฐœ์š”... 4 1.3 Function table... 5 2. ๊ธฐ๋Šฅ ์†Œ๊ฐœ... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

๋ชฉ์ฐจ 1. ์ œํ’ˆ ์†Œ๊ฐœ... 4 1.1 ํŠน์ง•... 4 1.2 ๊ฐœ์š”... 4 1.3 Function table... 5 2. ๊ธฐ๋Šฅ ์†Œ๊ฐœ... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 ์œ ์˜ํ…Œํฌ๋‹‰์Šค( ์ฃผ) ์‚ฌ์šฉ์ž ์„ค๋ช…์„œ HDD014/034 IDE & SATA Hard Drive Duplicator ์œ  ์˜ ํ…Œ ํฌ ๋‹‰ ์Šค ( ์ฃผ) (032)670-7880 www.yooyoung-tech.com ๋ชฉ์ฐจ 1. ์ œํ’ˆ ์†Œ๊ฐœ... 4 1.1 ํŠน์ง•... 4 1.2 ๊ฐœ์š”... 4 1.3 Function table... 5 2. ๊ธฐ๋Šฅ ์†Œ๊ฐœ... 6 2.1 Copy...

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd ๋””์ง€ํ„ธ ํ”„๋กœ์ ํ„ฐ X56 ๋„คํŠธ์›Œํฌ ๊ฐ€์ด๋“œ ์ด ์ œํ’ˆ์„ ๊ตฌ์ž…ํ•ด ์ฃผ์…”์„œ ๊ฐ์‚ฌํ•ฉ๋‹ˆ๋‹ค. ๋ณธ ์„ค๋ช…์„œ๋Š” ๋„คํŠธ์›Œํฌ ๊ธฐ๋Šฅ ๋งŒ์„ ์„ค๋ช…ํ•˜๊ธฐ ์œ„ํ•œ ๊ฒƒ์ž…๋‹ˆ๋‹ค. ๋ณธ ์ œํ’ˆ์„ ์˜ฌ๋ฐ”๋ฅด๊ฒŒ ์‚ฌ ์šฉํ•˜๋ ค๋ฉด ์ด ์ทจ๊ธ‰์ ˆ๋ช…์ €์™€ ๋ณธ ์ œํ’ˆ์˜ ๋‹ค๋ฅธ ์ทจ๊ธ‰์ ˆ๋ช…์ €๋ฅผ ์ฐธ์กฐํ•˜์‹œ๊ธฐ ๋ฐ”๋ž๋‹ˆ๋‹ค. ์ค‘์š”ํ•œ ์ฃผ์˜์‚ฌํ•ญ ์ด ์ œํ’ˆ์„ ์‚ฌ์šฉํ•˜๊ธฐ ์ „์— ๋จผ์ € ์ด ์ œํ’ˆ์— ๋Œ€ํ•œ ๋ชจ๋“  ์„ค๋ช…์„œ๋ฅผ ์ž˜ ์ฝ์–ด ๋ณด์‹ญ์‹œ์˜ค. ์ฝ์€ ๋’ค์—๋Š” ๋‚˜์ค‘์— ํ•„์š”ํ•  ๋•Œ

More information

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜ Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

Microsoft PowerPoint - ๊ธฐ๊ณ„๊ณตํ•™์‹คํ—˜1-1MATLAB_๊ฐœ์š”2D.pptx

Microsoft PowerPoint - ๊ธฐ๊ณ„๊ณตํ•™์‹คํ—˜1-1MATLAB_๊ฐœ์š”2D.pptx 1. MATLAB ๊ฐœ์š”์™€ ํ™œ์šฉ ๊ธฐ๊ณ„๊ณตํ•™์‹คํ—˜ I 2013๋…„ 2ํ•™๊ธฐ MATLAB ์‹œ์ž‘ํ•˜๊ธฐ ์ด์žฅ์˜๋‚ด์šฉ MATLAB์˜์—ฌ๋Ÿฌ์ฐฝ(window)๋“ค์˜ ํŠน์„ฑ๊ณผ ๋ชฉ์  ๊ธฐ์ˆ  ์Šค์นผ๋ผ์˜ ์‚ฐ์ˆ ์—ฐ์‚ฐ ๋ฐ ๊ธฐ๋ณธ ์ˆ˜ํ•™ํ•จ์ˆ˜์˜ ์‚ฌ์šฉ. ์Šค์นผ๋ผ ๋ณ€์ˆ˜๋“ค(ํ• ๋‹น ์—ฐ์‚ฐ์ž)์˜ ์ •์˜ ๋ฐ ๋ณ€์ˆ˜๋“ค์˜ ์‚ฌ์šฉ ๋ฐฉ๋ฒ• ์Šคํฌ๋ฆฝํŠธ(script) ํŒŒ์ผ์— ๋Œ€ํ•œ ์†Œ๊ฐœ์™€ ๊ฐ„๋‹จํ•œ MATLAB ํ”„๋กœ๊ทธ๋žจ์˜ ์ž‘์„ฑ, ์ €์žฅ ๋ฐ ์‹คํ–‰ MATLAB์˜ํŠน์ง•

More information

1

1 MJL Technology, Ltd. / Logic Design ๋ฉ”๋ชจ ๋ฉ”๋ชจ (Technical) Document No.: MJL-LD-AN-10 Author: ์–‘์ฐฝ์šฐ [cwyang@mjl.com] Version: 1.0 Date: 2001 ๋…„ 3 ์›” 30 ์ผ Subject: LeonardoSpectrum ์„์‚ฌ์šฉํ•˜์—ฌ LPM Function ์ด์‚ฌ์šฉ๋œ Verilog-HDL

More information

๋ชฉ์ฐจ 1. ๊ฐœ์š”... 3 2. USB ๋“œ๋ผ์ด๋ฒ„ ์„ค์น˜ (FTDI DRIVER)... 4 2-1. FTDI DRIVER ์‹คํ–‰ํŒŒ์ผ... 4 2-2. USB ๋“œ๋ผ์ด๋ฒ„ ํ™•์ธ๋ฐฉ๋ฒ•... 5 3. DEVICE-PROGRAMMER ์„ค์น˜... 7 3-1. DEVICE-PROGRAMMER

๋ชฉ์ฐจ 1. ๊ฐœ์š”... 3 2. USB ๋“œ๋ผ์ด๋ฒ„ ์„ค์น˜ (FTDI DRIVER)... 4 2-1. FTDI DRIVER ์‹คํ–‰ํŒŒ์ผ... 4 2-2. USB ๋“œ๋ผ์ด๋ฒ„ ํ™•์ธ๋ฐฉ๋ฒ•... 5 3. DEVICE-PROGRAMMER ์„ค์น˜... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > ๋ชฉ์ฐจ 1. ๊ฐœ์š”... 3 2. USB ๋“œ๋ผ์ด๋ฒ„ ์„ค์น˜ (FTDI DRIVER)... 4 2-1. FTDI DRIVER ์‹คํ–‰ํŒŒ์ผ... 4 2-2. USB ๋“œ๋ผ์ด๋ฒ„ ํ™•์ธ๋ฐฉ๋ฒ•... 5 3. DEVICE-PROGRAMMER ์„ค์น˜... 7 3-1. DEVICE-PROGRAMMER ์‹คํ–‰ํŒŒ์ผ... 7 4. DEVICE-PROGRAMMER ์‚ฌ์šฉํ•˜๊ธฐ...

More information

์‚ผ์„ฑ955_965_09

์‚ผ์„ฑ955_965_09 ํŒ๋งค์›-์‚ผ์„ฑ์ „์ž์ฃผ์‹ํšŒ์‚ฌ ๋ณธ ์‚ฌ : ๊ฒฝ๊ธฐ๋„ ์ˆ˜์›์‹œ ์˜ํ†ต๊ตฌ ๋งคํƒ„ 3๋™ 416๋ฒˆ์ง€ ์ œ์กฐ์› : (์ฃผ)์•„์ด์   ์‚ผ์„ฑ ๋””์ง€ํ„ธ ๋น„๋ฐ ์ˆœ๊ฐ„์˜จ์ˆ˜ ์„ธ์ •๊ธฐ ์‚ฌ์šฉ์„ค๋ช…์„œ ๋ณธ ์ œํ’ˆ์€ ๊ตญ๋‚ด(๋Œ€ํ•œ๋ฏผ๊ตญ)์šฉ ์ž…๋‹ˆ๋‹ค. ์ „์›, ์ „์••์ด ๋‹ค๋ฅธ ํ•ด์™ธ์—์„œ๋Š” ํ’ˆ์งˆ์„ ๋ณด์ฆํ•˜์ง€ ์•Š์Šต๋‹ˆ๋‹ค. (FOR KOREA UNIT STANDARD ONLY) ์ด ์‚ฌ์šฉ์„ค๋ช…์„œ์—๋Š” ์ œํ’ˆ๋ณด์ฆ์„œ๊ฐ€ ํฌํ•จ๋˜์–ด ์žˆ์Šต๋‹ˆ๋‹ค. ๋ถ„์‹ค๋˜์ง€ ์•Š๋„๋ก

More information

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper Windows Netra Blade X3-2B( Sun Netra X6270 M3 Blade) : E37790 01 2012 9 Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs,

More information

ๆญฏ15-ROMPLD.PDF

ๆญฏ15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

LCD Monitor

LCD Monitor LCD MONITOR quick start guide 320TSn-2 ii Floor standing type) LCD Display D-Sub AAA X 2) 8 DVI KIT LAN TV Note TV MENU MENU] 9 ENTER ENTER] SOURCE SOURCE] [PC DVI HDMI MagicInfo] TV TV D.MENU D.MENU TV

More information

KDTรยพร‡ร•-1-07/03

KDTรยพร‡ร•-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

Manufacturing6

Manufacturing6 ฯƒ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL ํ”„๋กœ๊ทธ๋ž˜๋ฐ D. ๋…ผ๋ฆฌํ•ฉ์„ฑ๋ฐ Xilinx ISE ํˆด์‚ฌ์šฉ๋ฒ• ํ•™์Šต๋ชฉํ‘œ Xilinx ISE Tool ์„์ด์šฉํ•˜์—ฌ Xilinx ์‚ฌ์—์„œ์ง€์›ํ•˜๋Š”ํ•ด๋‹น FPGA Board ์—๋งž๋Š”๋…ผ๋ฆฌํ•ฉ์„ฑ๊ณผ์ •์„์ˆ™์ง€ ๋…ผ๋ฆฌํ•ฉ์„ฑ์ด๊ฐ€๋Šฅํ•œ์ฝ”๋“œ์™€๊ทธ๋ ‡์ง€์•Š์€์ฝ”๋“œ๋ฅผ๊ตฌ๋ถ„ Xilinx Block Memory Generator๋ฅผ์ด์šฉํ•œ RAM/ ROM ์ƒ์„ฑํ•˜๋Š”๊ณผ์ •์„์ˆ™์ง€ 2/31 Content Xilinx ISE

More information

iii. Design Tab ์„ Click ํ•˜์—ฌ WindowBuilder ๊ฐ€์ž๋™์œผ๋กœ์ƒ์„ฑํ•œ GUI ํ”„๋กœ๊ทธ๋ž˜๋ฐํ™˜๊ฒฝ์„ํ™•์ธํ•œ๋‹ค.

iii. Design Tab ์„ Click ํ•˜์—ฌ WindowBuilder ๊ฐ€์ž๋™์œผ๋กœ์ƒ์„ฑํ•œ GUI ํ”„๋กœ๊ทธ๋ž˜๋ฐํ™˜๊ฒฝ์„ํ™•์ธํ•œ๋‹ค. Eclipse ๊ฐœ๋ฐœํ™˜๊ฒฝ์—์„œ WindowBuilder ๋ฅผ์ด์šฉํ•œ Java ํ”„๋กœ๊ทธ๋žจ๊ฐœ๋ฐœ ์ด์˜ˆ๋Š” Java ํ”„๋กœ๊ทธ๋žจ์˜๊ธฐ์ดˆ๋ฅผ์ดํ•ดํ•˜๊ณ ์žˆ๋Š”์‚ฌ๋žŒ์„๋Œ€์ƒ์œผ๋กœ Embedded Microcomputer ๋ฅผ์ด์šฉํ•œ์ œ์–ด์‹œ์Šคํ…œ์„ PC ์—์„œ Serial ํ†ต์‹ ์œผ๋กœ์ œ์–ด (Graphical User Interface (GUI) ํ™˜๊ฒฝ์—์„œ ) ํ•˜๋Š”ํ”„๋กœ๊ทธ๋žจ๊ฐœ๋ฐœ์˜ˆ๋ฅผ์„ค๋ช…ํ•œ๋‹ค. WindowBuilder:

More information

ๆญฏDCS.PDF

ๆญฏDCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

Microsoft PowerPoint - ch03ysk2012.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - ch03ysk2012.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] ์ „์žํšŒ๋กœ Ch3 iode Models and Circuits ๊น€์˜์„ ์ถฉ๋ถ๋Œ€ํ•™๊ต์ „์ž์ •๋ณด๋Œ€ํ•™ 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

Microsoft PowerPoint - ASIC ยผยณยฐรจ ยฐยณยทร.ppt

Microsoft PowerPoint - ASIC ยผยณยฐรจ ยฐยณยทร.ppt ์ด๊ฐ•์ขŒ๋Š” C & S Technology ์‚ฌ์˜์ง€์›์œผ๋กœ์ œ์ž‘๋˜์—ˆ์œผ๋ฉฐ copyright ๊ฐ€์—†์œผ๋ฏ€๋กœ๋น„์˜๋ฆฌ์ ์ธ๋ชฉ์ ์—ํ•œํ•˜์—ฌ๋ˆ„๊ตฌ๋“ ์ง€๋ณต์‚ฌ, ๋ฐฐํฌ๊ฐ€๊ฐ€๋Šฅํ•ฉ๋‹ˆ๋‹ค. ์—ฐ๊ตฌ์‹คํ™ˆํŽ˜์ด์ง€์—๋Š”๊ณ ์„ฑ๋Šฅ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ์—๊ด€๋ จ๋œ๋งŽ์€๊ฐ•์ขŒ๊ฐ€์žˆ์œผ๋ฉฐ๋ˆ„๊ตฌ๋‚˜๋ฌด๋ฃŒ๋กœ๋‹ค์šด๋กœ๋“œ๋ฐ›์„ ์ˆ˜์žˆ์Šต๋‹ˆ๋‹ค. ASIC ์„ค๊ณ„๊ฐœ๋ก  2003. 2. ์—ฐ์„ธ๋Œ€ํ•™๊ต์ „๊ธฐ์ „์ž๊ณตํ•™๊ณผํ”„๋กœ์„ธ์„œ์—ฐ๊ตฌ์‹ค๋ฐ•์‚ฌ๊ณผ์ •์ •์šฐ๊ฒฝ E-mail: yonglee@yonsei.ac.kr

More information

UNIST_๊ต์› ํ™ˆํŽ˜์ด์ง€ ๊ด€๋ฆฌ์ž_Manual_V1.0

UNIST_๊ต์› ํ™ˆํŽ˜์ด์ง€ ๊ด€๋ฆฌ์ž_Manual_V1.0 Manual created by metapresso V 1.0 3Fl, Dongin Bldg, 246-3 Nonhyun-dong, Kangnam-gu, Seoul, Korea, 135-889 Tel: (02)518-7770 / Fax: (02)547-7739 / Mail: contact@metabrain.com / http://www.metabrain.com

More information

๋””์ง€ํ„ธ๊ณตํ•™ 5ํŒ 7-8์žฅ

๋””์ง€ํ„ธ๊ณตํ•™ 5ํŒ 7-8์žฅ Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

WebPACK ๋ฐ ModelSim ์‚ฌ์šฉ๋ฒ•.hwp

WebPACK ๋ฐ ModelSim ์‚ฌ์šฉ๋ฒ•.hwp 1. ๊ฐ„๋‹จํ•œ์˜ˆ์ œ๋ฅผํ†ตํ•œ WebPACK ์‚ฌ์šฉ๋ฒ• Project Navigator๋ฅผ์‹คํ–‰์‹œํ‚จํ›„ File ๋ฉ”๋‰ด์— New Project๋ฅผ์„ ํƒํ•œ๋‹ค. ๊ทธ๋Ÿผ๋‹ค์Œ๊ณผ๊ฐ™์ด Project ์ƒ์„ฑํ™”๋ฉด์ด๋‚˜ํƒ€๋‚œ๋‹ค. Project ์ƒ์„ฑํ™”๋ฉด์€๋‹ค์Œ๊ณผ๊ฐ™๋‹ค. 1) Project Name Project ๋ช…์„์ง์ ‘์ž…๋ ฅํ• ์ˆ˜์žˆ๋‹ค. ์˜ˆ ) test1 2) Project Location ํ•ด๋‹น Project ๊ด€๋ จํŒŒ์ผ์ด์ €์žฅ๋ ์žฅ์†Œ๋ฅผ์ง€์ •ํ•œ๋‹ค.

More information

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN)

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN) DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT2201-002A (MN) 2 3 [ ] CLASS 1 LASER PRODUCT 4 1 2 Language AV Input R-CAM Interrupt Panel Color Preout

More information

ARMBOOT 1

ARMBOOT 1 100% 2003222 : : : () PGPnet 1 (Sniffer) 1, 2,,, (Sniffer), (Sniffer),, (Expert) 3, (Dashboard), (Host Table), (Matrix), (ART, Application Response Time), (History), (Protocol Distribution), 1 (Select

More information

Intra_DW_Ch4.PDF

Intra_DW_Ch4.PDF The Intranet Data Warehouse Richard Tanler Ch4 : Online Analytic Processing: From Data To Information 2000. 4. 14 All rights reserved OLAP OLAP OLAP OLAP OLAP OLAP is a label, rather than a technology

More information

DocsPin_Korean.pages

DocsPin_Korean.pages Unity Localize Script Service, Page 1 Unity Localize Script Service Introduction Application Game. Unity. Google Drive Unity.. Application Game. -? ( ) -? -?.. ์ค€๋น„์‚ฌํ•ญ Google Drive. Google Drive.,.. - Google

More information

PRO1_16E [์ฝ๊ธฐ ์ „์šฉ]

PRO1_16E [์ฝ๊ธฐ ์ „์šฉ] MPI PG 720 Siemens AG 1999 All rights reserved File: PRO1_16E1 Information and MPI 2 MPI 3 : 4 GD 5 : 6 : 7 GD 8 GD 9 GD 10 GD 11 : 12 : 13 : 14 SFC 60 SFC 61 15 NETPRO 16 SIMATIC 17 S7 18 1 MPI MPI S7-300

More information

Microsoft Word - Automap3

Microsoft Word - Automap3 ์‚ฌ ์šฉ ์„ค ๋ช… ์„œ ๋ณธ ์„ค๋ช…์„œ๋Š” ๋ฎค์ง๋ฉ”ํŠธ๋กœ์—์„œ ์ œ๊ณตํ•ฉ๋‹ˆ๋‹ค. ์ˆœ ์„œ ์†Œ๊ฐœ -------------------------------------------------------------------------------------------------------------------------------------------- 3 ์ œํ’ˆ ๋“ฑ๋ก --------------------------------------------------------------------------------------------------------------------------------------

More information

์ดˆ๋ณด์ž๋ฅผ ์œ„ํ•œ C++

์ดˆ๋ณด์ž๋ฅผ ์œ„ํ•œ C++ C++. 24,,,,, C++ C++.,..,., ( ). /. ( 4 ) ( ).. C++., C++ C++. C++., 24 C++. C? C++ C C, C++ (Stroustrup) C++, C C++. C. C 24.,. C. C+ +?. X C++.. COBOL COBOL COBOL., C++. Java C# C++, C++. C++. Java C#

More information

Microsoft Word - Modelsim_QuartusIIํƒ€์ด๋ฐ์‹œ๋ฎฌ๋ ˆ์ด์…˜.doc

Microsoft Word - Modelsim_QuartusIIํƒ€์ด๋ฐ์‹œ๋ฎฌ๋ ˆ์ด์…˜.doc Modelsim ๊ณผ Quartus II ๋ฅผ์ด์šฉํ•œ์„ค๊ณ„๋ฐฉ๋ฒ• ํ€€ํ…€๋ฒ ์ด์Šค์—ฐ๊ตฌ๊ฐœ๋ฐœ์‹ค, ๊ฒฝ๊ธฐ๋„๋ถ€์ฒœ์‹œ์›๋ฏธ๊ตฌ์ƒ๋™ 546-2, ๋‘์„ฑํ”„๋ผ์ž 1-606 TEL: 032-321-0195, FAX: 032-321-0197, Web site: www.quantumbase.com ์ตœ๊ทผ Modelsim์€ PC์—ํฌํŒ…๋˜์–ด์žˆ๋Š”๊ฒƒ์—ํž˜์ž…์–ด๋งŽ์€์„ค๊ณ„์ž๋“ค์ด์‚ฌ์šฉํ•˜๊ณ ์žˆ์Šต๋‹ˆ๋‹ค์ด์— Modelsim์„์ด์šฉํ•˜์—ฌ์„ค๊ณ„ํ•˜๊ณ ,

More information

๋ชฉ์ฐจ BUG offline replicator ์—์„œ์œ ํšจํ•˜์ง€์•Š์€๋กœ๊ทธ๋ฅผ์ฝ์„๊ฒฝ์šฐ๋น„์ •์ƒ์ข…๋ฃŒํ• ์ˆ˜์žˆ๋‹ค... 3 BUG ๊ฐ partition ์ด์„œ๋กœ๋‹ค๋ฅธ tablespace ๋ฅผ๊ฐ€์ง€๊ณ , column type ์ด CLOB ์ด๋ฉฐ, ํ•ด๋‹น table ์„ truncate

๋ชฉ์ฐจ BUG offline replicator ์—์„œ์œ ํšจํ•˜์ง€์•Š์€๋กœ๊ทธ๋ฅผ์ฝ์„๊ฒฝ์šฐ๋น„์ •์ƒ์ข…๋ฃŒํ• ์ˆ˜์žˆ๋‹ค... 3 BUG ๊ฐ partition ์ด์„œ๋กœ๋‹ค๋ฅธ tablespace ๋ฅผ๊ฐ€์ง€๊ณ , column type ์ด CLOB ์ด๋ฉฐ, ํ•ด๋‹น table ์„ truncate ALTIBASE HDB 6.1.1.5.6 Patch Notes ๋ชฉ์ฐจ BUG-39240 offline replicator ์—์„œ์œ ํšจํ•˜์ง€์•Š์€๋กœ๊ทธ๋ฅผ์ฝ์„๊ฒฝ์šฐ๋น„์ •์ƒ์ข…๋ฃŒํ• ์ˆ˜์žˆ๋‹ค... 3 BUG-41443 ๊ฐ partition ์ด์„œ๋กœ๋‹ค๋ฅธ tablespace ๋ฅผ๊ฐ€์ง€๊ณ , column type ์ด CLOB ์ด๋ฉฐ, ํ•ด๋‹น table ์„ truncate ํ•œ๋’ค, hash partition

More information

๋ชฉ์ฐจ ์ œ 1 ์žฅ inexio Touch Driver์†Œ๊ฐœ... 3 1.1 ์†Œ๊ฐœ ๋ฐ ์ฃผ์š” ๊ธฐ๋Šฅ... 3 1.2 ์ œํ’ˆ์‚ฌ์–‘... 4 ์ œ 2 ์žฅ ์„ค์น˜ ๋ฐ ์‹คํ–‰... 5 2.1 ์„ค์น˜ ์‹œ ์ฃผ์˜์‚ฌํ•ญ... 5 2.2 ์„ค์น˜ ๊ถŒ๊ณ  ์‚ฌ์–‘... 5 2.3 ํ”„๋กœ๊ทธ๋žจ ์„ค์น˜... 6 2.4 ํ•˜๋“œ์›จ

๋ชฉ์ฐจ ์ œ 1 ์žฅ inexio Touch Driver์†Œ๊ฐœ... 3 1.1 ์†Œ๊ฐœ ๋ฐ ์ฃผ์š” ๊ธฐ๋Šฅ... 3 1.2 ์ œํ’ˆ์‚ฌ์–‘... 4 ์ œ 2 ์žฅ ์„ค์น˜ ๋ฐ ์‹คํ–‰... 5 2.1 ์„ค์น˜ ์‹œ ์ฃผ์˜์‚ฌํ•ญ... 5 2.2 ์„ค์น˜ ๊ถŒ๊ณ  ์‚ฌ์–‘... 5 2.3 ํ”„๋กœ๊ทธ๋žจ ์„ค์น˜... 6 2.4 ํ•˜๋“œ์›จ ์ตœ์ข… ์ˆ˜์ •์ผ: 2010.01.15 inexio ์ ์™ธ์„  ํ„ฐ์น˜์Šคํฌ๋ฆฐ ์‚ฌ์šฉ ์„ค๋ช…์„œ [Notes] ๋ณธ ๋งค๋‰ด์–ผ์˜ ์ •๋ณด๋Š” ์˜ˆ๊ณ  ์—†์ด ๋ณ€๊ฒฝ๋  ์ˆ˜ ์žˆ์œผ๋ฉฐ ์‚ฌ์šฉ๋œ ์ด๋ฏธ์ง€๊ฐ€ ์‹ค์ œ์™€ ๋‹ค๋ฅผ ์ˆ˜ ์žˆ์Šต๋‹ˆ๋‹ค. 1 ๋ชฉ์ฐจ ์ œ 1 ์žฅ inexio Touch Driver์†Œ๊ฐœ... 3 1.1 ์†Œ๊ฐœ ๋ฐ ์ฃผ์š” ๊ธฐ๋Šฅ... 3 1.2 ์ œํ’ˆ์‚ฌ์–‘... 4 ์ œ 2 ์žฅ ์„ค์น˜ ๋ฐ ์‹คํ–‰... 5 2.1 ์„ค์น˜ ์‹œ

More information

untitled

untitled Push... 2 Push... 4 Push... 5 Push... 13 Push... 15 1 FORCS Co., LTD A Leader of Enterprise e-business Solution Push (Daemon ), Push Push Observer. Push., Observer. Session. Thread Thread. Observer ID.

More information

untitled

untitled Memory leak Resource ๏ฆŠ ๏คŠ 3-tier ๏จŠ Out of Memory( ๏ฅง ) Memory leak( ๏ฅŽ ) ๏งบ Application Server Crash ๏งค Server ๏งบ Crash ๏ง JVM ๏ฅฏ ๏ฆต ๏จˆ๏ฅฏ ๏ฅฏ Memory leak Resource Out of Memory Memory leak Out of Memory ๏ฅง๏ฅ Java heap

More information

Microsoft Word - USB๋ณต์‚ฌ๊ธฐ.doc

Microsoft Word - USB๋ณต์‚ฌ๊ธฐ.doc Version: SD/USB 80130 Content Index 1. Introduction 1.1 ์ œํ’ˆ๊ฐœ์š”------------------------------------------------------------P.02 1.2 ๋ชจ๋ธ๋ณ„ ์ œํ’ˆ์‚ฌ์–‘-------------------------------------------------------P.04 2. Function

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc ์ œ 7 ์žฅ Flip-Flops and Registers ์‹คํ—˜์˜๋ชฉํ‘œ - S-R Latch ์˜๋™์ž‘์„์ดํ•ดํ•˜๋„๋กํ•œ๋‹ค. - Latch ์™€ Flip-flop ์˜์ฐจ์ด๋ฅผ์ดํ•ดํ•œ๋‹ค. - D-FF ๊ณผ JK-FF ์˜๋™์ž‘์›๋ฆฌ๋ฅผ์ดํ•ดํ•œ๋‹ค. - Shift-register MSI ์˜๋™์ž‘์„์ตํžˆ๋„๋กํ•œ๋‹ค. - Timing ์‹œ๋ฎฌ๋ ˆ์ด์…˜๋ฐฉ๋ฒ•์—๋Œ€ํ•˜์—ฌ์Šต๋“ํ•œ๋‹ค. ์‹คํ—˜๋„์›€์ž๋ฃŒ 1. Universal Shift

More information

04_แ„‹แ…ฉแ„‘แ…ณแ†ซแ„Œแ…ตแ„‹แ…ฆแ†ฏAPI.key

04_แ„‹แ…ฉแ„‘แ…ณแ†ซแ„Œแ…ตแ„‹แ…ฆแ†ฏAPI.key 4. API. API. API..,.. 1 ,, ISO/IEC JTC1/SC24, Working Group ISO " (Architecture) " (API, Application Program Interface) " (Metafile and Interface) " (Language Binding) " (Validation Testing and Registration)"

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

#KM-250(PB)

#KM-250(PB) PARTS BOOK FOR 1-NEEDLE, STRAIGHT LOCK-STITCH MACHINE SERIES KM-250AU-7S KM-250AU-7N KM-250A-7S KM-250A-7N KM-250B-7S KM-250B-7N KM-250BH-7S KM-250BH-7N KM-250BL-7S KM-250BL-7N KM-250AU KM-250A KM-250B

More information

ETL_project_best_practice1.ppt

ETL_project_best_practice1.ppt ETL ETL Data,., Data Warehouse DataData Warehouse ETL tool/system: ETL, ETL Process Data Warehouse Platform Database, Access Method Data Source Data Operational Data Near Real-Time Data Modeling Refresh/Replication

More information

ํ•œ๊ตญ๊ธฐ์ˆ ๊ต์œก๋Œ€ํ•™๊ต์žฅ์˜์กฐ

ํ•œ๊ตญ๊ธฐ์ˆ ๊ต์œก๋Œ€ํ•™๊ต์žฅ์˜์กฐ ํ•œ๊ตญ๊ธฐ์ˆ ๊ต์œก๋Œ€ํ•™๊ต์žฅ์˜์กฐ ๋ณธ์Šฌ๋ผ์ด๋“œ์˜๋‚ด์šฉ์€ http://www.altera.com ์„์ฐธ์กฐํ•˜์˜€์Šต๋‹ˆ๋‹ค. ํ•œ๊ตญ๊ธฐ์ˆ ๊ต์œก๋Œ€ํ•™๊ต์ „๊ธฐ์ „์žํ†ต์‹ ๊ณตํ•™๋ถ€ 2 1. FPGA ๊ฐœ๋… 2. FPGA ๊ตฌ์กฐ 3. FPGA ์ปจํ”ผ๊ฒจ๋ ˆ์ด์…˜ 4. FPGA ๋ฉ”๋ชจ๋ฆฌ์„ค๊ณ„ ํ•œ๊ตญ๊ธฐ์ˆ ๊ต์œก๋Œ€ํ•™๊ต์ „๊ธฐ์ „์žํ†ต์‹ ๊ณตํ•™๋ถ€ 3 } FPGA (Field Programmable Gate Array)? ์‚ฌ์šฉ์ž๊ฐ€ํ˜„์žฅ์—์„œ์ง์ ‘ํ”„๋กœ๊ทธ๋žจ๊ฐ€๋Šฅํ•œ์†Œ์ž

More information

UART Controller ๊ตฌํ˜„

UART Controller ๊ตฌํ˜„ 7-Segment LED Controller ๊ตฌํ˜„ Lecture # ํ•™์Šต๋ชฉํ‘œ ๋‹ค์–‘ํ•œ์‹ค์Šต์„ํ†ตํ•ด VHDL ์˜์‘์šฉ๋Šฅ๋ ฅ์„๊ธฐ๋ฅธ๋‹ค ๊ธฐ๋ณธ์ ์ธํƒ€์ด๋ฐ๋„์˜์ดํ•ด๋ฐ์‘์šฉ๋Šฅ๋ ฅ์„๋ฐฐ์–‘ํ•œ๋‹ค ์ฃผ๋กœ์‚ฌ์šฉ๋˜๋Š”์ถœ๋ ฅ์žฅ์น˜์ธ FND(7 Segment) ์˜ํŠน์„ฑ์„์ดํ•ดํ•œ๋‹ค ๊ฐ•์˜์ˆœ์„œ 7-Segment LED(FND) ์žฅ์น˜ PXA55-FPGA FND ํšŒ๋กœ๋„๊ตฌ์„ฑ 7-Segment LED Controller ์„ค๊ณ„

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

KDTรยพร‡ร•-2-07/03

KDTรยพร‡ร•-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

R50_51_kor_ch1

R50_51_kor_ch1 S/N : 1234567890123 Boot Device Priority NumLock [Off] Enable Keypad [By NumLock] Summary screen [Disabled] Boor-time Diagnostic Screen [Disabled] PXE OPROM [Only with F12]

More information

์„ ํ•  ๋•Œ, ๊ฒฐ๊ตญ ์—ฌ๋Ÿฌ ๊ฐ€์ง€ ๋‹จ์–ด๋ฅผ ๋„ฃ์–ด์„œ ๋ชจ๋‘ ์ฐพ์•„์•ผ ํ•œ๋‹ค๋Š” ๊ฒƒ์ด๋‹ค. ๊ทธ ๋Ÿฌ๋‚˜ ๊ฐ€๋Šฅํ•œ ๋ชจ๋“  ์šฉ์–ด ํ‘œํ˜„์„ ์ƒ์ƒํ•˜๊ธฐ๊ฐ€ ์‰ฝ์ง€ ์•Š๊ณ , ๋˜ ๋ชจ๋‘ ์ฐพ๊ธฐ๋„ ์–ด ๋ ต๋‹ค. ์šฉ์–ด๋ฅผ ํ‘œ์ค€ํ™”ํ•˜์—ฌ ํ•œ ๊ฐ€์ง€ ํ‘œํ˜„๋งŒ ์“ฐ๋„๋ก ํ•˜์—ฌ์•ผ ํ•œ๋‹ค๊ณ  ํ•˜์ง€๋งŒ, ๋ง์€ ์‰ฌ์›Œ๋„ ๋ชจ๋“  ํ‘œ์ค€ํ™”๋œ ์šฉ์–ด๋ฅผ ์ผ์ผ์ด ์™ธ์šฐ๊ธฐ๋Š”

์„ ํ•  ๋•Œ, ๊ฒฐ๊ตญ ์—ฌ๋Ÿฌ ๊ฐ€์ง€ ๋‹จ์–ด๋ฅผ ๋„ฃ์–ด์„œ ๋ชจ๋‘ ์ฐพ์•„์•ผ ํ•œ๋‹ค๋Š” ๊ฒƒ์ด๋‹ค. ๊ทธ ๋Ÿฌ๋‚˜ ๊ฐ€๋Šฅํ•œ ๋ชจ๋“  ์šฉ์–ด ํ‘œํ˜„์„ ์ƒ์ƒํ•˜๊ธฐ๊ฐ€ ์‰ฝ์ง€ ์•Š๊ณ , ๋˜ ๋ชจ๋‘ ์ฐพ๊ธฐ๋„ ์–ด ๋ ต๋‹ค. ์šฉ์–ด๋ฅผ ํ‘œ์ค€ํ™”ํ•˜์—ฌ ํ•œ ๊ฐ€์ง€ ํ‘œํ˜„๋งŒ ์“ฐ๋„๋ก ํ•˜์—ฌ์•ผ ํ•œ๋‹ค๊ณ  ํ•˜์ง€๋งŒ, ๋ง์€ ์‰ฌ์›Œ๋„ ๋ชจ๋“  ํ‘œ์ค€ํ™”๋œ ์šฉ์–ด๋ฅผ ์ผ์ผ์ด ์™ธ์šฐ๊ธฐ๋Š” ํŠน์ง‘ ์ „๋ฌธ ์šฉ์–ด์™€ ๊ตญ์–ด์ƒํ™œ ์ „๋ฌธ ์šฉ์–ด์˜ ํ‘œ์ค€ํ™” -๋‚จ๋ถ ํ‘œ์ค€์—์„œ ์‹œ๋งจํ‹ฑ ์›น๊นŒ์ง€- ์ตœ๊ธฐ์„  ํ•œ๊ตญ๊ณผํ•™๊ธฐ์ˆ ์› ์ „์‚ฐํ•™๊ณผ ๊ต์ˆ˜ 1. ์ „๋ฌธ ์šฉ์–ด ํ‘œ์ค€ํ™”๊ฐ€ ์‚ฌํšŒ ๋ฌธํ™”๋ฅผ ํ–ฅ์ƒ์‹œํ‚ค๋Š”๊ฐ€? ์ „๋ฌธ ์šฉ์–ด ๋Š” ์šฐ๋ฆฌ์—๊ฒŒ ์–ด๋–ค ์˜๋ฏธ๊ฐ€ ์žˆ๋Š”๊ฐ€? ์ด ์งˆ๋ฌธ์€ ๋งค์ผ ๋งˆ์‹œ๋Š” ๊ณต๊ธฐ ๋Š” ์šฐ๋ฆฌ์—๊ฒŒ ์–ด๋–ค ์˜๋ฏธ๊ฐ€ ์žˆ๋Š๋ƒ๊ณ  ๋ฌป๋Š” ๊ฒƒ๊ณผ ๊ฐ™๋‹ค. ์žˆ์„ ๋•Œ์—๋Š” ์—† ๋Š” ๋“ฏํ•˜์ง€๋งŒ, ์—†์œผ๋ฉด ๊ณง ์žˆ์–ด์•ผ ํ•จ์„ ์•„๋Š” ๊ฒƒ์ด ๊ณต๊ธฐ์ด๋‹ค.

More information

1

1 WebPACK ISE5.1i Manual Insight Korea Xilinx FAE Team 2003. 3. 10 WebPACK ISE 5.1i( ์ดํ•˜ WebPACK ) ์€ Xilinx FPGA ๋‚˜ CPLD ๋ฅผ์‰ฝ๊ฒŒ๋””์ž์ธํ• ์ˆ˜์žˆ๊ฒŒ ํ•˜๋Š” Free Design Software ๋กœ์„œ Design Entry, Synthesis, ๊ทธ๋ฆฌ๊ณ  Verification, Simulation

More information

SMB_ICMP_UDP(huichang).PDF

SMB_ICMP_UDP(huichang).PDF SMB(Server Message Block) UDP(User Datagram Protocol) ICMP(Internet Control Message Protocol) SMB (Server Message Block) SMB? : Microsoft IBM, Intel,. Unix NFS. SMB client/server. Client server request

More information

Social Network

Social Network Social Network Service, Social Network Service Social Network Social Network Service from Digital Marketing Internet Media : SNS Market report A social network service is a social software specially focused

More information

Chapter 1

Chapter 1 3 Oracle ์„ค์น˜ Objectives Download Oracle 11g Release 2 Install Oracle 11g Release 2 Download Oracle SQL Developer 4.0.3 Install Oracle SQL Developer 4.0.3 Create a database connection 2 Download Oracle 11g

More information

ๆญฏChap1-Chap2.PDF

ๆญฏChap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Something that can be seen, touched or otherwise sensed

Something that can be seen, touched or otherwise sensed Something that can be seen, touched or otherwise sensed Things about an object Weight Height Material Things an object does Pen writes Book stores words Water have Fresh water Rivers Oceans have

More information

Sun Java System Messaging Server 63 64

Sun Java System Messaging Server 63 64 Sun Java System Messaging Server 6.3 64 Sun Java TM System Communications Suite Sun Microsystems, Inc. 4150 Network Circle Santa Clara, CA 95054 U.S.A. : 820 2868 2007 7 Copyright 2007 Sun Microsystems,

More information

B _02_M_Ko.indd

B _02_M_Ko.indd DNX SERIES DNX560 DNX560M DDX SERIES DDX506 DDX506M B64-467-00/0 (MW) DNX560/DNX560M/DDX506/DDX506M 4 DNX560/DNX560M/DDX506/DDX506M NAV TEL AV OUT % % % % CD () : Folder : Audio fi 5 6 DNX560/DNX560M/DDX506/DDX506M

More information

์Šฌ๋ผ์ด๋“œ 1

์Šฌ๋ผ์ด๋“œ 1 RFID 2004. 11 Allixon Overview Company Profile (www.allixon.com) 2003128 31474-16 3 Tel 02-3471-9340 / Fax 02-3471-9337 RFID & EPC Network ALLIXON = ALL + LINK + ON Allixon Overview Vision & Mission RFID

More information

Oracle Database 10g: Self-Managing Database DB TSC

Oracle Database 10g: Self-Managing Database DB TSC Oracle Database 10g: Self-Managing Database DB TSC Agenda Overview System Resource Application & SQL Storage Space Backup & Recovery ยฝ Cost ? 6% 12 % 6% 6% 55% : IOUG 2001 DBA Survey ? 6% & 12 % 6% 6%

More information

thesis

thesis ( Design and Implementation of a Generalized Management Information Repository Service for Network and System Management ) ssp@nile nile.postech.ac..ac.kr DPE Lab. 1997 12 16 GMIRS GMIRS GMIRS prototype

More information

๊ณ„์ˆ˜๋ฅผ ๊ฒฐ์ •ํ•˜๋Š” ๊ณผ์ •์ด๋ฉฐ, ์ˆœ๋ฐฉํ–ฅ ๊ฒฝ๋กœ๋Š” ์ด๋Ÿฌํ•œ ๋ณด์ • ๊ณ„์ˆ˜๋ฅผ ๋ฐ์ดํ„ฐ ๊ฒฝ๋กœ์— ์ ์šฉํ•˜๋Š” ๊ณผ์ •์ด๋‹ค. ์ ์‘ ์„œ๋ธŒ์‹œ์Šคํ…œ์€ ๊ธฐ์ค€ ์‹ ํ˜ธ๋กœ ์†ก์‹ ๋œ ๋ฐ์ดํ„ฐ๋กœ๋ถ€ํ„ฐ ์ƒ˜ํ”Œ์„ ์บก์ฒ˜ํ•˜๊ณ , ์ด๋ฅผ PA๋กœ๋ถ€ํ„ฐ ์ถœ๋ ฅ๋œ ์‹  ํ˜ธ์˜ ๊ด€์ฐฐ ๊ฒฝ๋กœ์— ์˜ํ•œ ๋™์‹œ ์บก์ฒ˜๋œ ์‹ ํ˜ธ์™€ ๋น„๊ตํ•จ์œผ๋กœ์จ ์ง€์†์ ์œผ๋กœ PA ํŠน์„ฑ์—

๊ณ„์ˆ˜๋ฅผ ๊ฒฐ์ •ํ•˜๋Š” ๊ณผ์ •์ด๋ฉฐ, ์ˆœ๋ฐฉํ–ฅ ๊ฒฝ๋กœ๋Š” ์ด๋Ÿฌํ•œ ๋ณด์ • ๊ณ„์ˆ˜๋ฅผ ๋ฐ์ดํ„ฐ ๊ฒฝ๋กœ์— ์ ์šฉํ•˜๋Š” ๊ณผ์ •์ด๋‹ค. ์ ์‘ ์„œ๋ธŒ์‹œ์Šคํ…œ์€ ๊ธฐ์ค€ ์‹ ํ˜ธ๋กœ ์†ก์‹ ๋œ ๋ฐ์ดํ„ฐ๋กœ๋ถ€ํ„ฐ ์ƒ˜ํ”Œ์„ ์บก์ฒ˜ํ•˜๊ณ , ์ด๋ฅผ PA๋กœ๋ถ€ํ„ฐ ์ถœ๋ ฅ๋œ ์‹  ํ˜ธ์˜ ๊ด€์ฐฐ ๊ฒฝ๋กœ์— ์˜ํ•œ ๋™์‹œ ์บก์ฒ˜๋œ ์‹ ํ˜ธ์™€ ๋น„๊ตํ•จ์œผ๋กœ์จ ์ง€์†์ ์œผ๋กœ PA ํŠน์„ฑ์— ์ด ๊ธ€์€ ์•Œํ…Œ๋ผ(Altera ) SoC ์ƒ์—์„œ ์‹คํ–‰ํ•˜๋Š” ๋””์ง€ํ„ธ ์ „์น˜ ์™œ๊ณก(DPD) ์•Œ๊ณ ๋ฆฌ์ฆ˜์— ๋Œ€ํ•œ ์•Œ๊ณ  ๋ฆฌ์ฆ˜ ํšจ์œจ์„ฑ์„ ๋ถ„์„ํ•˜๋Š” ๋ฐฉ๋ฒ•์„ ์„ค๋ช…ํ•œ๋‹ค. ์ตœ์ ํ™”๋ฅผ ์•ˆ๋‚ดํ•˜๊ธฐ ์œ„ํ•ด ARM ๊ฐœ๋ฐœ ์ŠคํŠœ๋””์˜ค DS- 5 (Development Studio 5) ์•Œํ…Œ๋ผ ์—๋””์…˜ ํˆดํ‚ท์— ํฌํ•จ๋œ ์ŠคํŠธ๋ฆผ๋ผ์ธ ํˆด์„ ์‚ฌ์šฉํ•˜์—ฌ ์„ค๊ณ„ ๊ณต๊ฐ„ ์„ ๋ถ„์„ํ•˜๊ณ , ์ฝ”๋“œ๋ฅผ ํ”„๋กœํŒŒ์ผ๋งํ•œ๋‹ค. ๋ฉ”๋ชจ๋ฆฌ ๋‹คํ•ญ์‹์—

More information

SW_faq2000๋ฒˆ์—ญ.PDF

SW_faq2000๋ฒˆ์—ญ.PDF FREUENTLY ASKED UESTIONS ON SPEED2000 Table of Contents EDA signal integrity tool (vias) (via) /, SI, / SPEED2000 SPEED2000 EDA signal integrity tool, ( (via),, / ), EDA, 1,, / 2 FEM, PEEC, MOM, FDTD EM

More information

#KM560

#KM560 KM-560 KM-560-7 PARTS BOOK KM-560 KM-560-7 INFORMATION A. Parts Book Structure of Part Book Unique code by mechanism Unique name by mechanism Explode view Ref. No. : Unique identifcation number by part

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

DIY แ„Žแ…ขแ†บแ„‡แ…ฉแ†บ - LangCon

DIY แ„Žแ…ขแ†บแ„‡แ…ฉแ†บ - LangCon without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp ์ œ1 ๊ณผ๋ชฉ : ๋””์ง€ํ„ธ ์ „์žํšŒ๋กœ 1. ๋‹ค์Œ ํšŒ๋กœ์˜ ์ถœ๋ ฅ์ „๋ฅ˜ Ic ์˜ ์•ˆ์ •์— ๋Œ€ํ•œ ์„ค๋ช… ์ค‘ ์˜ณ์ง€ ์•Š์€ ๊ฒƒ Ie๋ฅผ ํฌ๊ฒŒ ํ•ด์น˜์ง€ ์•Š๋Š” ๋ฒ”์œ„ ๋‚ด์—์„œ Re ๊ฐ€ ํฌ๋ฉด ํด์ˆ˜๋ก ์ข‹ ์ถœ๋ ฅํŒŒํ˜•์ด ํฌ๊ฒŒ ์ผ๊ทธ๋Ÿฌ์ง€์ง€ ์•Š๋Š” ๋ฒ”์œ„ ๋‚ด์—์„œ ฮฒ ๊ฐ€ ํฌ๋ฉด ํด์ˆ˜๋ก ์ข‹ ๊ฒŒ๋ฅด๋งˆ๋Š„ ํŠธ๋žœ์ง€์Šคํ„ฐ์—์„œ Ico๊ฐ€ Ic ์˜ ์•ˆ์ •์— ๊ฐ€์žฅ ํฐ ์˜ํ–ฅ์„ ์ค€ Rc๋Š” Ic ์˜ ์•ˆ์ •์— ํฐ ์˜ํ–ฅ์„ ์ค€ 6. ๋น„๋™๊ธฐ์‹ ๋ชจ๋“œ (mode)-13

More information

Simplify your Job Automatic Storage Management DB TSC

Simplify your Job Automatic Storage Management DB TSC Simplify your Job Automatic Storage Management DB TSC 1. DBA Challenges 2. ASM Disk group 3. Mirroring/Striping/Rebalancing 4. Traditional vs. ASM 5. ASM administration 6. ASM Summary Capacity in Terabytes

More information