ๆญฏChap1-Chap2.PDF

Size: px
Start display at page:

Download "ๆญฏChap1-Chap2.PDF"

Transcription

1

2 ASIC Chip Chip Chip Proto-Type Chip

3 ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing Verify Fault Simulation Fault Simulation Verified Netlist

4 ASIC Design Flow(Back-End) Verified Netlist P&R Seed File P&R Cap Net Extract Post-Simulation Merge Phantom DRC, LVS GDS File

5 1 #include <stdioh> main( ) { int input,ouput; output=!input; } V out V inv V in (a) C (b) (c) (d) (e) (f)

6 2 main( ) { c=a+b; } C (RT)

7 1) 2) RTL 3) GATE HDL RTL HDL / HDL GATE (Front end) (Back end) 4) Backannotation (P&R) FPLD

8 VHSIC Workshop(Woods Hole, Massachusetts) VHDL Intermetrics, IBM, Texas Instruments (HDL) VHDL Version IEEE Computer Society VHDL Analysis & Standardization Group (VASG) VHDL Intermetrics IEEE VHDL IEEE Std VASG VHDL IEEE VHDL IEEE Std

9 VHDL (Computer Language ), Alphabet, (Comment) --, ( -- ) Line Line ; ; Space( ) Tab, ( : ; :, + ) Data Type User Data Type Synthesizable Coding VHDL Simulation Synthesizable Coding RTL Coding Verilog HDL

10 VHDL (Design Unit) (Design Library) (Package Declaration) / (Package Body) (Entity Declaration), (Architecture Body) (Configuration Declaration)

11 (Design Unit) (Primary Unit) Package Delcaration (Secondary Unit) Package Body Entity Declaration Architecture Body / Configuration Declaration

12 (Design Library) - Working Library : - Resource Library : (Use ) - STDSTANDARD default - STD library : VHDL standard library (STANDARD, TEXTIO) - IEEE library : IEEE standard library ( std_logic_1164, std_logic_arith) - ASIC vendor library : logic gate entity, architecture body - User-defined library : package, entity, architecture body, configuration - WORK library : directory VHDL - LIBRARY library_name ; - (Use Clause) USE library_namepackage_namedeclaration_name ; USE library_namepackage_nameall ; - / (Binding Indication) USE ENTITY library_nameentity_name(architecture_body_name) ; USE CONFIGURATION library_nameconfiguration_name ;

13 (Package Declaration & Package Body) (Package Declaration) - / / - USE (Package Body) - (Deferred constant) Package STD (VHDL ) STANDARD; TEXTIO - IEEE : STD_LOGIC_1164 : STD_LOGIC_ARITH; STD_LOGIC_UNSIGNED Microwave : WAVES_1164_DECLARATIONS; WAVES_INTERFACE; WAVES_1164_FRAMES; WAVES_1164_UTILITIE; WAVES_OBJECTS VITAL (ASIC ) : VITAL_timing; VITAL_Primitives

14 Entity Component,, Type Architecture Data Signal, Data Type Entity COMP is port( a, b: in bit; c: out bit ); end COMP; Port : Entity Design Block in, out, inout, buffer, linkage In : Input Out : Output Read( ) Inout: Bidirection ( ) Buffer: Out Read ( ) linkage:

15 (Mode) IN OUT INOUT : : : BUFFER :, LINKAGE : VHDL

16 Architecture Body( ) Architecture Entity Port Entity Architecture (1) Behavioral Style Architecture : Function Process if, for, case Design, Sequential Logic Architecture BEHAVE of COMP is begin process(a, b) begin if (a = b) then c <= 1 ; else c <= 0 ; end if ; end process ; end BEHAVE; (2) Dataflow Style Architecture : RTL(Register Transfer Level) Design

17 Architecture RTL of COMP is begin c <= not (a xor b); end RTL; (3) Structural Style Architecture Netlist Block Component Top Level Architecture STRUCTURE of COMP is signal I : bit ; component XOR2 port (x, y : in bit; z : out bit); end component; component INV port(x : in bit; z : out bit); end component; begin u0 : XOR2 port map (x => a, y => b, z => I); u1 : INV port map (x => I, z => c); end;

18 Configuration Declaration VHDL File Design Unit( Design Block) Top level Configuration Entity Architecture Component Entity, Architecture Simulator Link Test Bench Coding Architecture arch_add of adder is signal a, b, p : bit ; for u0 : and2 use entity workand(comp) ; begin u0: and2 port map(a, b, p) ;

19 Reserved Word abs access after alias all allow and architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto element else elsif end entity exit file for function generate generic group guarded if impure in initial inout is label library linkage literal loop map mod nand new next nor not null of on open or others out package port postponed private procedure process pure range record register reject rem report return rol ror select severity signal shared sla sll sra srl subtype then to transport type unaffected units until use variable wait when while with xnor xor

20

21 Figure 1-1 : Example of a symbol used in a schematic (2-input, 2-output)

22 Figure 1-2 : Example of a schematic for an RSFF

23 Symbol vs Entities Entity rsff IS PORT ( set, reset : IN BIT; q, qb: INOUT BIT); END rsff; Schematic vs Architectures (Structural Model) ARCHITECTURE netlist OF rsff IS COMPONENT nand2 PORT ( a, b : IN BIT; c : OUT BIT); END COMPONENT; BEGIN U1 : nand2 PORT MAP (set, qb, q); U2 : nand2 PORT MAP (reset, q, qb); END netlist;

24 Behavioral Descriptions (Execute parallel(concurrently, not serially) ARCHITECTURE behave OF rsff IS BEGIN q <= NOT(qb AND set) AFTER 2 ns; qb <= NOT(q AND reset) AFTER 2 ns; END behave;

25 Sequential Behavior ARCHITECTURE sequential OF rsff IS BEGIN PROCESS (set, reset) BEGIN IF set = 1 AND reset = 0 THEN q <= 0 AFTER 2 ns; qb <= 1 AFTER 4 ns; ELSIF set = 0 AND reset = 1 THEN q <= 1 AFTER 4 ns; qb <= 0 AFTER 2 ns; ELSIF set = 0 AND reset = 0 THEN q <= 1 AFTER 2 ns; qb <= 1 AFTER 2 ns; END IF; END PROCESS; END sequential;

26 Configuration Statement (Architecture to use in a given simulation You can create simulatable model) CONFIGURATION rsffcon1 OF rsff IS FOR netlist FOR U1, U2 : nand2 USE ENTITY WORKmynand(version1); END FOR; END FOR; END rsscon1; WORK: library name mynand: entity version1: architecture

27 4 Behavioral Modeling o Most basic form of behavioral modeling in VHDL is signal assignment statement a <= b; a <= b after 10ns; o AND gate modeling ENTITY and2 IS PORT ( a, b : IN BIT; c : OUT BIT); END and2; ARCHITECTURE and2_behav OF and2 IS BEGIN c <= a AND b AFTER 5 ns; END and2_behav;

28 o Four-input Multiplexer modeling LIBRARY IEEE; USE IEEEstd_logic_1164ALL; ENTITY mux4 IS PORT ( i0, i1, i2, i3, a, b : IN std_logic; q : OUT std_logic); END mux4; ARCHITECTURE mux4 OF mux4 IS SIGNAL sel : INTEGER; BEGIN WITH sel SELECT q <= i0 AFTER 10 ns WHEN 0, i1 AFTER 10 ns WHEN 1, i2 AFTER 10 ns WHEN 2, i3 AFTER 10 ns WHEN 3, X AFTER 10 ns WHEN OTHERS; sel <= 0 WHEN a = 0 AND b = 0 ELSE 1 WHEN a = 1 AND b = 0 ELSE 2 WHEN a = 0 AND b = 1 ELSE 3 WHEN a = 1 AND b = 1 ELSE 4 ; END mux4;

29

30 Transport Versus Inertial Delay o Inertial Delay( )

31 LIBRARY IEEE; USE IEEEstd_logic_1164ALL; ENTITY buf IS PORT (a : IN std_logic; b : OUT std_logic); END buf; ARCHITECTURE buf OF buf IS BEGIN b <= a AFTER 20 ns; END buf;

32 o Transport Delay

33 LIBRARY IEEE; USE IEEEstd_logic_1164ALL; ENTITY delay_line IS PORT (a : IN std_logic; b : OUT std_logic); END delay_line; ARCHITECTURE delay_line OF delay_line IS BEGIN b <= TRANSPORT a AFTER 20 ns; END delay_line ;

34 Simulation Deltas Delta Delay - Event B <= A; C<= B; D <= C; t t t T n t

35

36 ENTITY reg IS PORT (a, clock : IN std_logic; d : OUT std_logic); END reg; ARCHITECTURE test OF reg IS SIGNAL b, c : BIT BEGIN b <= NOT(a); c <= NOT(clock AND b); d <= c AND b; END test ;

37 Drivers ARCHITECTURE test OF test IS BEGIN a <= b AFTER 10ns; a <= c AFTER 10ns; END test ; o Bad Multiple Driver Model USE WORKstd_logic_1164ALL; ENTITY mux IS PORT (i0, i1, i2, i3, a, b : IN std_logic; q : OUT std_logic); END mux; ARCHITECTURE bad OF mux IS BEGIN q <= i0 WHEN a = 0 AND b = 0 ELSE 0 ; q <= i1 WHEN a = 1 AND b = 0 ELSE 0 ; q <= i2 WHEN a = 0 AND b = 1 ELSE 0 ; q <= i3 WHEN a = 1 AND b = 1 ELSE 0 ; END bad ;

38 ARCHITECTURE better OF mux IS BEGIN q <= i0 WHEN a = 0 AND b = 0 ELSE i1 WHEN a = 1 AND b = 0 ELSE i2 WHEN a = 0 AND b = 1 ELSE i3 WHEN a = 1 AND b = 1 ELSE X ; END better;

39 Generics Entity Architecture ENTITY sample IS GENERIC(width : INTEGER := 8; bit_size : INTEGER); PORT(di : IN BIT_VECTOR(width 1 DOWNTO 0); do : OUT BIT_VECTOR(bit_size 1 DOWNTO 0); END sample; width INTGER 8 bit_size Parameter

40 Block Statement (Block Statement) (Guarded Signal) (BLOCK ) / (Guarded Signal) GUARD Register Bus blk : BLOCK (clk = 1 ) -- guarded condition BEGIN s1 <= GUARDED d WHEN enable=1 ELSE 0 ; -- Guarded Signal Assignment END BLOCK;

41

42 VHDL Synthesis Synthesis VHDL, Synthesis VHDL Critical Path, Gate Count Simulation VHDL Source Code Synthesis RTL Code Synthesis Synthesis VHDL Top-Down Test Bench Synthesis Simulation Tool Synthesis, Tool Testable Design Toggle Rate Fault Simulation Synthesis Synthesis

43 VHDL Coding Synthesis D Flip Flop Entity D_FF is port (b, c : in bit; qout : out bit; end D_FF; architecture arch_d_ff of D_FF is begin process begin wait until clk event and clk = 1 ; qout <= b ; end process ; end arch_d_ff ; b c D clk Q qout

44 Latch Entity Latch is port (b, c : in bit; and_out : out bit); end Latch; architecture arch_latch of Latch is begin process(b, c) begin if (c = 1 ) then and_out <= b ; end if; end process; end arch_latch; b c D Enable Q And_out

45 Signal Variable - Simulation Signal Update Delta Time Variable - Variable Simulation Glitch - Variable Simulation VHDL Coding - buffer - if Synthesis if - Latch Synthesis if, case Coding - Reset if Clock - Reset if Clock

๋””์ง€ํ„ธ๊ณตํ•™ 5ํŒ 7-8์žฅ

๋””์ง€ํ„ธ๊ณตํ•™ 5ํŒ 7-8์žฅ Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

MAX+plus II Getting Started - ๋ฌด์ž‘์ •๋”ฐ๋ผํ•˜๊ธฐ

MAX+plus II Getting Started - ๋ฌด์ž‘์ •๋”ฐ๋ผํ•˜๊ธฐ ๋ฌด์ž‘์ • ๋”ฐ๋ผํ•˜๊ธฐ 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft PowerPoint - VHDL01_chapter1.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - VHDL01_chapter1.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] VHDL ํ”„๋กœ๊ทธ๋ž˜๋ฐ 1. ๋ฌธ๋ฒ•๊ธฐ์ดˆ - ๊ฐ„๋‹จํ•œ์กฐํ•ฉํšŒ๋กœ๋ฐ๋ฌธ๋ฒ• ํ•™์Šต๋ชฉํ‘œ VHDL ๊ธฐ์ˆ ๊ณผ์†Œํ”„ํŠธ์›จ์–ด์™€์ฐจ์ดํŒŒ์•… Signal assignment ์˜์˜๋ฏธํŒŒ์•… Architecture body ์˜๊ฐœ๋…ํŒŒ์•… Entity declaration ์˜๊ฐœ๋…ํŒŒ์•… Process ๋ฌธ์˜์‚ฌ์šฉ๋ฒ• Variable ๊ณผ signal ์˜์ฐจ์ดํŒŒ์•… Library, Use, Package ์˜์‚ฌ์šฉ๋ฒ• 2/53 ๊ฐ„๋‹จํ•œ๋…ผ๋ฆฌํšŒ๋กœ์˜ˆ์ œ

More information

Microsoft PowerPoint - hw4.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - hw4.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] 4.1 initial ๊ณผ always Chapter 4 Verilog์˜ํŠน์ง• ๋ณดํ†ต์˜ programming์–ธ์–ด์™€๊ฐ™์€ procedural statement์„์ œ๊ณต ์ถ”์ƒ์ ์ธ behavioral model ๊ธฐ์ˆ ์—์‚ฌ์šฉ ์ˆœ์ฐจ์ ์œผ๋กœ์ˆ˜ํ–‰ํ•˜๋Š”๋ณดํ†ต์˜ programming ์–ธ์–ด์™€๋Š”๋‹ค๋ฅด๊ฒŒ๋ณ‘๋ ฌ์ ์œผ๋กœ์ˆ˜ํ–‰ํ•˜๋Š”์–ธ์–ด์ž„ module Behavioral Model ๋…ผ๋ฆฌ์„ค๊ณ„ ๋ณ‘๋ ฌ์ˆ˜ํ–‰ module

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc ์ œ 7 ์žฅ Flip-Flops and Registers ์‹คํ—˜์˜๋ชฉํ‘œ - S-R Latch ์˜๋™์ž‘์„์ดํ•ดํ•˜๋„๋กํ•œ๋‹ค. - Latch ์™€ Flip-flop ์˜์ฐจ์ด๋ฅผ์ดํ•ดํ•œ๋‹ค. - D-FF ๊ณผ JK-FF ์˜๋™์ž‘์›๋ฆฌ๋ฅผ์ดํ•ดํ•œ๋‹ค. - Shift-register MSI ์˜๋™์ž‘์„์ตํžˆ๋„๋กํ•œ๋‹ค. - Timing ์‹œ๋ฎฌ๋ ˆ์ด์…˜๋ฐฉ๋ฒ•์—๋Œ€ํ•˜์—ฌ์Šต๋“ํ•œ๋‹ค. ์‹คํ—˜๋„์›€์ž๋ฃŒ 1. Universal Shift

More information

ยบรŽยทรB

ยบรŽยทรB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

Microsoft PowerPoint - VHDL08.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - VHDL08.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] VHDL ํ”„๋กœ๊ทธ๋ž˜๋ฐ 8. ์กฐํ•ฉ๋…ผ๋ฆฌํšŒ๋กœ์„ค๊ณ„ ํ•œ๋™์ผ ํ•™์Šต๋ชฉํ‘œ ํ…Œ์ŠคํŠธ๋ฒค์น˜์˜์šฉ๋„๋ฅผ์•Œ๊ณ ์ž‘์„ฑํ• ์ˆ˜์žˆ๋‹ค. ๊ฐ„๋‹จํ•œ์กฐํ•ฉ๋…ผ๋ฆฌํšŒ๋กœ๋ฅผ์„ค๊ณ„ํ• ์ˆ˜์žˆ๋‹ค. ํ•˜๋‚˜์˜๋กœ์งํšŒ๋กœ์—๋Œ€ํ•ด์„œ๋‹ค์–‘ํ•œ์„ค๊ณ„๋ฐฉ์‹์„๊ตฌ์‚ฌํ• ์ˆ˜์žˆ๋‹ค. ์ œ๋„ค๋ฆญ์„ํ™œ์šฉํ• ์ˆ˜์žˆ๋‹ค. ๋กœ์ง์„ค๊ณ„๋ฅผ์œ„ํ•œ์‚ฌ์–‘์„์ดํ•ดํ• ์ˆ˜์žˆ๋‹ค. ์ฃผ์–ด์ง„๋ฌธ์ œ๋ฅผํ•˜๋“œ์›จ์–ด์„ค๊ณ„๋ฌธ์ œ๋กœ๋ณ€ํ™˜ํ• ์ˆ˜์žˆ๋‹ค. ์„ค๊ณ„๋œ์ฝ”๋“œ๋ฅผํ…Œ์ŠคํŠธ๋ฒค์น˜๋ฅผ์ด์šฉํ•˜์—ฌ๊ฒ€์ฆํ• ์ˆ˜์žˆ๋‹ค. 2/37 ํ…Œ์ŠคํŠธ๋ฒค์น˜ (test bench) ํ…Œ์ŠคํŠธ๋ฒค์น˜

More information

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜ @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

๋””์ง€ํ„ธ ASIC ์„ค๊ณ„ (1์ฃผ์ฐจ) MAXPLUS II ์†Œ๊ฐœ ๋ฐ ์‚ฌ์šฉ๋ฒ•

๋””์ง€ํ„ธ ASIC ์„ค๊ณ„    (1์ฃผ์ฐจ)  MAXPLUS II  ์†Œ๊ฐœ ๋ฐ ์‚ฌ์šฉ๋ฒ• ๋””์ง€ํ„ธ ASIC ์„ค๊ณ„ (1 ์ฃผ์ฐจ ) MAXPLUS II ์†Œ๊ฐœ๋ฐ์‚ฌ์šฉ๋ฒ• ์‹ ํฅ๋Œ€ํ•™์ „์žํ†ต์‹ ๊ณผ๊น€์ •ํ›ˆ jhkim@shc.ac.kr ์ฐจ๋ก€ 1. Why Digital 2. Combinational logic ( ์กฐํ•ฉํšŒ๋กœ ) ์†Œ๊ฐœ 3. Sequential logic ( ์ˆœ์ฐจํšŒ๋กœ ) ์†Œ๊ฐœ 4. MAX+PLUSII ์†Œ๊ฐœ 5. MAX+PLUSII Tools ์„ค๊ณ„ํ™˜๊ฒฝ 6. ์˜ˆ์ œ์†Œ๊ฐœ

More information

Microsoft PowerPoint - VHDL02_full.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - VHDL02_full.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] VHDL ํ”„๋กœ๊ทธ๋ž˜๋ฐ 2. VHDL ์–ธ์–ด์‚ฌ์šฉํ•ด๋ณด๊ธฐ ํ•œ๋™์ผ ํ•™์Šต๋ชฉํ‘œ ๊ธฐ์กดํ”„๋กœ๊ทธ๋ž˜๋ฐ์–ธ์–ด์˜๊ฐ„๋‹จํ•œ์˜ˆ๋ฅผ๋‹ค๋ฃฌ๋‹ค. VHDL ์–ธ์–ด์˜๊ฐ„๋‹จํ•œ์˜ˆ๋ฅผ๋‹ค๋ฃฌ๋‹ค. ๊ฐ์–ธ์–ด์˜์‹ค์ œ์ ์ธ์‚ฌ์šฉ์˜ˆ๋ฅผํŒŒ์•…ํ•œ๋‹ค. ๊ธฐ์กดํ”„๋กœ๊ทธ๋ž˜๋ฐ์–ธ์–ด์™€๋น„๊ต๋˜๋Š” VHDL์–ธ์–ด์˜์ฐจ์ด์ ์„์ดํ•ดํ•œ๋‹ค. ์—”ํ‹ฐํ‹ฐ์„ ์–ธ์˜์˜๋ฏธ๋ฅผํŒŒ์•…ํ•œ๋‹ค. ์•„ํ‚คํ…์ฒ˜์„ ์–ธ์˜์˜๋ฏธ๋ฅผํŒŒ์•…ํ•œ๋‹ค. VHDL ์–ธ์–ด์˜๋ฌธ์žฅ๊ตฌ์กฐ๋ฅผ๋ˆˆ์—์ตํžŒ๋‹ค. ๋””์ง€ํ„ธ๋กœ์ง๊ณผ์ด์˜ VHDL ํ‘œํ˜„๊ณผ์ •์„์ดํ•ดํ•œ๋‹ค. 2/23

More information

Microsoft Word - ์ œ6์žฅ Beyond Simple Logic Gate.doc

Microsoft Word - ์ œ6์žฅ Beyond Simple Logic Gate.doc ์ œ 6 ์žฅ Beyond Simple Logic Gate ์‹คํ—˜์˜๋ชฉํ‘œ - MUX, DEMUX์˜๋™์ž‘์„์ดํ•ดํ•˜๋„๋กํ•œ๋‹ค. - encoder ์™€ decoder ์˜์›๋ฆฌ๋ฅผ์ตํžˆ๊ณ  MUX, DEMUX ์™€๋น„๊ต๋ฅผํ•ด๋ณธ๋‹ค. - MUX ๋ฅผ์ด์šฉํ•˜์—ฌ์กฐํ•ฉํšŒ๋กœ๋ฅผ์„ค๊ณ„ํ•ด๋ณธ๋‹ค. - tri-state gate ์™€ open-collector gate ์˜ํŠน์„ฑ์—๋Œ€ํ•˜์—ฌ์•Œ์•„๋ณธ๋‹ค. ์ž˜๋ชป๋œ์‚ฌ์šฉ๋ฒ•์—๋Œ€ํ•˜์—ฌ์–ด๋–ค๊ฒฐ๊ณผ๊ฐ€๋ฐœ์ƒํ•˜๋Š”์ง€ํ™•์ธํ•ด๋ณธ๋‹ค.

More information

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜ Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design ์‹œ๊ฐ„์—๋ฐฐ์šด๊ฒƒ๊ณผ๊ฐ™์Šต๋‹ˆ๋‹ค. Moore / Mealy machines Verilog ๋ฅผ์ด์šฉํ•ด์„œ์–ด๋–ป๊ฒŒ๊ตฌํ˜„ํ• ๊นŒ? 2 Finite State

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

VHDL ๊ธฐ์ดˆ VHDL ๋‘์›๊ณต๊ณผ๋Œ€ํ•™์ •๋ณดํ†ต์‹ ๋ฏธ๋””์–ด๊ณ„์—ด์ด๋ฌด์˜

VHDL ๊ธฐ์ดˆ VHDL ๋‘์›๊ณต๊ณผ๋Œ€ํ•™์ •๋ณดํ†ต์‹ ๋ฏธ๋””์–ด๊ณ„์—ด์ด๋ฌด์˜ ๊ธฐ์ดˆ ๋‘์›๊ณต๊ณผ๋Œ€ํ•™์ •๋ณดํ†ต์‹ ๋ฏธ๋””์–ด๊ณ„์—ด์ด๋ฌด์˜ 2! ๋‹ด๋‹น : ์ด๋ฌด์˜, ๋ณธ๊ด€ 325 ํ˜ธ, mylee@doowon.ac.kr! ๊ฐ•์˜๊ต์žฌ! 3 ์›” : ๊ธฐ์กด๊ต์žฌ๋ณต์Šต ( ๊ธฐ์ดˆ์™€์‘์šฉ, ํ™๋ฆ‰๊ณผํ•™์ถœํŒ์‚ฌ, ์ด๋Œ€์˜์™ธ 3 ๋ช…๊ณต์ € )! 4 ์›”์ดํ›„ : ์ถ”ํ›„๊ณต์ง€! ์‹ค์Šต๋„๊ตฌ! ํ•œ๋ฐฑ์ „์ž HBE-DTK-240! www.hanback.co.kr ( ๋””์ง€ํ„ธ -FPGA) ์ž๋ฃŒ์ฐธ๊ณ ํ• ๊ฒƒ์ž„.! ์ฒœ์•ˆ๊ณต๋Œ€๋ฅ˜์žฅ์—ด๊ต์ˆ˜๋‹˜์˜จ๋ผ์ธ์ปจํ…์ธ 

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ฮถ ฯ‰ n (rad/sec) 2 ( ฮถ < 1), 1 (ฮถ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ฮถ ฯ‰ n (rad/sec) 2 ( ฮถ < 1), 1 (ฮถ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

์‚ผ์„ฑ๊ธฐ์ดˆVHDL์‹ค์Šต.PDF

์‚ผ์„ฑ๊ธฐ์ดˆVHDL์‹ค์Šต.PDF VHDL Simulation Synthesis - Synopsys Tool - System ASIC Design Lab : jcho@asiclabinchonackr -I - : -Bit Full Adder Simulation Synopsys Simulation Simulation Tool -2 : -Bit Full Adder Synthesis Synopsys

More information

Microsoft PowerPoint - VHDL12_full.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - VHDL12_full.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] VHDL ํ”„๋กœ๊ทธ๋ž˜๋ฐ 12. ๋ฉ”๋ชจ๋ฆฌ์ธํ„ฐํŽ˜์ด์ŠคํšŒ๋กœ์„ค๊ณ„ ํ•œ๋™์ผ ํ•™์Šต๋ชฉํ‘œ ROM ์˜๊ตฌ์กฐ๋ฅผ์ดํ•ดํ•˜๊ณ  VHDL ๋กœ๊ตฌํ˜„ํ• ์ˆ˜์žˆ๋‹ค. ์—ฐ์‚ฐ์‹์˜๊ตฌํ˜„์„์œ„ํ•ด์„œ ROM ์„ํ™œ์šฉํ• ์ˆ˜์žˆ๋‹ค. RAM ์˜๊ตฌ์กฐ๋ฅผ์ดํ•ดํ•˜๊ณ  VHDL ๋กœ๊ตฌํ˜„ํ• ์ˆ˜์žˆ๋‹ค. FIFO, STACK ๋“ฑ์˜์šฉ๋„๋กœ RAM ์„ํ™œ์šฉํ• ์ˆ˜์žˆ๋‹ค. ASIC, FPGA ์—…์ฒด์—์„œ์ œ๊ณตํ•˜๋Š”๋ฉ”๊ฐ€์…€์„์ด์šฉํ•˜์—ฌ์›ํ•˜๋Š”์ŠคํŽ™์˜๋ฉ”๋ชจ๋ฆฌ๋ฅผ์ƒ์„ฑํ• ์ˆ˜์žˆ๋‹ค. SDRAM ์˜๊ตฌ์กฐ๋ฅผ์ดํ•ดํ•œ๋‹ค.

More information

์Šฌ๋ผ์ด๋“œ 1

์Šฌ๋ผ์ด๋“œ 1 / ์œ ๋‹‰์Šค์‹œ์Šคํ…œ๊ฐœ์š” / ํŒŒ์ผ / ํ”„๋กœ์„ธ์Šค 01 File Descriptor file file descriptor file type unix ์—์„œ์˜ํŒŒ์ผ์€๋‹จ์ง€๋ฐ”์ดํŠธ๋“ค์˜๋‚˜์—ด์ž„ operating system ์€ํŒŒ์ผ์—์–ด๋–คํฌ๋งท๋„๋ถ€๊ณผํ•˜์ง€์•Š์Œ ํŒŒ์ผ์˜๋‚ด์šฉ์€๋ฐ”์ดํŠธ๋‹จ์œ„๋กœ์ฃผ์†Œ๋ฅผ์ค„์ˆ˜์žˆ์Œ file descriptor ๋Š” 0 ์ด๋‚˜์–‘์ˆ˜์ž„ file ์€ open ์ด๋‚˜ creat ๋กœ file

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

Mentor_PCB์„ค๊ณ„์ž…๋ฌธ

Mentor_PCB์„ค๊ณ„์ž…๋ฌธ Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

DE1-SoC Board

DE1-SoC Board ์‹ค์Šต 1 ๊ฐœ๋ฐœํ™˜๊ฒฝ DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

10์ฃผ์ฐจ.key

10์ฃผ์ฐจ.key 10, Process synchronization (concurrently) ( ) => critical section ( ) / =>, A, B / Race condition int counter; Process A { counter++; } Process B { counter ;.. } counter++ register1 = counter register1

More information

13์ฃผ-14์ฃผproc.PDF

13์ฃผ-14์ฃผproc.PDF 12 : Pro*C/C++ 1 2 Embeded SQL 3 PRO *C 31 C/C++ PRO *C NOT! NOT AND && AND OR OR EQUAL == = SQL,,, Embeded SQL SQL 32 Pro*C C SQL Pro*C C, C Pro*C, C C 321, C char : char[n] : n int, short, long : float

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

C++-ยฟรยบยฎร‡ร˜ยผยณ10ร€รฅ

C++-ยฟรยบยฎร‡ร˜ยผยณ10ร€รฅ C C++. (preprocessor directives), C C++ C/C++... C++, C. C++ C. C C++. C,, C++, C++., C++.,.. #define #elif #else #error #if #itdef #ifndef #include #line #pragma #undef #.,.,. #include #include

More information

Microsoft PowerPoint - VHDL06.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - VHDL06.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] VHDL ํ”„๋กœ๊ทธ๋ž˜๋ฐ 6. ๋ถ€ํ”„๋กœ๊ทธ๋žจ๊ณผํŒจํ‚ค์ง€ ํ•œ๋™์ผ ํ•™์Šต๋ชฉํ‘œ ๋ถ€ํ”„๋กœ๊ทธ๋žจ์˜์ข…๋ฅ˜์™€์ฐจ์ด์ ๊ณผํ™œ์šฉ๋ฐฉ๋ฒ•์—๋Œ€ํ•ด์„œ๋ฐฐ์šด๋‹ค. ํ•จ์ˆ˜๋ฅผ์ •์˜ํ•˜๊ณ ํ˜ธ์ถœํ•˜๋Š”๋ฐฉ๋ฒ•์„๋ฐฐ์šด๋‹ค. ํ”„๋กœ์‹œ์ €๋ฅผ์ •์˜ํ•˜๊ณ ํ˜ธ์ถœํ•˜๋Š”๋ฐฉ๋ฒ•์„๋ฐฐ์šด๋‹ค. ๋ถ€ํ”„๋กœ๊ทธ๋žจ์˜ค๋ฒ„๋กœ๋”ฉ์˜๊ฐœ๋…์„์ดํ•ดํ•œ๋‹ค. ํŒจํ‚ค์ง€์˜์‚ฌ์šฉ๋ชฉ์ ์„๋ฐฐ์šด๋‹ค. ์„ค๊ณ„ํŒŒ์ผ, ์„ค๊ณ„๋‹จ์œ„, ์„ค๊ณ„๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ์˜๊ฐœ๋…์„์ดํ•ดํ•œ๋‹ค. VHDL ์˜๋ผ์ด๋ธŒ๋Ÿฌ๋ฆฌ๊ตฌ์กฐ๋ฅผ์ดํ•ดํ•œ๋‹ค. 2/39 ๋ถ€ํ”„๋กœ๊ทธ๋žจ (subprogram)

More information

1

1 WebPACK ISE5.1i Manual Insight Korea Xilinx FAE Team 2003. 3. 10 WebPACK ISE 5.1i( ์ดํ•˜ WebPACK ) ์€ Xilinx FPGA ๋‚˜ CPLD ๋ฅผ์‰ฝ๊ฒŒ๋””์ž์ธํ• ์ˆ˜์žˆ๊ฒŒ ํ•˜๋Š” Free Design Software ๋กœ์„œ Design Entry, Synthesis, ๊ทธ๋ฆฌ๊ณ  Verification, Simulation

More information

. ๊ณ ์„ฑ๋Šฅ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ LU ์™€๋ ˆ์ง€์Šคํ„ฐ ํŒŒ์ผ์˜๊ตฌ์กฐ (2.). ์ง์ ‘๋””์ง€ํ„ธ์ฃผํŒŒ์ˆ˜ํ•ฉ์„ฑ๊ธฐ (FS) ์˜๊ตฌ์กฐ 3. ๊ณ ์„ฑ๋Šฅ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ๋ถ€๋™์†Œ์ˆ˜์ ์—ฐ์‚ฐ๊ธฐ (Floating-Point Unit) ๊ตฌ์กฐ (2) (2.) (2.) 2. ์•”ํ˜ธํ™”๋ฅผ์œ„ํ•œ VLSI ๊ตฌ์กฐ์™€์„ค๊ณ„์˜๊ฐœ์š” (2.) ๋‹ค์Œ์ฐธ

. ๊ณ ์„ฑ๋Šฅ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ LU ์™€๋ ˆ์ง€์Šคํ„ฐ ํŒŒ์ผ์˜๊ตฌ์กฐ (2.). ์ง์ ‘๋””์ง€ํ„ธ์ฃผํŒŒ์ˆ˜ํ•ฉ์„ฑ๊ธฐ (FS) ์˜๊ตฌ์กฐ 3. ๊ณ ์„ฑ๋Šฅ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ๋ถ€๋™์†Œ์ˆ˜์ ์—ฐ์‚ฐ๊ธฐ (Floating-Point Unit) ๊ตฌ์กฐ (2) (2.) (2.) 2. ์•”ํ˜ธํ™”๋ฅผ์œ„ํ•œ VLSI ๊ตฌ์กฐ์™€์„ค๊ณ„์˜๊ฐœ์š” (2.) ๋‹ค์Œ์ฐธ ์ด๋น„๋””์˜ค๊ต์žฌ๋Š”์ •๋ณดํ†ต์‹ ๋ถ€์˜ 999๋…„๋„์ •๋ณดํ†ต์‹ ํ•™์ˆ ์ง„ํฅ์ง€์›์‚ฌ์—…์—์˜ํ•˜์—ฌ์ง€์›๋˜์–ด์—ฐ์„ธ๋Œ€ํ•™๊ต์ „๊ธฐ์ „์ž๊ณตํ•™๊ณผ์ด์šฉ์„๊ต์ˆ˜์—ฐ๊ตฌ์‹ค์—์„œ์ œ์ž‘๋˜์—ˆ์Šต๋‹ˆ๋‹ค ๊ณ ์„ฑ๋Šฅ๋งˆ์ดํฌ๋กœํ”„๋กœ์„ธ์„œ LU ( rithmetic Logic Unit) ์™€ Register File์˜๊ตฌ์กฐ 2. ์—ฐ์„ธ๋Œ€ํ•™๊ต์ „๊ธฐ์ „์ž๊ณตํ•™๊ณผ์ด์šฉ์„๊ต์ˆ˜ Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

ๆญฏ02-BooleanFunction.PDF

ๆญฏ02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials์—์„œ ๊ธฐ๋ณธ์ ์ธ Document๋ฅผ ๊ด€๋ฆฌํ•˜๊ณ ์ž ํ•  ๋•Œ ํ•„์š”ํ•œ ์„ธํŒ…, ํŒŒ์ผ ์—…๋กœ๋“œ ๋ฐฉ๋ฒ• ๊ทธ๋ฆฌ๊ณ  Path Type์ธ Ph

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials์—์„œ ๊ธฐ๋ณธ์ ์ธ Document๋ฅผ ๊ด€๋ฆฌํ•˜๊ณ ์ž ํ•  ๋•Œ ํ•„์š”ํ•œ ์„ธํŒ…, ํŒŒ์ผ ์—…๋กœ๋“œ ๋ฐฉ๋ฒ• ๊ทธ๋ฆฌ๊ณ  Path Type์ธ Ph ์ธํ„ฐ๊ทธ๋ž˜ํ”„์ฝ”๋ฆฌ์•„(์ฃผ)๋‰ด์Šค๋ ˆํ„ฐ ํ†ต๊ถŒ ์ œ76ํšŒ ๋น„๋งคํ’ˆ News Letters Information Systems for the plant Lifecycle Proccess Power & Marine Intergraph 2008 Contents Intergraph 2008 SmartPlant Materials Customer Status ์ธํ„ฐ๊ทธ๋ž˜ํ”„(์ฃผ) ํŒŒํŠธ๋„ˆ์‚ฌ

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] IC-CAD CAD ์‹คํ—˜ Lecture 2 ์žฅ์žฌ์› ์ฃผ๋ฌธํ˜•๋ฐ˜๋„์ฒด (ASIC * ) ์„ค๊ณ„ํ๋ฆ„๋„๊ฐœ์š” Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog ํšŒ๋กœ์„ค๊ณ„์‹œ

More information

(2) : :, ฮฑ. ฮฑ (3)., (3). ฮฑ ฮฑ (4) (4). (3). (1) (2) Antoine. (5) (6) 80, ฮฑ =181.08kPa, =47.38kPa.. Figure 1.

(2) : :, ฮฑ. ฮฑ (3)., (3). ฮฑ ฮฑ (4) (4). (3). (1) (2) Antoine. (5) (6) 80, ฮฑ =181.08kPa, =47.38kPa.. Figure 1. Continuous Distillation Column Design Jungho Cho Department of chemical engineering, Dongyang university 1. ( ).... 2. McCabe-Thiele Method K-value. (1) : :, K-value. (2) : :, ฮฑ. ฮฑ (3)., (3). ฮฑ ฮฑ (4) (4).

More information

Interstage5 SOAP์„œ๋น„์Šค ์„ค์ • ๊ฐ€์ด๋“œ

Interstage5 SOAP์„œ๋น„์Šค ์„ค์ • ๊ฐ€์ด๋“œ Interstage 5 Application Server ( Solaris ) SOAP Service Internet Sample Test SOAP Server Application SOAP Client Application CORBA/SOAP Server Gateway CORBA/SOAP Gateway Client INTERSTAGE SOAP Service

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] Chapter 1. Hspice IC CAD ์‹คํ—˜ Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog ๋ฅผ์ด์šฉํ•œ coding ๋ฐ function ํ™•์ธ Computer ๊ฐ€์•Œ์•„์„œํ•ด์ฃผ๋Š” gate level

More information

์ œ5์žฅ PLD์˜ ์ดํ•ด์™€ ์‹ค์Šต

์ œ5์žฅ PLD์˜ ์ดํ•ด์™€ ์‹ค์Šต ์ œ 5 ์žฅ PLD ์˜์ดํ•ด์™€์‹ค์Šต ์‹คํ—˜์˜๋ชฉํ‘œ - ํ”„๋กœ๊ทธ๋ž˜๋จธ๋ธ”๋…ผ๋ฆฌ์†Œ์ž์ธ PAL ๊ณผ PLA, EPROM, CPLD ๋“ฑ์—๋Œ€ํ•˜์—ฌ์ดํ•ดํ•œ๋‹ค. - MAX PLUS II๋ฅผ์ด์šฉํ•˜์—ฌ CPLD ํ”„๋กœ๊ทธ๋žจํ•˜๋Š”๋ฐฉ๋ฒ•์„๋ฐฐ์šด๋‹ค. - CPLD ๊ตฝ๋Š”๋ฒ•์—๋Œ€ํ•˜์—ฌ์ตํžŒ๋‹ค. - VHDL ๊ฐ„๋‹จํ•œํ‘œํ˜„๊ณผ๋ฌธ๋ฒ•์—๋Œ€ํ•˜์—ฌ์†Œ๊ฐœ๋ฅผํ•œ๋‹ค. ์‹คํ—˜๋„์›€์ž๋ฃŒ 1. PLD(Programmable Logic Device) PLD๋Š”์‚ฌ์šฉ์ž๊ฐ€ํ•„์š”๋กœํ•˜๋Š”๋…ผ๋ฆฌ๊ธฐ๋Šฅ์„์ง์ ‘

More information

PRO1_09E [์ฝ๊ธฐ ์ „์šฉ]

PRO1_09E [์ฝ๊ธฐ ์ „์šฉ] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜ Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

MS-SQL SERVER ๋Œ€๋น„ ๊ธฐ๋Šฅ

MS-SQL SERVER ๋Œ€๋น„ ๊ธฐ๋Šฅ Business! ORACLE MS - SQL ORACLE MS - SQL Clustering A-Z A-F G-L M-R S-Z T-Z Microsoft EE : Works for benchmarks only CREATE VIEW Customers AS SELECT * FROM Server1.TableOwner.Customers_33 UNION ALL SELECT

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc ์ œ 8 ์žฅ Counters ์‹คํ—˜์˜๋ชฉํ‘œ - Catalog counter ์˜๋™์ž‘์›๋ฆฌ์—๋Œ€ํ•˜์—ฌ์ตํžŒ๋‹ค. - ์ž„์˜์˜ counter๋ฅผํ†ตํ•˜์—ฌ FSM ๊ตฌํ˜„๋ฐฉ๋ฒ•์„์ตํžŒ๋‹ค. - 7-segment display ์˜๋™์ž‘์›๋ฆฌ๋ฅผ์ดํ•ดํ•œ๋‹ค. ์‹คํ—˜๋„์›€์ž๋ฃŒ 1. 7-segment display 7-segment๋Š”๋””์ง€ํ„ธํšŒ๋กœ์—์„œ์ˆซ์ž๋ฅผํ‘œ์‹œํ•˜๊ธฐ์œ„ํ•˜์—ฌ๊ฐ€์žฅ๋งŽ์ด์‚ฌ์šฉํ•˜๋Š”์†Œ์ž์ด๋‹ค. ์ด๋ฆ„์—์„œ์•Œ์ˆ˜์žˆ๋“ฏ์ด 7๊ฐœ์˜ LED(

More information

ๆญฏ15-ROMPLD.PDF

ๆญฏ15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

PowerChute Personal Edition v3.1.0 ์—์ด์ „ํŠธ ์‚ฌ์šฉ ์„ค๋ช…์„œ

PowerChute Personal Edition v3.1.0 ์—์ด์ „ํŠธ ์‚ฌ์šฉ ์„ค๋ช…์„œ PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

Microsoft PowerPoint - CH6.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - CH6.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] II. VHDL ์„ค๊ณ„๋ถ€ 4 ์žฅ. VHDL ๊ฐœ์š” 5 ์žฅ. VHDL ์„ค๊ณ„๊ตฌ์„ฑ 7 ์žฅ. VHDL ๋ชจ๋ธ๋ง 8 ์žฅ. VHDL ๊ตฌ๋ฌธ๊ณผ์˜ˆ์ œ - 1 - ์–ดํœ˜์š”์†Œ (Lexical Elements) ์‹๋ณ„์ž, ๋ฆฌํ„ฐ๋Ÿด, ์˜ˆ์•ฝ์–ด, ๊ตฌ๋ถ„์ž๋กœ๊ตฌ๋ถ„๋˜์–ด์ง€๋ฉฐ, ์‹๋ณ„์ž์™€์˜ˆ์•ฝ์–ด์˜๊ฒฝ์šฐ๋Œ€์†Œ๋ฌธ์ž์˜๊ตฌ๋ณ„์ด์—†๋‹ค. ๊ฐ์ฒด (Objects) ๋ฅ˜๊ฐ€์žˆ๋‹ค. ๋ฐ์ดํ„ฐ๋ฅผ์ €์žฅํ•˜๊ธฐ์œ„ํ•œ๊ธฐ์–ต์žฅ์†Œ๋ฅผ๋‚˜ํƒ€๋‚ด๋ฉฐ, ์ƒ์ˆ˜ / ๋ณ€์ˆ˜ ( ํŒŒ์ผ

More information

์Šฌ๋ผ์ด๋“œ 1

์Šฌ๋ผ์ด๋“œ 1 Pairwise Tool & Pairwise Test NuSRS 200511305 ๊น€์„ฑ๊ทœ 200511306 ๊น€์„ฑํ›ˆ 200614164 ๊น€ํšจ์„ 200611124 ์œ ์„ฑ๋ฐฐ 200518036 ๊ณก์ง„ํ™” 2 PICT Pairwise Tool - PICT Microsoft ์˜ Command-line ๊ธฐ๋ฐ˜์˜ Free Software www.pairwise.org ์—์„œ๋‹ค์šด๋กœ๋“œํ›„์„ค์น˜

More information

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O Orange for ORACLE V4.0 Installation Guide ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE...1 1....2 1.1...2 1.2...2 1.2.1...2 1.2.2 (Online Upgrade)...11 1.3 ORANGE CONFIGURATION ADMIN...12 1.3.1 Orange Configuration

More information

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf("hihi\n"); } warning: conflicting types for functiona

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf(hihi\n); } warning: conflicting types for functiona ์ด๋ฆ„ : ํ•™๋ฒˆ : A. True or False: ๊ฐ๊ฐํ•ญ๋ชฉ๋งˆ๋‹ค True ์ธ์ง€ False ์ธ์ง€์ ์œผ์„ธ์š”. 1. (Python:) randint ํ•จ์ˆ˜๋ฅผ์‚ฌ์šฉํ•˜๋ ค๋ฉด, random ๋ชจ๋“ˆ์„ import ํ•ด์•ผํ•œ๋‹ค. 2. (Python:) '' (single quote) ๋Š”ํ•œ๊ธ€์ž๋ฅผํ‘œํ˜„ํ• ๋•Œ, (double quote) ๋Š”๋ฌธ์ž์—ด์„ํ‘œํ˜„ํ• ๋•Œ์‚ฌ์šฉํ•œ๋‹ค. B. ๋‹ค์Œ์—๋Ÿฌ๋ฅผ์ˆ˜์ •ํ•˜๋Š”๋ฐฉ๋ฒ•์„์ ์œผ์„ธ์š”.

More information

Microsoft Word - SRA-Series Manual.doc

Microsoft Word - SRA-Series Manual.doc ์‚ฌ ์šฉ ์„ค ๋ช… ์„œ SRA Series Professional Power Amplifier MODEL No : SRA-500, SRA-900, SRA-1300 ์ฐจ ๋ก€ ์ฐจ ๋ก€ ---------------------------------------------------------------------- 2 ์•ˆ์ „์ง€์นจ / ์ฃผ์˜์‚ฌํ•ญ -----------------------------------------------------------

More information

untitled

untitled Step Motor Device Driver Embedded System Lab. II Step Motor Step Motor Step Motor source Embedded System Lab. II 2 open loop, : : Pulse, 1 Pulse,, -, 1 +5%, step Step Motor (2),, Embedded System Lab. II

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL ํ”„๋กœ๊ทธ๋ž˜๋ฐ D. ๋…ผ๋ฆฌํ•ฉ์„ฑ๋ฐ Xilinx ISE ํˆด์‚ฌ์šฉ๋ฒ• ํ•™์Šต๋ชฉํ‘œ Xilinx ISE Tool ์„์ด์šฉํ•˜์—ฌ Xilinx ์‚ฌ์—์„œ์ง€์›ํ•˜๋Š”ํ•ด๋‹น FPGA Board ์—๋งž๋Š”๋…ผ๋ฆฌํ•ฉ์„ฑ๊ณผ์ •์„์ˆ™์ง€ ๋…ผ๋ฆฌํ•ฉ์„ฑ์ด๊ฐ€๋Šฅํ•œ์ฝ”๋“œ์™€๊ทธ๋ ‡์ง€์•Š์€์ฝ”๋“œ๋ฅผ๊ตฌ๋ถ„ Xilinx Block Memory Generator๋ฅผ์ด์šฉํ•œ RAM/ ROM ์ƒ์„ฑํ•˜๋Š”๊ณผ์ •์„์ˆ™์ง€ 2/31 Content Xilinx ISE

More information

Oracle Apps Day_SEM

Oracle Apps Day_SEM Senior Consultant Application Sales Consulting Oracle Korea - 1. S = (P + R) x E S= P= R= E= Source : Strategy Execution, By Daniel M. Beall 2001 1. Strategy Formulation Sound Flawed Missed Opportunity

More information

1

1 1 1....6 1.1...6 2. Java Architecture...7 2.1 2SDK(Software Development Kit)...8 2.2 JRE(Java Runtime Environment)...9 2.3 (Java Virtual Machine, JVM)...10 2.4 JVM...11 2.5 (runtime)jvm...12 2.5.1 2.5.2

More information

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a [2010 ๋…„๋””์ง€ํ„ธ์‹œ์Šคํ…œ์„ค๊ณ„๋ฐ์‹คํ—˜์ค‘๊ฐ„๊ณ ์‚ฌ 1 ๋‹ต์•ˆ์ง€ ] ์ถœ์ œ : ์ฑ„์ˆ˜์ต Verilog ๋ฌธ๋ฒ•์ฑ„์ ๊ธฐ์ค€ ( ๋”ฐ๋กœ๋ฌธ์ œ์˜์ฑ„์ ๊ธฐ์ค€์—๋ช…์‹œ๋˜์–ด์žˆ์ง€์•Š์•„๋„์ ์šฉ๋˜์–ด์žˆ์Œ ) (a) output์ด always ๋ฌธ์—์„œ์‚ฌ์šฉ๋œ๊ฒฝ์šฐ, reg๋กœ์„ ์–ธํ•˜์ง€์•Š์€๊ฒฝ์šฐ (-1 pts) (b) reg, wire๋ฅผํ˜ผ๋™ํ•˜์—ฌ์‚ฌ์šฉํ•œ๊ฒฝ์šฐ (-1 pts) (c) always @( ) ์—์„œ๋ชจ๋“  input์„ sensitivity

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

USER GUIDE

USER GUIDE Solution Package Volume II DATABASE MIGRATION 2010. 1. 9. U.Tu System 1 U.Tu System SeeMAGMA SYSTEM ์ฐจ ๋ก€ 1. INPUT & OUTPUT DATABASE LAYOUT...2 2. IPO ์ค‘ VB DATA DEFINE ์ž๋™์ž‘์„ฑ...4 3. DATABASE UNLOAD...6 4.

More information

SchoolNetํŠœํ† ๋ฆฌ์–ผ.PDF

SchoolNetํŠœํ† ๋ฆฌ์–ผ.PDF Interoperability :,, Reusability: : Manageability : Accessibility :, LMS Durability : (Specifications), AICC (Aviation Industry CBT Committee) : 1988, /, LMS IMS : 1997EduCom NLII,,,,, ARIADNE (Alliance

More information

แ„€แ…ตแ†ทแ„€แ…ตแ„‚แ…กแ†ท_ATDC2016_160620_[แ„แ…ตแ„‚แ…ฉแ„แ…ณ].key

แ„€แ…ตแ†ทแ„€แ…ตแ„‚แ…กแ†ท_ATDC2016_160620_[แ„แ…ตแ„‚แ…ฉแ„แ…ณ].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp ์ œ1 ๊ณผ๋ชฉ : ๋””์ง€ํ„ธ ์ „์žํšŒ๋กœ 1. ๋‹ค์Œ ํšŒ๋กœ์˜ ์ถœ๋ ฅ์ „๋ฅ˜ Ic ์˜ ์•ˆ์ •์— ๋Œ€ํ•œ ์„ค๋ช… ์ค‘ ์˜ณ์ง€ ์•Š์€ ๊ฒƒ Ie๋ฅผ ํฌ๊ฒŒ ํ•ด์น˜์ง€ ์•Š๋Š” ๋ฒ”์œ„ ๋‚ด์—์„œ Re ๊ฐ€ ํฌ๋ฉด ํด์ˆ˜๋ก ์ข‹ ์ถœ๋ ฅํŒŒํ˜•์ด ํฌ๊ฒŒ ์ผ๊ทธ๋Ÿฌ์ง€์ง€ ์•Š๋Š” ๋ฒ”์œ„ ๋‚ด์—์„œ ฮฒ ๊ฐ€ ํฌ๋ฉด ํด์ˆ˜๋ก ์ข‹ ๊ฒŒ๋ฅด๋งˆ๋Š„ ํŠธ๋žœ์ง€์Šคํ„ฐ์—์„œ Ico๊ฐ€ Ic ์˜ ์•ˆ์ •์— ๊ฐ€์žฅ ํฐ ์˜ํ–ฅ์„ ์ค€ Rc๋Š” Ic ์˜ ์•ˆ์ •์— ํฐ ์˜ํ–ฅ์„ ์ค€ 6. ๋น„๋™๊ธฐ์‹ ๋ชจ๋“œ (mode)-13

More information

untitled

untitled - -, (insert) (delete) - - (insert) (delete) (top ) - - (insert) (rear) (delete) (front) A A B top A B C top push(a) push(b) push(c) A B top pop() top A B D push(d) top #define MAX_STACK_SIZE 100 int

More information

Microsoft PowerPoint - polling.pptx

Microsoft PowerPoint - polling.pptx ์ง€ํ˜„์„ (binish@home.cnu.ac.kr) http://binish.or.kr Index ์ด์Šˆํ™”๋œํ‚ค๋ณด๋“œํ•ดํ‚น ์ตœ๊ทผํ‚ค๋ณด๋“œํ•ดํ‚น์ด์Šˆ์˜๋ฐฐ๊ฒฝ์ง€์‹ Interrupt VS polling What is polling? Polling pseudo code Polling ์„์ด์šฉํ•œํ‚ค๋กœ๊ฑฐ๋ถ„์„ ๋ฐฉ์–ด๊ธฐ๋ฒ•์—ฐ๊ตฌ ์ด์Šˆํ™”๋œํ‚ค๋ณด๋“œํ•ดํ‚น ํ‚ค๋ณด๋“œํ•ดํ‚น์€์—ฐ์ผ์ƒํ•œ๊ฐ€! ์ฃผ์‹, ํŽ€๋“œํˆฌ์ž์˜์‹œ๊ธฐ?! ์ตœ๊ทผํ‚ค๋ณด๋“œํ•ดํ‚น์ด์Šˆ์˜๋ฐฐ๊ฒฝ์ง€์‹

More information

Microsoft PowerPoint - VHDL10_full.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - VHDL10_full.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] VHL ํ”„๋กœ๊ทธ๋ž˜๋ฐ 10. ๋…ผ๋ฆฌํ•ฉ์„ฑ๋ฐ์„ค๊ณ„๊ธฐ๋ฒ• ํ•œ๋™์ผ ํ•™์Šต๋ชฉํ‘œ VHL ์„์ด์šฉํ•œ์‹œ์Šคํ…œ๊ตฌํ˜„๊ณผ์ •์„์ดํ•ดํ•œ๋‹ค. ๋…ผ๋ฆฌํ•ฉ์„ฑ์ด๊ฐ€๋Šฅํ•œ RTL ์ฝ”๋“œ์˜๊ฐœ๋…์„์ดํ•ดํ•œ๋‹ค. ASIC ์ œ์ž‘๊ณผ์ •์„์ดํ•ดํ•œ๋‹ค. FPGA ์ œ์ž‘๊ณผ์ •์„์ดํ•ดํ•œ๋‹ค. RTL ์‹œ๋ฎฌ๋ ˆ์ด์…˜๊ณผ์ •์„์ดํ•ดํ•œ๋‹ค. ๋…ผ๋ฆฌํ•ฉ์„ฑ์ด๋˜๋Š”๊ตฌ๋ฌธ๊ณผ๋˜์ง€์•Š๋Š”๊ตฌ๋ฌธ์„ํŒŒ์•…ํ•œ๋‹ค. ์ข‹์€ VHL ์ฝ”๋”ฉ์Šคํƒ€์ผ์„๋”ฐ๋ฅธ๋‹ค. ์ค€์•ˆ์ •์ƒํƒœ์˜๊ฐœ๋…์„์ดํ•ดํ•œ๋‹ค. ๋น„๋™๊ธฐ์‹ ํ˜ธ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ๊ตฌํ˜„ํ• ์ˆ˜์žˆ๋‹ค.

More information

Modern Javascript

Modern Javascript ES6 - Arrow Function Class Template String Destructuring Default, Rest, Spread let, const for..of Promises Module System Map, Set * Generator * Symbol * * https://babeljs.io/ Babel is a JavaScript compiler.

More information

Microsoft PowerPoint - PL_03-04.pptx

Microsoft PowerPoint - PL_03-04.pptx Copyright, 2011 H. Y. Kwak, Jeju National University. Kwak, Ho-Young http://cybertec.cheju.ac.kr Contents 1 ํ”„๋กœ๊ทธ๋ž˜๋ฐ ์–ธ์–ด ์†Œ๊ฐœ 2 ์–ธ์–ด์˜ ๋ณ€์ฒœ 3 ํ”„๋กœ๊ทธ๋ž˜๋ฐ ์–ธ์–ด ์„ค๊ณ„ 4 ํ”„๋กœ๊ทธ๋ž˜๋ฐ ์–ธ์–ด์˜ ๊ตฌ๋ฌธ๊ณผ ๊ตฌํ˜„ ๊ธฐ๋ฒ• 5 6 7 ์ปดํŒŒ์ผ๋Ÿฌ ๊ฐœ์š” ๋ณ€์ˆ˜, ๋ฐ”์ธ๋”ฉ, ์‹ ๋ฐ ์ œ์–ด๋ฌธ ์ž๋ฃŒํ˜• 8

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

UML

UML Introduction to UML Team. 5 2014/03/14 ์›์Šคํƒ€ 200611494 ๊น€์„ฑ์› 200810047 ํ—ˆํƒœ๊ฒฝ 200811466 - Index - 1. UML์ด๋ž€? - 3 2. UML Diagram - 4 3. UML ํ‘œ๊ธฐ๋ฒ• - 17 4. GRAPPLE์— ๋”ฐ๋ฅธ UML ์ž‘์„ฑ ๊ณผ์ • - 21 5. UML Tool Star UML - 32 6. ์ฐธ์กฐ๋ฌธํ—Œ

More information

๋ชฉ์ฐจ BUG ๋ฌธ๋ฒ•์—๋งž์ง€์•Š๋Š”์งˆ์˜๋ฌธ์ˆ˜ํ–‰์‹œ, ์—๋Ÿฌ๋ฉ”์‹œ์ง€์—์งˆ์˜๋ฌธ์˜์ผ๋ถ€๋งŒ๋ณด์—ฌ์ฃผ๋Š”๋ฌธ์ œ๋ฅผ์ˆ˜์ •ํ•ฉ๋‹ˆ๋‹ค... 3 BUG ROUND, TRUNC ํ•จ์ˆ˜์—์„œ DATE ํฌ๋งท IW ๋ฅผ์ถ”๊ฐ€์ง€์›ํ•ฉ๋‹ˆ๋‹ค... 5 BUG ROLLUP/CUBE ์ ˆ์„ํฌํ•จํ•˜๋Š”์งˆ์˜๋Š” SUBQUE

๋ชฉ์ฐจ BUG ๋ฌธ๋ฒ•์—๋งž์ง€์•Š๋Š”์งˆ์˜๋ฌธ์ˆ˜ํ–‰์‹œ, ์—๋Ÿฌ๋ฉ”์‹œ์ง€์—์งˆ์˜๋ฌธ์˜์ผ๋ถ€๋งŒ๋ณด์—ฌ์ฃผ๋Š”๋ฌธ์ œ๋ฅผ์ˆ˜์ •ํ•ฉ๋‹ˆ๋‹ค... 3 BUG ROUND, TRUNC ํ•จ์ˆ˜์—์„œ DATE ํฌ๋งท IW ๋ฅผ์ถ”๊ฐ€์ง€์›ํ•ฉ๋‹ˆ๋‹ค... 5 BUG ROLLUP/CUBE ์ ˆ์„ํฌํ•จํ•˜๋Š”์งˆ์˜๋Š” SUBQUE ALTIBASE HDB 6.3.1.10.1 Patch Notes ๋ชฉ์ฐจ BUG-45710 ๋ฌธ๋ฒ•์—๋งž์ง€์•Š๋Š”์งˆ์˜๋ฌธ์ˆ˜ํ–‰์‹œ, ์—๋Ÿฌ๋ฉ”์‹œ์ง€์—์งˆ์˜๋ฌธ์˜์ผ๋ถ€๋งŒ๋ณด์—ฌ์ฃผ๋Š”๋ฌธ์ œ๋ฅผ์ˆ˜์ •ํ•ฉ๋‹ˆ๋‹ค... 3 BUG-45730 ROUND, TRUNC ํ•จ์ˆ˜์—์„œ DATE ํฌ๋งท IW ๋ฅผ์ถ”๊ฐ€์ง€์›ํ•ฉ๋‹ˆ๋‹ค... 5 BUG-45760 ROLLUP/CUBE ์ ˆ์„ํฌํ•จํ•˜๋Š”์งˆ์˜๋Š” SUBQUERY REMOVAL ๋ณ€ํ™˜์„์ˆ˜ํ–‰ํ•˜์ง€์•Š๋„๋ก์ˆ˜์ •ํ•ฉ๋‹ˆ๋‹ค....

More information

์ˆ˜์—†๊ธฐ๋•Œ๋ฌธ์—๊ฐ„๋‹จํ•œ๋ถ€๋ถ„์œผ๋กœ๋‚˜๋ˆ ์„œ๊ตฌํ˜„ํ•˜๊ณ , ์ด๋ฅผ๋‹ค์‹œํ•ฉ์นจ์œผ๋กœ์จ์ „์ฒด๋ฅผ๊ตฌํ˜„ํ•˜๊ฒŒ ๋œ๋‹ค. ์‹คํ—˜์—์„œ๋Š”์ด๋ฏธ๊ตฌํ˜„๋œ 4-Bit ALU์ธ 74LS181 Chip์„์‚ฌ์šฉํ•œ๋‹ค. ์ด Chip์€ 4-bit์˜ Data input A, B์™€ Selection input 4 bit, Carry In 1

์ˆ˜์—†๊ธฐ๋•Œ๋ฌธ์—๊ฐ„๋‹จํ•œ๋ถ€๋ถ„์œผ๋กœ๋‚˜๋ˆ ์„œ๊ตฌํ˜„ํ•˜๊ณ , ์ด๋ฅผ๋‹ค์‹œํ•ฉ์นจ์œผ๋กœ์จ์ „์ฒด๋ฅผ๊ตฌํ˜„ํ•˜๊ฒŒ ๋œ๋‹ค. ์‹คํ—˜์—์„œ๋Š”์ด๋ฏธ๊ตฌํ˜„๋œ 4-Bit ALU์ธ 74LS181 Chip์„์‚ฌ์šฉํ•œ๋‹ค. ์ด Chip์€ 4-bit์˜ Data input A, B์™€ Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract ๋ณธ์‹คํ—˜์—์„œ๋Š”ํ˜„๋Œ€ CPU์˜๊ฐ€์žฅ๊ทผ๊ฐ„์ด๋˜๋Š” Unit์ธ์‚ฐ์ˆ ๋ฐ๋…ผ๋ฆฌ์—ฐ์‚ฐ๊ธฐ (Arithmetic Logic Unit, ALU) ์™€์ˆœ์ฐจํšŒ๋กœ (Sequential Circuit) ์„์ด๋ฃจ๋Š”๋Œ€ํ‘œ์ ์ธ๊ธฐ์–ต์†Œ์ž์ธํ”Œ๋ฆฝํ”Œ๋กญ (Flip-flop) ์˜๊ธฐ๋Šฅ์„์ตํžˆ๋ฉฐ, ๊ฐ„๋‹จํ•œ์—ฐ์‚ฐํšŒ๋กœ์™€์ˆœ์ฐจํšŒ๋กœ๋ฅผ๊ตฌํ˜„ํ•ด๋ณธ๋‹ค.

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

DIY แ„Žแ…ขแ†บแ„‡แ…ฉแ†บ - LangCon

DIY แ„Žแ…ขแ†บแ„‡แ…ฉแ†บ - LangCon without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ]

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [ํ˜ธํ™˜ ๋ชจ๋“œ] IC-CAD CAD ์‹คํ—˜ Lecture 3 ์žฅ์žฌ์› ์ฃผ๋ฌธํ˜•๋ฐ˜๋„์ฒด (ASIC * ) ์„ค๊ณ„ํ๋ฆ„๋„๊ฐœ์š” Lecture 2 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog ํšŒ๋กœ์„ค๊ณ„์‹œ

More information

ๆญฏ๊ธฐ๊ตฌํ•™

ๆญฏ๊ธฐ๊ตฌํ•™ 1 1.1,,.,. (solid mechanics)., (kinematics), (statics), (kinetics). ( d y n a m i c s ).,,. ( m e c h a n i s m ). ( l i n k a g e ) ( 1.1 ), (pin joint) (revolute joint) (prismatic joint) ( 1.2 ) (open

More information

ํ”„๋กœ๊ทธ๋žจ์„ ํ•™๊ต ๋“ฑ์ง€์—์„œ ์กฐ๊ธˆ์ด๋ผ๋„ ๋ฐฐ์šด ์‚ฌ๋žŒ๋“ค์„ ์œ„ํ•œ ํ”„๋กœ๊ทธ๋ž˜๋ฐ ๋…ธํŠธ ์ž…๋‹ˆ๋‹ค. ์ € ์—ญ์‹œ ๊ทธ ์‚ฌ๋žŒ๋“ค ์ค‘ ํ•˜๋‚˜ ์ž…๋‹ˆ๋‹ค. ์ค‘๊ณ ๋“ฑํ•™๊ต ์‹œ์ ˆ ํ•™๊ต ๋„์„œ๊ด€, ์ƒˆ๋กœ ์ƒ๊ธด ์‹œ๋ฆฝ ๋„์„œ๊ด€ ๋“ฑ์„ ๋‹ค๋‹ˆ๋ฉฐ ์ฑ…์„ ๋ณด ๊ณ  ์ •๋ฆฌํ•˜๋ฉฐ ์–ด๋Š์ •๋„ ๋…ํ•™์œผ๋ฅด ๊ณต๋ถ€ํ•˜๊ธด ํ–ˆ์ง€๋งŒ, ์ž์ฃผ ์•ˆํ•˜๋‹ค ๋ณด๋ฉด ๊ธˆ๋ฐฉ ์žŠ์–ด

ํ”„๋กœ๊ทธ๋žจ์„ ํ•™๊ต ๋“ฑ์ง€์—์„œ ์กฐ๊ธˆ์ด๋ผ๋„ ๋ฐฐ์šด ์‚ฌ๋žŒ๋“ค์„ ์œ„ํ•œ ํ”„๋กœ๊ทธ๋ž˜๋ฐ ๋…ธํŠธ ์ž…๋‹ˆ๋‹ค. ์ € ์—ญ์‹œ ๊ทธ ์‚ฌ๋žŒ๋“ค ์ค‘ ํ•˜๋‚˜ ์ž…๋‹ˆ๋‹ค. ์ค‘๊ณ ๋“ฑํ•™๊ต ์‹œ์ ˆ ํ•™๊ต ๋„์„œ๊ด€, ์ƒˆ๋กœ ์ƒ๊ธด ์‹œ๋ฆฝ ๋„์„œ๊ด€ ๋“ฑ์„ ๋‹ค๋‹ˆ๋ฉฐ ์ฑ…์„ ๋ณด ๊ณ  ์ •๋ฆฌํ•˜๋ฉฐ ์–ด๋Š์ •๋„ ๋…ํ•™์œผ๋ฅด ๊ณต๋ถ€ํ•˜๊ธด ํ–ˆ์ง€๋งŒ, ์ž์ฃผ ์•ˆํ•˜๋‹ค ๋ณด๋ฉด ๊ธˆ๋ฐฉ ์žŠ์–ด ๊ฐœ๋‚˜๋ฆฌ ์—ฐ๊ตฌ์†Œ C ์–ธ์–ด ๋…ธํŠธ (tyback.egloos.com) ํ”„๋กœ๊ทธ๋žจ์„ ํ•™๊ต ๋“ฑ์ง€์—์„œ ์กฐ๊ธˆ์ด๋ผ๋„ ๋ฐฐ์šด ์‚ฌ๋žŒ๋“ค์„ ์œ„ํ•œ ํ”„๋กœ๊ทธ๋ž˜๋ฐ ๋…ธํŠธ ์ž…๋‹ˆ๋‹ค. ์ € ์—ญ์‹œ ๊ทธ ์‚ฌ๋žŒ๋“ค ์ค‘ ํ•˜๋‚˜ ์ž…๋‹ˆ๋‹ค. ์ค‘๊ณ ๋“ฑํ•™๊ต ์‹œ์ ˆ ํ•™๊ต ๋„์„œ๊ด€, ์ƒˆ๋กœ ์ƒ๊ธด ์‹œ๋ฆฝ ๋„์„œ๊ด€ ๋“ฑ์„ ๋‹ค๋‹ˆ๋ฉฐ ์ฑ…์„ ๋ณด ๊ณ  ์ •๋ฆฌํ•˜๋ฉฐ ์–ด๋Š์ •๋„ ๋…ํ•™์œผ๋ฅด ๊ณต๋ถ€ํ•˜๊ธด ํ–ˆ์ง€๋งŒ, ์ž์ฃผ ์•ˆํ•˜๋‹ค ๋ณด๋ฉด ๊ธˆ๋ฐฉ ์žŠ์–ด๋จน๊ณ  ํ•˜๋”๋ผ๊ตฌ์š”. ๊ทธ๋ž˜์„œ,

More information

Boundary Scan Design(JTAG) JTAG ์˜ํŠน์ง• Boundary Scan์€๊ธฐ๊ธฐ์˜ input๊ณผ Output ํ•€๋“ค์—๋Œ€ํ•ด๊ฐ€๋Šฅํ•˜๊ฒŒํ•ด์ฃผ๋Š”๊ธฐ๋ณธ DFT(Design for Test) ๊ตฌ์กฐ์ด๋‹ค. ๊ทธ๋ฆผ1์—์„œ๋Š” IEEE Std ์—์ƒ์‘ํ•˜๋Š”๊ธฐ๋ณธ Boundary S

Boundary Scan Design(JTAG) JTAG ์˜ํŠน์ง• Boundary Scan์€๊ธฐ๊ธฐ์˜ input๊ณผ Output ํ•€๋“ค์—๋Œ€ํ•ด๊ฐ€๋Šฅํ•˜๊ฒŒํ•ด์ฃผ๋Š”๊ธฐ๋ณธ DFT(Design for Test) ๊ตฌ์กฐ์ด๋‹ค. ๊ทธ๋ฆผ1์—์„œ๋Š” IEEE Std ์—์ƒ์‘ํ•˜๋Š”๊ธฐ๋ณธ Boundary S TECHNICAL FEATURE Beginner Corner Boundary Scan Design(JTAG) ๋ฐ˜๋„์ฒด์ œ์กฐ๊ณต์ •์„ํ†ตํ•˜์—ฌ๋ฐ˜๋„์ฒด๊ฐ€์ƒ์„ฑ๋˜๋ฉด๋ถˆ๋Ÿ‰์ œํ’ˆ์„๊ฐ€๋ ค๋‚ด๋Š”ํ…Œ์ŠคํŠธ๊ณผ์ •์ดํ•„์š”ํ•˜๋‹ค. 0.35um ์ดํ•˜์˜๊ณต์ •์œผ๋กœ์ˆ˜์‹ญ ~ ์ˆ˜๋ฐฑ๋งŒ๊ฒŒ์ดํŠธ๊ฐ€์ง‘์ ๋œ๋ฐ˜๋„์ฒด VLSI ์ œํ’ˆ์„ํ…Œ์ŠคํŠธํ•˜๋Š”์ž‘์—…์ด๊ทธ๋ฆฌ๊ฐ„๋‹จํ•œ์ผ์€์•„๋‹ˆ๋‹ค. ๋”ฐ๋ผ์„œ๋ฐ˜๋„์ฒด๋ถ„์•ผ์—์„œํ•ญ์ƒ์ด์Šˆ๊ฐ€๋˜๋Š”๊ฒƒ์ด๋ฐ”๋กœ TEST ํ•ญ๋ชฉ์ธ๋ฐ, ์ด๊ธ€์„ํ†ตํ•˜์—ฌ

More information

PRO1_04E [์ฝ๊ธฐ ์ „์šฉ]

PRO1_04E [์ฝ๊ธฐ ์ „์šฉ] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

๋ชฉ์ฐจ BUG DEQUEUE ์˜ WAIT TIME ์ด 1 ์ดˆ๋ฏธ๋งŒ์ธ๊ฒฝ์šฐ, ์„ค์ •ํ•œ์‹œ๊ฐ„๋งŒํผ๋Œ€๊ธฐํ•˜์ง€์•Š๋Š”๋ฌธ์ œ๊ฐ€์žˆ์Šต๋‹ˆ๋‹ค... 3 BUG [qp-select-pvo] group by ํ‘œํ˜„์‹์—์žˆ๋Š”์ปฌ๋Ÿผ์„์ฐธ์กฐํ•˜๋Š”์ง‘ํ•ฉ์—ฐ์‚ฐ์ด์กด์žฌํ•˜์ง€์•Š์œผ๋ฉด๊ฒฐ๊ด๊ฐ’์˜ค๋ฅ˜๊ฐ€๋ฐœ์ƒํ• ์ˆ˜์žˆ์Šต๋‹ˆ๋‹ค... 4

๋ชฉ์ฐจ BUG DEQUEUE ์˜ WAIT TIME ์ด 1 ์ดˆ๋ฏธ๋งŒ์ธ๊ฒฝ์šฐ, ์„ค์ •ํ•œ์‹œ๊ฐ„๋งŒํผ๋Œ€๊ธฐํ•˜์ง€์•Š๋Š”๋ฌธ์ œ๊ฐ€์žˆ์Šต๋‹ˆ๋‹ค... 3 BUG [qp-select-pvo] group by ํ‘œํ˜„์‹์—์žˆ๋Š”์ปฌ๋Ÿผ์„์ฐธ์กฐํ•˜๋Š”์ง‘ํ•ฉ์—ฐ์‚ฐ์ด์กด์žฌํ•˜์ง€์•Š์œผ๋ฉด๊ฒฐ๊ด๊ฐ’์˜ค๋ฅ˜๊ฐ€๋ฐœ์ƒํ• ์ˆ˜์žˆ์Šต๋‹ˆ๋‹ค... 4 ALTIBASE HDB 6.5.1.5.10 Patch Notes ๋ชฉ์ฐจ BUG-46183 DEQUEUE ์˜ WAIT TIME ์ด 1 ์ดˆ๋ฏธ๋งŒ์ธ๊ฒฝ์šฐ, ์„ค์ •ํ•œ์‹œ๊ฐ„๋งŒํผ๋Œ€๊ธฐํ•˜์ง€์•Š๋Š”๋ฌธ์ œ๊ฐ€์žˆ์Šต๋‹ˆ๋‹ค... 3 BUG-46249 [qp-select-pvo] group by ํ‘œํ˜„์‹์—์žˆ๋Š”์ปฌ๋Ÿผ์„์ฐธ์กฐํ•˜๋Š”์ง‘ํ•ฉ์—ฐ์‚ฐ์ด์กด์žฌํ•˜์ง€์•Š์œผ๋ฉด๊ฒฐ๊ด๊ฐ’์˜ค๋ฅ˜๊ฐ€๋ฐœ์ƒํ• ์ˆ˜์žˆ์Šต๋‹ˆ๋‹ค... 4 BUG-46266 [sm]

More information

ๆญฏIntro_alt_han_s.PDF

ๆญฏIntro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜

PowerPoint ํ”„๋ ˆ์  ํ…Œ์ด์…˜ @ Lesson 4 (Object) (Class) (Instance) (Method) (Constructor) Memory 1 UML 1 @ & 1 (Real World) (Software World) @ &.. () () @ & 2 (Real World) (Software World) OOA/ Modeling Abstraction Instantiation

More information

๊ฐ•์˜10

๊ฐ•์˜10 Computer Programming gdb and awk 12 th Lecture ๊น€ํ˜„์ฒ ์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€์„œ์šธ๋Œ€ํ•™๊ต ์ˆœ์„œ C Compiler and Linker ๋ณด์ถฉ Static vs Shared Libraries ( ๊ณ„์† ) gdb awk Q&A Shared vs Static Libraries ( ๊ณ„์† ) Advantage of Using Libraries Reduced

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 ๋ชฉ ์ฐจ 1. ์ œํ’ˆ๊ตฌ์„ฑ... 3 2. ๊ฐœ์š”... 4 3. ๋„คํŠธ์›Œํฌ ์„ค๋ช…... 5 4. ํ˜ธ์ŠคํŠธ/๋…ธ๋“œ ์„ค๋ช…... 6 ๋„คํŠธ์›Œํฌ ๊ตฌ์„ฑ... 6 5. ๋ชจ๋ฐ”์ผ ํƒœ๊ทธ ์„ค๋ช…... 8 6. ํ”„๋กœํ† ์ฝœ ์„ค๋ช…... 9 ํ”„๋กœํ† ์ฝœ ๋ชฉ๋ก...

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

ๆญฏ์ฒ˜๋ฆฌ.PDF

ๆญฏ์ฒ˜๋ฆฌ.PDF E06 (Exception) 1 (Report) : { $I- } { I/O } Assign(InFile, InputName); Reset(InFile); { $I+ } { I/O } if IOResult 0 then { }; (Exception) 2 2 (Settling State) Post OnValidate BeforePost Post Settling

More information

ๆญฏDCS.PDF

ๆญฏDCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

๋ชฉ์ฐจ ์ œ 1 ์žฅ inexio Touch Driver์†Œ๊ฐœ... 3 1.1 ์†Œ๊ฐœ ๋ฐ ์ฃผ์š” ๊ธฐ๋Šฅ... 3 1.2 ์ œํ’ˆ์‚ฌ์–‘... 4 ์ œ 2 ์žฅ ์„ค์น˜ ๋ฐ ์‹คํ–‰... 5 2.1 ์„ค์น˜ ์‹œ ์ฃผ์˜์‚ฌํ•ญ... 5 2.2 ์„ค์น˜ ๊ถŒ๊ณ  ์‚ฌ์–‘... 5 2.3 ํ”„๋กœ๊ทธ๋žจ ์„ค์น˜... 6 2.4 ํ•˜๋“œ์›จ

๋ชฉ์ฐจ ์ œ 1 ์žฅ inexio Touch Driver์†Œ๊ฐœ... 3 1.1 ์†Œ๊ฐœ ๋ฐ ์ฃผ์š” ๊ธฐ๋Šฅ... 3 1.2 ์ œํ’ˆ์‚ฌ์–‘... 4 ์ œ 2 ์žฅ ์„ค์น˜ ๋ฐ ์‹คํ–‰... 5 2.1 ์„ค์น˜ ์‹œ ์ฃผ์˜์‚ฌํ•ญ... 5 2.2 ์„ค์น˜ ๊ถŒ๊ณ  ์‚ฌ์–‘... 5 2.3 ํ”„๋กœ๊ทธ๋žจ ์„ค์น˜... 6 2.4 ํ•˜๋“œ์›จ ์ตœ์ข… ์ˆ˜์ •์ผ: 2010.01.15 inexio ์ ์™ธ์„  ํ„ฐ์น˜์Šคํฌ๋ฆฐ ์‚ฌ์šฉ ์„ค๋ช…์„œ [Notes] ๋ณธ ๋งค๋‰ด์–ผ์˜ ์ •๋ณด๋Š” ์˜ˆ๊ณ  ์—†์ด ๋ณ€๊ฒฝ๋  ์ˆ˜ ์žˆ์œผ๋ฉฐ ์‚ฌ์šฉ๋œ ์ด๋ฏธ์ง€๊ฐ€ ์‹ค์ œ์™€ ๋‹ค๋ฅผ ์ˆ˜ ์žˆ์Šต๋‹ˆ๋‹ค. 1 ๋ชฉ์ฐจ ์ œ 1 ์žฅ inexio Touch Driver์†Œ๊ฐœ... 3 1.1 ์†Œ๊ฐœ ๋ฐ ์ฃผ์š” ๊ธฐ๋Šฅ... 3 1.2 ์ œํ’ˆ์‚ฌ์–‘... 4 ์ œ 2 ์žฅ ์„ค์น˜ ๋ฐ ์‹คํ–‰... 5 2.1 ์„ค์น˜ ์‹œ

More information

Something that can be seen, touched or otherwise sensed

Something that can be seen, touched or otherwise sensed Something that can be seen, touched or otherwise sensed Things about an object Weight Height Material Things an object does Pen writes Book stores words Water have Fresh water Rivers Oceans have

More information

untitled

untitled Push... 2 Push... 4 Push... 5 Push... 13 Push... 15 1 FORCS Co., LTD A Leader of Enterprise e-business Solution Push (Daemon ), Push Push Observer. Push., Observer. Session. Thread Thread. Observer ID.

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device ์„ค๊ณ„ํŠน์„ฑ 2006. 4. 6. ์ด์žฌํฅํ•œ๋ฐญ๋Œ€ํ•™๊ต์ •๋ณดํ†ต์‹ ์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€ ๋ฐœํ‘œ์ˆœ์„œ 1. PLD์˜๊ฐœ์š”๋ฐ๊ตฌ์กฐ 2. CPLD/FPGA์˜๊ตฌ์กฐ 3. CPLD/FPGA ์„ค๊ณ„๋ฐ๊ฒ€์ฆ๋ฐฉ๋ฒ• 4. Embedded SW์™€ FPGA Design ์งˆ์˜ & ์‘๋‹ต 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

1 Nov-03 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology

1   Nov-03 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology 1 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology wwwcstcom wwwcst-koreacokr 2 1 Create a new project 2 Model the structure 3 Define the Port 4 Define the Frequency

More information

MAX+plusโ…ก๋ฅผ ์ด์šฉํ•œ ์„ค๊ณ„

MAX+plusโ…ก๋ฅผ ์ด์šฉํ•œ ์„ค๊ณ„ Digital System Design with Verilog HDL - Combinational Logic Lab. Gate Circuit AND, OR, NOT ๊ฒŒ์ดํŠธ๋“ค๋กœ์ด๋ฃจ์–ด์ง„๋ฉ€ํ‹ฐํ”Œ๋ ‰์„œ๊ธฐ๋Šฅ์˜๋…ผ๋ฆฌํšŒ๋กœ๊ตฌํ˜„๋ฉ€ํ‹ฐํ”Œ๋ ‰์„œ : ์—ฌ๋Ÿฌ๊ฐœ์˜์ž…๋ ฅ์ค‘ํ•˜๋‚˜๋ฅผ์„ ํƒํ•˜์—ฌ์ถœ๋ ฅํ•˜๋Š”๊ธฐ๋Šฅ๋ชจ๋“ˆ์ž…๋ ฅ s=: ๋‹จ์ž a ์˜๊ฐ’์ด๋‹จ์ž z ๋กœ์ถœ๋ ฅ์ž…๋ ฅ s=: ๋‹จ์ž b ์˜๊ฐ’์ด๋‹จ์ž z ๋กœ์ถœ๋ ฅ File name

More information

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45 3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : 20049 0/45 Define ~ Analyze Define VOB KBI R 250 O 2 2.2% CBR Gas Dome 1290 CTQ KCI VOC Measure Process Data USL Target LSL Mean Sample N StDev (Within) StDev

More information

๋ชฉ์ฐจ 1. ์ œํ’ˆ ์†Œ๊ฐœ... 4 1.1 ํŠน์ง•... 4 1.2 ๊ฐœ์š”... 4 1.3 Function table... 5 2. ๊ธฐ๋Šฅ ์†Œ๊ฐœ... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

๋ชฉ์ฐจ 1. ์ œํ’ˆ ์†Œ๊ฐœ... 4 1.1 ํŠน์ง•... 4 1.2 ๊ฐœ์š”... 4 1.3 Function table... 5 2. ๊ธฐ๋Šฅ ์†Œ๊ฐœ... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 ์œ ์˜ํ…Œํฌ๋‹‰์Šค( ์ฃผ) ์‚ฌ์šฉ์ž ์„ค๋ช…์„œ HDD014/034 IDE & SATA Hard Drive Duplicator ์œ  ์˜ ํ…Œ ํฌ ๋‹‰ ์Šค ( ์ฃผ) (032)670-7880 www.yooyoung-tech.com ๋ชฉ์ฐจ 1. ์ œํ’ˆ ์†Œ๊ฐœ... 4 1.1 ํŠน์ง•... 4 1.2 ๊ฐœ์š”... 4 1.3 Function table... 5 2. ๊ธฐ๋Šฅ ์†Œ๊ฐœ... 6 2.1 Copy...

More information

90

90 89 3 ์ฐจ์›๊ณต๊ฐ„์งˆ์˜๋ฅผ์œ„ํ•œํšจ์œจ์ ์ธ์œ„์ƒํ•™์ ๋ฐ์ดํ„ฐ๋ชจ๋ธ์˜๊ฒ€์ฆ Validation of Efficient Topological Data Model for 3D Spatial Queries Seokho Lee Jiyeong Lee ์š”์•ฝ ํ‚ค์›Œ๋“œ Abstract Keywords 90 91 92 93 94 95 96 -- 3D Brep adjacency_ordering DECLARE

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

Microsoft PowerPoint - etri-asic_design_intro

Microsoft PowerPoint - etri-asic_design_intro ASIC ์„ค๊ณ„์ž…๋ฌธ ํ•œ๊ตญ์ „์žํ†ต์‹ ์—ฐ๊ตฌ์› ์—ฌ์ˆœ์ผ r ์ฐจ ๋ก€ r ASIC ๊ฐœ์š” IC ๋ถ„๋ฅ˜, What is ASIC?, Why ASIC? What is Semiconductor?, ์ง‘์ ๊ธฐ์ˆ ์˜๋ฐœ์ „์„ค๊ณ„ํ‘œํ˜„ ASIC ํ™”๋ฅผ์œ„ํ•œ๊ฒ€ํ† ์‚ฌํ•ญ ์„ค๊ณ„์‚ฌ์–‘์€ํ™•์ •๋˜์—ˆ๋Š”๊ฐ€? ์„ค๊ณ„ํ™˜๊ฒฝ์€๊ฐ–์ถ”์—ˆ๋Š”๊ฐ€? ASIC ์„์ œ์ž‘ํ•˜๊ธฐ์œ„ํ•œ์ œ๋ฐ˜์กฐ๊ฑด์€์ถฉ์กฑ๋˜์—ˆ๋Š”๊ฐ€? ASIC ๊ตฌํ˜„๊ธฐ์ˆ ์†Œ๊ฐœ ์‹ค์Šต 2 r ์ฐธ๊ณ ๋ฌธํ—Œ๋ชฉ๋ก r ์ตœ๋ช…๋ ฌ,

More information

No Slide Title

No Slide Title Copyright, 2001 Multimedia Lab., CH 3. COM object (In-process server) Eun-sung Lee twoss@mmlab.net Multimedia Lab. Dept. of Electrical and Computer Eng. University of Seoul Seoul, Korea 0. Contents 1.

More information

untitled

untitled (shared) (integrated) (stored) (operational) (data) : (DBMS) :, (database) :DBMS File & Database - : - : ( : ) - : - : - :, - DB - - -DBMScatalog meta-data -DBMS -DBMS - -DBMS concurrency control E-R,

More information

10.

10. 10. 10.1 10.2 Library Routine: void perror (char* str) perror( ) str Error 0 10.3 10.3 int fd; /* */ fd = open (filename, ) /*, */ if (fd = = -1) { /* */ } fcnt1 (fd, ); /* */ read (fd, ); /* */ write

More information