Microsoft PowerPoint - etri-asic_design_intro

Size: px
Start display at page:

Download "Microsoft PowerPoint - etri-asic_design_intro"

Transcription

1 ASIC 설계입문 한국전자통신연구원 여순일

2 r 차 례 r ASIC 개요 IC 분류, What is ASIC?, Why ASIC? What is Semiconductor?, 집적기술의발전설계표현 ASIC 화를위한검토사항 설계사양은확정되었는가? 설계환경은갖추었는가? ASIC 을제작하기위한제반조건은충족되었는가? ASIC 구현기술소개 실습 2

3 r 참고문헌목록 r 최명렬, ASIC 주문형반도체의이론과활용, 하이테크정보, 1996 년 공진흥외, VLSI 설계이론과실습, 홍릉과학출판사, 1997 년 7 월 J.Schroeter, Surviving the ASIC Experience, Prentice Hall, 1992 Douglas J Smith, HDL Chip Design, Doone Publications, 1996 D. A. Pucknell et al, Basic VLSI Design, Prentice Hall, 1994 T. Williams., VLSI Testing, North-Holland Publishers, 1986 Watts, R.K., Submicron Integrated Circuits, John Wiley and Sons Inc., New York, 1989 Sze, S. M., Semiconductor Devices: Physics And Technology, Bell Telephone Laboratories, USA., 1985 Douglas J Smith, HDL Chip Design, Doone Publications, USA.,

4 ASIC 개요 r IC 분류 Standard IC Memory Microprocessor DSP TTL ASIC( Custom IC) Full Custom Semi-Custom - SOG(Sea Of Gate) - CBIC(Cell Base IC i.e. Standard Cell) PLD - SPLD: 일반적인 PAL(Programmable Array of Logics) - CPLD: 대형 PAL - FPGA(Field Programmable Gate Array) ASSP SOC(System On a Chip) : IP(Intellectual Property) 가필수재료 4

5 ASIC 개요 r What is ASIC? Application Specific Integrated Circuit System IC Non-Memeory IC Implementation of an Application Specific Algorithm on a Silicon Many Definitions Possible (Software 까지포함하는등의 ) SoC(System on a Chip) 의등장 설계형태에따른분류 - Level0 - Level1 - Level2 - Level3 5

6 ASIC 개요 r Why ASIC? Cost Reduction Area Reduction Protecting IP High Performance High Reliability Low Power Consumption 6

7 ASIC 개요 r What is Semiconductor? Conductor Insulator Semiconductor Energy Gap - Si: 1.1eV, Ge: 0.67eV Electron-Hole Pair PN Junction(Diode) PNP(or NPN) Transistor - Bipolar Transistor - CMOS Transistor - BiCMOS Transistor - Compound Material Transistor. MESFET 7

8 ASIC 개요 r 집적기술의발전 마이크로프로세서와메모리의집적도증가 마이크로프로세서 10 7 메모리 10 9 트랜지스터 i4004 i8080 P5 i486 HP32 i860 i43201 i M i8087 1M i K 64K 16M P6 64M 256M DRAM 비트 / 칩 연도 8

9 ASIC 개요 r 설계표현 Hierachical Representation A B C D E F G H H J I J Top-down 방식 Bottom-up(library-base) 방식 9

10 ASIC 개요 r 설계표현 여러표현형태 #include <stdio.h> main( ) { int input,ouput;... output=!input;... } 입력 출력 V out V inv V in (a) C 프로그램 (b) 진리표 (c) 입출력전달특성 입력 출력 입력 출력 (d) 논리심볼 (e) 트랜지스터회로도 (f) 레이아웃 10

11 ASIC 화를위한검토사항 r 설계사양은확정되었는가? 구현하고자하는시스템의정의는완성이되었는가? 설계하고자하는 ASIC 의속성을파악하였는가? Digital ASIC 인가? Analog ASIC 은아닌가? IP 를사용하여야하는가? Application System 이확실하게있는가? I/O Pin 수를확정하였는가? r ASIC 을제작하기위한제반조건은충족이되었는가? ASIC Foundry 를결정하였는가? ASIC Test 는어디에서할것인지결정하였는가? ASIC 양산시그개수는년간얼마나되는가? ASIC 설계를위한 Tool 은결정하였는가? ASIC Foundry 의 Design Kit 은설치되었는가? Performance 를고려하여적용 Technology 를결정하였는가? 11

12 r ASIC 개발의개괄적흐름 시스템설계 Chip 설계 Chip 공정 Chip 테스트 Proto-Type 전달 실장테스트 Chip 양산 제품판매 12

13 r ASIC Design Flow(Front-End) ASIC 규격 VHDL Coding VHDL Simulation Synthesis Schematic Entry Pre-Simulation Pre-Simulation Test Vector Gen. Test Vector Gen. Fault Simulation Fault Simulation Verified Netlist 13

14 r ASIC Design Flow(Back-End) Verified Netlist P&R Seed File P&R Cap. Net. Extract Post-Simulation Merge Phantom DRC, LVS GDS File 14

15 r ASIC Chip 제작 Mask 준비 ASIC 설계 도면발생 CIF GDS 기계코드 MEBES MASK 제작 설계 Tool CATS DRACULA MASK 제작업체 MASK 구조 가 나 다 가 부분 : 노광장치에장착할때의정렬정보데이터 나 부분 : 웨이퍼제조공정감시및검사부분 다 부분 : 실제설계데이터 15

16 r 웨이퍼공정 웨이퍼공정을구성하는 3 가지기본기술 1. 박막형성기술. 열산화막. CVD(Chemical Vapor Deposition) 막 : Poly-Silicon, 질화막, 산화막, 에피택셜 ( 단결정막 ), PSG(Phosphorus Silica Glass). PVD(Physical Vapor Deposition) 막 : Al, Silicide 막 2. Photo Etch 기술. Lithography 기술 - Photo Resist 도포 - 노광 (Expose) : UV 광조사 - 현상 (Develop) - Photo Resist 제거 : 미노광부남음 (Negative Resist 는반대 ). Etch 기술 - 피가공막에대해식각작업수행 - Photo Resist 제거 3. 불순물주입기술. 고온확산법 : 고온 ( C) 의노 (Furnace) 에불순물을흘려넣음으로써웨이퍼에불순물을주입하게되는방법. 이온주입법 또한세정기술을웨이퍼공정사이사이에시행하여야한다 16

17 MOSFET 공정의흐름 1. Wafer 준비 2. 소자분리영역정의 : 질화막을이용 3. Channel Stop 용이온주입 (NMOSFET 의경우 B 주입 ) 4. LOCOS 형성 ( 질화막을이용한선택적산화 ) 5. 질화막제거 6. 게이트산화막 (SiO2) 성장 7. Threshold Voltage Control 용이온주입 8. 게이트폴리실리콘도포 ( 배선용 Poly-Silicon 도함께 ) 9. 게이트영역정의 10. Source, Drain 영역이온주입 11. Metal 층과의절연을위한산화막도포 12. Contact 공정 13. 1st Metal 정의 14. 1st Metal to 2nd Metal 절연공정 15. 2nd Metal 층과의연결창인 Via 공정 16. 2nd Metal 정의 17. 보호막 (Passivation) 도포 18. Pad 정의 19. Wafer Test Line 으로보냄 20. Inking 및 Sawing 21. Package Line 으로보냄 22. Package Test 시행 Metal 1, 2 층은모두 Al 을주로사용하며 3 층, 4 층으로할수도있다 17

18 r ASIC 설계사양에포함되는내용 ASIC 의사용온도조건 Military Industrial Commercial ASIC 의동작전원조건 ASIC 설계 Tool 관련 ASIC Chip 관련정보설계방식 (Full Custom, CBIC, SOG) 사용라이브러리관련정보 (Macro Cell, Mega-Cell) 공정조건 (Design Rule) Package 관련정보 System 관련정보 System Block Diagram System Description ASIC Block 관련정보 ASIC Block Diagram ASIC Description Timing(Truth Table) 18

19 입출력신호에관한사양 총괄정리 - 입출력신호이름 - Voltage Level - Pull Up/Pull Down 관련사항 - 기능설명 입력신호에대한사양사항 - Input Level - Reference Signal 정보 (Reference Signal 이름이반드시있어야 ) - Setup Time Margin - Hold Time Margin - Package Pin Number - Die Pad Number - Active 조건 (Active Low?, Active High?) - 선택된 Pad Cell Name 출력신호에대한사양사항 - Output Level - Propagation Delay 관련정보 - Sink Current - Source Current - Open Drain/Collector 관련사항 - Tristate 관련사항 - Package Pin Number - Die Pad Number - 선택된 Pad Cell Name 19

20 양방향 (BiDirectional) 신호에대한사양사항 - Control 신호명 (Control Mode(I/O)?) - Input, Output Level - Sink Current - Source Current - Propagation Delay - Package Pin Number - Die Pad Number - Tristate? - Open Drain/Collector? - 선택된 Pad Cell Name Clock 관련사양사항 - Asynchronous Clock 여부 - Clock 의주기 - Clock 의 Duty Cycle - Crystal Type 인지 Oscillator Type 인지확인 - Package Pin Number - Die Pad Number 기타사양관련사항기재 20

21 r Testable Design Testability : 주어진 Test Vector 에의한 Fault Cover 로정의함 Controllability 를확보하여야할회로요소 - Clock Signals - Control Signals(Preset, Clear, Enable, Hold) - Select Signals(Data Select, Data Bus, Address Bus) Observability 를확보하여야할회로요소 - Control Signals - Data Lines of Storage Devices(Flip Flops, Counters, Shift Register, RAM, ROM) - Global Feedback Path - Data Output of Combinational Logic Devices(Encoders, Multiplexers, Parity Generators) DFT(Design For Testability) Ad-Hoc DFT Techniques : 설계자가 Testability 를개선할목적으로자기임의로 Test Circuit 를추가하는것을말한다 1) Test Points : Decoder, Multiplexer, Shift Register 등을추가로삽입하여 Design 을수정하여 Testable Design 이되게한다. - Logic 의 Critical Path 를따라 Test Point 를설정한다. - 문제의소지가있는곳에 Test Point 를삽입한다 - Controllability 를확보하기위하여 Test Point 를삽입한다 - Observability 를확보하기위하여 Test Point 를삽입한다 - Fan-Out 이큰곳에도 Test Point 를삽입할수있다. - Logic 을제어하는위치에 Test Point 를삽입한다. 2)Initialization : Master Reset 을이용한초기화 - Flip Flop 을사용할때반드시 Clear 단이잇는것을사용해야위의 Initialization 이확보된다 21

22 3) Oscillators and Clocks : Tester 가 Clock Circuit 을직접제어할수있게한다. Free Running Internal Clock 은테스트에난점, 이때사용 4) 대규모의조합논리회로의 Partition : 24 Bit 이상의 Counter, 10 Bit 이상의 Divider 등을소규모의여러그룹으로분할처리하여준다. 5) Logical Redundancy 를만들지말라 - Logical Redundancy : Output Value 가모든 Input 조건에무관한값을가지는경우를말하며이러한경우 Fault Cover 가불가능한회로가된것이다 6) Global Feedback Path - Local Feedback Loop : Gate Output 이같은 Gate 의 Input 으로연결되는경우 - Global Feedback Loop : Gate Output 이같은연결 Loop 의다른 Gate 의 Input 으로 Feedback 되는경우 7) Scan Design : Shift Register 를사용하여 Test Data 를입력시키는설계방법인데 이렇게 Test 회로를추가하여 Sequential Logic 을 Combinational Logic 회로로동작하도록하여준다. - Level Sensitive Scan Design(LSSD) - Edge Sensitive Scan Design - Random Access Scan 22

23 r Core Library 제작의뢰 Foundry 에서제공 일반적으로사용하는 Library 가제공됨 예 ) 1. Combinational Logic(AND, OR, NAND, NOR, ) 2. Sequential Logic(Latch, Flip Flop) 3. 기타 Foundry Dependent Cells(Repeater, Level Shifter, ) 특수목적으로사용하는 Library - Mega-Cell 로표현 - Foundry 마다제공하는종류가다르다 - Memory(RAM, ROM) - CPU 관련 Library - Analog Library(Standard Cell 화되어있음 ) - 기타제공가능한 IP(Intellectual Property) Design 은이 Core Library 를이용하여진행한다 원하는 Library 의유, 무를미리파악하여둔다. Technology Independent 유, 무에대해서파악한다 HDL 을이용하는설계를진행할경우는합성 (Synthesis) 에대한주의를기울여야한다 Test 를고려하는설계를항상염두에둔다 23

24 r I/O Library 역시제작의뢰 Foundry 에서제공 일반적으로사용되는 I/O Library(Pad Library) 1) Input Pad Library 2) Output Pad Library 3) Bidirectional Pad Library 4) Tri-State Pad Library 5) Clock 관련 Library : Oscillator, Crystal Pad Library Level Sensing 을위한 Library 1) TTL Level Shifter 2) CMOS Level Shifter Slew Rate 용 Pad Library Pull Up, Pull Down 용 Pad Library Open Drain 용 Pad Library Schmitt Trigger 용 Library Output Current 용량에따른 Library Power Pad Library Ground Pad Library 24

25 r ASIC 설계 Tool(Work Station Version) : Digital 설계용 Schematic Entry Tool 제작을의뢰할 Foundry 의각종 Library 구비할것 Transistor 의특성을 Best Case, Typical Case, Worst Case 모두에대해갖추고있을것 Entry 된 Schematic Check 기능 사용법을숙지하여야함 Simulator Modeling 이실제에가깝게되도록구비 Logic Simulation 을수행함 User Interface 가 User Friendly Tool 로지향 ( 분석의용이성 ) 각종 Interface 의원활함 (Post P&R 등 ) Back End Design Tool Floor Planning Placement & Routing(Automatic) RC Extraction Design Rule Check Layout Verification(LVS) CIF and GDS Generation Tool HDL 지원 Tool HDL Simulator(Behavioral Simulator) HDL Analysis Synthesis 25

26 기타설계보조 Tool Gate Count Critical Path Buffering Delay Calculation Test Support : Test Vector Generation & Confirmation Toggle Check Fault Simulator(ZYCAD) Power Calculation Bonding Tool Hardware Accelerator Mega-Cell Compiler Hardware Emulator(QUICKTURN, IKOS) Algorithm Design FPGA Design Tool ALTERA XILINX ACTEL QUICKLOGIC LATTICE AT&T 26

27 r CMOS 와 TTL 의 I/O 에관하여 CMOS 와 TTL 의 Interface Source IIL Sink IIH Sink Current = IIL(0.4mA) X Fanout Sink Current 와 Source Current 를결정할때 Speed 측면을고려 (Delay 요소고려 ) 통상 Digital ASIC 의경우에는 Sink Current 와 Source Current 가같은것을사용 ( 즉, 2mA Output Pad 라하면 Sink Current 와 Source Current 가모두 2mA 를통상적으로사용한다 ) 27

28 CMOS TTL I/O 의등가회로 Slew Rate Schmitt Trigger 28

29 r Noise Margin VDD VOHmin VIHmin VOLmax VILmax GND CMOS VOHmin = 4.5V VIHmin = 3.5V VOLmax = 0.4V VILmax = 1.5V TTL VOHmin = 2.4V VIHmin = 2.0V VOLmax = 0.4V VILmax = 0.8V CMOS 와 TTL 입력에대한문턱전압특성 CMOS 2.5V TTL(Duty Cycle 변한다 ) 1.4V 29

30 r Ground Bouncing 에대하여 Output Pad 의동시스위칭시 Ground Reference 가흔들리는현상 ( 동시에 Output Pad 가스위칭을하면 IC 의 Bonding Wire 와 Package 의 Lead Frame Inductance 에의한역기전력이발생하여 IC 내부의 Ground Reference 가 Bouncing 하게된다.) 일종의 Noise 가발생한형태가된다. Ground Bouncing 이디지털로직을분간못하게만들정도가되면전체시스템에도 Noise 가전가되는결과를빚게된다. ( 즉, 결과적으로오동작을시키는것이된다 ) TTL Logic 의경우가 CMOS 보다 Ground Bouncing 에더민감하다 (CMOS 는 Logic Threshold 가 VDD/2, 즉 2.5V 인데반하여 TTL 의경우의 Logic Threshold 는 1.4V 이기때문이다 ) Ground Bouncing 을감소시키는설계방법정확한 SSO 를알아내어적절한 Power Pin 수를확보한다. 전달지연에문제가없는경우에는 Slew Rate Output Pad 를사용한다. Fanout 에적절한 Output Pad 를선택하며되도록과다한 Fanout 의사용을억제한다. 입력시간을달리하여동시스위칭수를줄인다. Internal, External 을분리하여 Power Pin 을배정한다. Double Bonding 등으로 Inductance 를감소시켜본다. 30

31 r Ground Bouncing(External) VCC PAD 1 0 PAD Chip GND PCB GND External Power Pin 결정에고려하여야할사항 31

32 r Ground Bouncing(Internal) Input buffer Internal Circuit VDD Chip GND PCB GND Internal Power Pin 결정에고려하여야할사항 32

33 r Power 계산 ( 예제는뒤에 ) Power calculation sheet ASIC 명 ASIC code 1. Series mw/gate P = mw/mhz/gate 2. 평균동작주파수 F = MHz 3. 동시스위칭게이트비율 ( 보통 0.2) S = 4. 사용온도 Ta = 5. Gate 수 G = 6. 출력핀수 B = 7. 출력부하 capacitance C = PF 8. 내부전력소모 Pint = P * F * S * G Pint = mw 9. 외부전력소모 Pext = * F * B *0.2 * C Pext = mw 10. DC 출력소모합 Pdc = mw 11. 전체전력소모합 Ptot = * (Pint + Pext + Pdc) Ptot = W 12. 패키지의 theta JA /W 13. Junction temperature Tj = (Ptot * theta JA) + Ta Tj(best) = Tj(typical) = Tj(worst) = 14. Delay factor (Junction temperature * Vdd * Process) Best case = * * = Typical case = * * = Worst case = * * = 15. SSO에의한 power pin수계산 외부 Vdd pin수 = 외부 Vss pin수 = 내부 Vdd pin수 = 내부 Vss pin수 = 33

34 r Bonding Diagram 34

35 r Pin 배치에있어서의고려사항 SSO 에의한 Power Pin 수를정확하게계산한다. Double Bonding 등을고려한 Power Pad 수도 Power Pin 수와같이고려하여산정한다. 입출력에관계되는 Pin 수를정확하게한다. Test 용 Pin 을구별하여둔다. 출력 Pin 을일정부분에집중적으로배치하지않는다. ( 출력 Pin 에서전력소모가많이생겨서온도가상승하게되고이로인한신뢰성의저하가발생할수있으며이로인해일정부분에서 Delay 의영향이크게나타날수있다.) 위의문제를피하기위해되도록 Power Pin(External Power Pin) 을출력 Pin 사이사이에배치한다. Internal Power Pin 은 Chip 의한면중앙부에배치한다. 35

36 r Setup & Hold Time Data CLK ts th r Clock Skew Data A B CLK A B 36

37 r Clock Skew 의영향을적게하기위한적용예 A B C D CLK A CLK B C D 주의점 : Duty Cycle 에는영향을받음 37

38 r 회로설계시주의점 되도록 Synchronous Design 이되도록한다. Delay Chain, Ring Oscillator 등은사용하지않는다. Gated Clock 의사용을피한다. Glitch 를해소할수있는설계를한다. Combinational Circuit 의 Function Hazard(Glitch 현상 ) 를방지하는설계를한다. PCB 상의회로를그대로 ASIC 화하고자할때는 PCB 상의수동소자들을제거하고검토한다. Tri-State 의사용에있어서는그 Floating 의상태를주의한다. ( 내부회로에는되도록쓰지않는다.) Critical Path 를항상고려하면서설계한다. 적절한입출력패드를선택하여야한다. 3 가지조건을충족하는설계가되도록한다. (3 가지조건 : Worst, Typical, Best Case) 38

39 r Fault Simulation 양산시 Good Chip 의개수를얼마나많이확보할수있는가의관건 설계자가 Fault Coverage 를향상시키면많은수의 Good Chip 을확보할수있으나 Fault Simulation 을하지않으면적지않은 Bad Chip 을전달받을수있음 Fault Simulation 의결과가 Test Vector 에포함이되어양질의 Test 조건을확립할수있다 Stuck-at-0 Type Fault Stuck-at-1 Type Fault Fault Simulation 의진행과정 첫번째수행한 Logic Simulation(Good Circuit 에대한 Simulation 을수행한것으로간주함 ) 의결과에따라 Pin 을특정한로직값으로고정을시켜둔다. Fault Simulator 가회로에 Fault(Faulty Circuit) 를삽입한다. Simulation 을수행하여첫번째의 Logic Simulation 결과와비교한다. 비교결과가다르면 Fault 가검출이된것이고 ( 즉, 첫번째 Logic Simulation 이 Fault Cover 를한것 ), 비교결과가같으면 Fault 가검출이안된것이다. Fault 가검출이안된부분은공정상에서 Fault 가발생했을경우이를 Chip Test 상에서걸러주지못한다. 39

40 r Package 관련 Vendor 가가진특성을파악한다. (Power Pin 결정과 Power Line 의배치는각 Vendor 마다다르다. 한예를들어 ) 정확한 Pin 수를계산한다. ( 위 Vendor 에따라서계산을한다. 통상해당 Vendor Engineer 의도움을받아서진행한다 ) 적당한 Package 를선택한다. Pin 들에대한배치를효율적으로한다. ( 위 Pin 배치에있어서의고려사항 참조 ) 테스트용 Pin 을고려하고그에대한배치에있어서주의를기울여놓는다. Bonding Diagram 을그린다. Bonding Diagram 에대한 Confirmation 을한다. 실장테스트를위해해당 Package 의소켓을확보한다. 40

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket

Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket Vertical Probe Card for Wafer Test Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket Life Time: 500000

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

SW_faq2000번역.PDF

SW_faq2000번역.PDF FREUENTLY ASKED UESTIONS ON SPEED2000 Table of Contents EDA signal integrity tool (vias) (via) /, SI, / SPEED2000 SPEED2000 EDA signal integrity tool, ( (via),, / ), EDA, 1,, / 2 FEM, PEEC, MOM, FDTD EM

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

DIB-100_K(90x120)

DIB-100_K(90x120) Operation Manual 사용설명서 Direct Box * 본 제품을 사용하기 전에 반드시 방송방식 및 전원접압을 확인하여 사용하시기 바랍니다. MADE IN KOREA 2009. 7 124447 사용하시기 전에 사용하시기 전에 본 기기의 성능을 충분히 발휘시키기 위해 본 설명서를 처음부터 끝까지 잘 읽으시고 올바른 사용법으로 오래도록 Inter-M 제품을

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D>

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D> 태양광 모듈 효율 실증 테스트 시스템 National Instrument Korea 전략마케팅 / 팀장 윤 동 원 1 회사 소개 소재: 미국 텍사스 오스틴 설립일: 1976년 지사 및 직원: 40여 개국의 지사, 4,300명의 직원 2007년 매출: $740M R&D 투자: 1) 사업비의 16% R&D 투자 2) 1,400명 이상의 R&D인력 대표 제품: LabVIEW,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

Microsoft Word - SRA-Series Manual.doc

Microsoft Word - SRA-Series Manual.doc 사 용 설 명 서 SRA Series Professional Power Amplifier MODEL No : SRA-500, SRA-900, SRA-1300 차 례 차 례 ---------------------------------------------------------------------- 2 안전지침 / 주의사항 -----------------------------------------------------------

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Altium Designer 16 Intergratech 목차 1. 3D STEP Model Generation in IPC Wizard 2. Embedded Board Array Enhancements 3. Design Rules Enhancements 4. Streamlined Design Rule Editor 5. Differential Pair Routing

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

BC6HP Korean.ai

BC6HP Korean.ai 제품설명서 BC6HP Microprocessor controlled highperformance rapid charger/discharger with integrated balancer, 250watts of charging power USB PC link and Firmware upgrade, Temperature sensor Charge current up

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

2

2 2 3 4 5 6 7 8 9 10 11 60.27(2.37) 490.50(19.31) 256.00 (10.07) 165.00 111.38 (4.38) 9.00 (0.35) 688.00(27.08) 753.00(29.64) 51.94 (2.04) CONSOLE 24CH 32CH 40CH 48CH OVERALL WIDTH mm (inches) 1271.45(50.1)

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

歯Trap관련.PDF

歯Trap관련.PDF Rev 1 Steam Trap Date `000208 Page 1 of 18 1 2 2 Application Definition 2 21 Drip Trap, Tracer Trap, 2 22 Steam Trap 3 3 Steam Trap 7 4 Steam Trap Sizing 8 41 Drip Trap 8 42 Tracer Trap 8 43 Process Trap

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Heinrich Rudolf Hertz (1857 1894) proved the existence of the electromagnetic waves theorized by James Clerk Maxwell's electromagnetic theory of light. Guglielmo Marconi (1874 1937) 1909 Nobel Prize in

More information

untitled

untitled 1 PLC 1.1 PLC 1.1.1 PLC PLC(Programmable Logic Controller),,, LSI,,. (NEMA: National Electrical Manufactrurers Association),,,,. 1.1.2 PLC PLC. FMS(Flexible Manufacturing System) PLC,. 1-1 PLC. - 5 - 1.2

More information

歯기구학

歯기구학 1 1.1,,.,. (solid mechanics)., (kinematics), (statics), (kinetics). ( d y n a m i c s ).,,. ( m e c h a n i s m ). ( l i n k a g e ) ( 1.1 ), (pin joint) (revolute joint) (prismatic joint) ( 1.2 ) (open

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

KAERIAR hwp

KAERIAR hwp - i - - ii - - iii - - iv - - v - - vi - Photograph of miniature SiC p-n and Schottky diode detector Photograph SiC chip mounted on a standard electrical package Photograph of SiC neutron detector with

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

Company Report 2014. 07. 07 N/R 현재주가 (2014/07/04) 9,960원 목표주가 (6M) -원 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 아이원스(114810) 선명해지는 실적 개선 반도체 및 디

Company Report 2014. 07. 07 N/R 현재주가 (2014/07/04) 9,960원 목표주가 (6M) -원 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 아이원스(114810) 선명해지는 실적 개선 반도체 및 디 Sector Report 2014. 07. 07 스몰캡 하반기 주목할 만한 중소형주 4선 선명해지는 실적 개선 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 김태봉 퀀트/스몰캡 (02) 3215-1586 tbkim0901@bsfn.co.kr [아이원스] 반도체 및 디스플레이 정밀가공 부품업체로 투자 포인트는 다음과 같다.

More information

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S TECHNICAL FEATURE Beginner Corner Boundary Scan Design(JTAG) 반도체제조공정을통하여반도체가생성되면불량제품을가려내는테스트과정이필요하다. 0.35um 이하의공정으로수십 ~ 수백만게이트가집적된반도체 VLSI 제품을테스트하는작업이그리간단한일은아니다. 따라서반도체분야에서항상이슈가되는것이바로 TEST 항목인데, 이글을통하여

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

歯CRM개괄_허순영.PDF

歯CRM개괄_허순영.PDF CRM 2000. 8. KAIST CRM CRM CRM CRM :,, KAIST : 50%-60%, 20% 60%-80%. AMR Research 10.. CRM. 5. Harvard Business review 60%, 13%. Michaelson & Associates KAIST CRM? ( ),,, -,,, CRM needs,,, dynamically

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 5 2004. 3. . 5.. Input. Output . 5 2004 7,, 1,000 5,. 40 2004.7 2005.7 2006.7 2007.7 2008.7 2011. 1,000 300 100 50 20 20 ( ) 0.01% 0.08% 0.36% 0.96% 3.07% 100% ( ) 5.3%(10.7%) 12.2%(17.3%) 21.9%(26.4%)

More information

歯경영혁신 단계별 프로그램 사례.ppt

歯경영혁신 단계별 프로그램 사례.ppt BMS Infra BMS Location A B C D D A Location Card + Location SET Card : 1 : : Location Card ( ) ( Over ) Location Card Card Location Card ( ) ( ) Location Card LocationCard RACK1 AGE / 7 ( ) SET Location

More information

Microsoft Word - ASG AT90CAN128 모듈.doc

Microsoft Word - ASG AT90CAN128 모듈.doc ASG AT90128 Project 3 rd Team Author Cho Chang yeon Date 2006-07-31 Contents 1 Introduction... 3 2 Schematic Revision... 4 3 Library... 5 3.1 1: 1 Communication... 5 iprinceps - 2-2006/07/31

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

<4D F736F F F696E74202D F FB5BFBACEC7CFC0CCC5D820B1E8BFA9C8B22E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D F FB5BFBACEC7CFC0CCC5D820B1E8BFA9C8B22E BC8A3C8AF20B8F0B5E55D> Back Metal 면이 Drain 인 Vertical channel MOSFET 의 Wafer Test 에서 Chuck 을사용하지않는 RDSON 측정방법 동부하이텍검사팀김여황 I RDSON II Conventional Method III New Method IV Verification (Rdson) V Normal Test Item VI Conclusion

More information

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jun; 26(6), 546 554. http://dx.doi.org/10.5515/kjkiees.2015.26.6.546 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Proof-of-Concept

More information

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074>

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074> 아날로그및파워 IC 워크샵 저전력아날로그 IC 설계기술 서강대학교전자공학과안길초 Contents 2 1 2 Introduction Low-Power Design Techniques 3 Conclusions 1. Introduction 3 Why Low-Power? (1) 4 Increasing demand for mobile applications Longer

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

Microsoft PowerPoint - 카메라 시스템

Microsoft PowerPoint - 카메라 시스템 카메라 시스템 中 I. CMOS Image Sensor CCD / CIS @ CCD와 CMOS 이미지센서는 광검출 방식에 있어서 모두 p-n 포토다이오드 (photodiode)를 이용한다. 그러나 CCD와 CMOS는 포토다이오드에서 광 검출을 통해 출력된 전자를 전송하는 회로에 있어서 근본적으로 전혀 다른 방식을 채택하고 있다. @ CCD는 개개의 MOS (metal

More information

ApplicationKorean.PDF

ApplicationKorean.PDF Sigrity Application Notes Example 1 : Power and ground voltage fluctuation caused by current in a via passing through two metal planes Example 2 : Power/ground noise and coupling in an integrated-circuit

More information

1. What is AX1 AX1 Program은 WIZnet 사의 Hardwired TCP/IP Chip인 iinchip 들의성능평가및 Test를위해제작된 Windows 기반의 PC Program이다. AX1은 Internet을통해 iinchip Evaluation

1. What is AX1 AX1 Program은 WIZnet 사의 Hardwired TCP/IP Chip인 iinchip 들의성능평가및 Test를위해제작된 Windows 기반의 PC Program이다. AX1은 Internet을통해 iinchip Evaluation 1. What is AX1 AX1 Program은 WIZnet 사의 Hardwired TCP/IP Chip인 iinchip 들의성능평가및 Test를위해제작된 Windows 기반의 PC Program이다. AX1은 Internet을통해 iinchip Evaluation Board(EVB B/D) 들과 TCP/IP Protocol로연결되며, 연결된 TCP/IP

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software 소프트웨어모델링및분석 (Equivalence Checking 소개 ) 김의섭 Dependable Software Laboratory KONKUK University 2016.06.03 Equivalence Checking 이란? Equivalence Checking: 두프로그램이동일한기능을하는지정형적으로검증하는방법 왜 Equivalence Checking 이필요한가?

More information

DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They

DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They DC Link Capacitor DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They are Metallized polypropylene (SH-type)

More information

Slide 1

Slide 1 Linear Technology Corporation Power Seminar LDO 2016. 10. 12. LTC Korea 영업강전도부장 010-8168-6852 jdkang@linear.com 기술박종만차장 010-2390-2843 jmpark@linear.com LDO 목차 1) LDO feedback 동작원리, 2) LDO 종류 3) LDO 특성

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information