A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

Size: px
Start display at page:

Download "A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software"

Transcription

1 소프트웨어모델링및분석 (Equivalence Checking 소개 ) 김의섭 Dependable Software Laboratory KONKUK University

2 Equivalence Checking 이란? Equivalence Checking: 두프로그램이동일한기능을하는지정형적으로검증하는방법 왜 Equivalence Checking 이필요한가? Software 개발중에다양한 design 변경 / 변환이이루어진다. 변경 / 변환으로인해새로운 Error 나 Bug 가생성되지않았다는것을증명할필요가있음 Modern Software 규모와복잡도高 다양한산업에서사용 다양한 Error 또는 Bug 의생성을수반이를찾아내는것이점점어려워짐 가정용 SW 부터 Safety Critical System 의 SW 까지 Ex) 원자력발전소, 철도제어시스템, 항공운항시스템등 Error 및 Bug 의영향이재난수준의결과를초래 2

3 왜 Equivalence Checking 이필요한가? SW Development Lifecycle 에는다양한변환이존재 Requirement Modeling Design (Model) C/JAVA Code Transformation (Implementation) C/JAVA Complier 기존프로그램과기능이동일한프로그램으로변환을잘하였는가? 새로운 Bug 나 Error 를생성하지않았는가? Compiler : high technology 다양한 optimization 수행 ex) * 연산 << 연산 이를어떻게증명할것인가? Object Program (Software) 3

4 왜 Equivalence Checking 이필요한가? SW Design 은다양한이유로인해개발중에변경이생김 Computation Speed, Security, 제한적인 Memory Resource 우리가의도한대로동작할것인가? 빠른길찾기프로그램 Library 를믿고사용해도되는가? 우리가원하는기능대로동작할것인가? 너비우선알고리즘 A* 알고리즘구현 A* 알고리즘 Library 사용 A* 구현 A* Lib 4

5 Equivalence Checking 따라서 Equivalence Checking 이필요 두프로그램이동일한기능을하는지정형적으로검증 Design을 Boolean Reprobation으로표현후두프로그램의 Equivalence를검증 Formal Verification Technique 의일종 Simulation 이나 Testing은모든가능한경우를확인할수없음 Formal Verification은모든가능한경우를전수검사할수있음 Test Vector가필요하지않음 5

6 Informal Verification Simulation based Verification 가장많이사용되는방법 Simulation은느리다 모든경우의수를확인하는것은불가능 따라서충분한 Coverage 또는 Corner Case 를커버하는 Test bench 의작성이중요 회로의기능을정확하게검증할수있는의미있는시뮬레이션입력벡터의생성이중요 특정기능이나특성을목표로하는입력벡터들은설계자가알고있는입력공간의영역으로편향되기때문에설계자가알지못하는영역에서버그들이종종발생하게됨 모든경우의수를확인하는것은불가능 (2^input bits) Co-NPHard Test Vector 프로그램 1 Simulation output Equivalent 비교 프로그램 2 Re-Simulation output Counter example 6

7 Finding Bugs by Simulation 출처 : https%3a%2f%2fceit.aut.ac.ir%2f~szamani%2findex_files%2fvhdl_slides%2f15_2_formal%2520verification.ppt&usg= AFQjCNEzzO-nfBtdy0lEhicCpUQR3HdFlw&sig2=PUbsfS1JP76X5O8QVKQCJw&cad=rja 7

8 Formal Verification Equivalence Checking Hardware Verification (IC 개발 ) 에서많이사용됨 효율적인검증 - 테스트벤치를생성할필요가없다. 효과적인검증 - 시뮬레이션에비해속도가빠르다. 정형검증 가능한모든입력에대해확인이가능하다. 시뮬레이션기반방법론을사용하는것은불가능하다. 특히, ECO (Engineering change orders) 경우에사용하면효율적 ECO 개발자게의해기능은동일하지만보다효율적인설계등의목적으로 HDL 또는 Netlist 를수동으로변경하는것 이럴경우원래의기능이변경되었는지확인해볼필요가있는데, ECO의경우내부적인 Structural 변경이크지않기때문에 EC 를사용하기적절. FBD 1 Intermediate format ROBDD Equivalent EC engine FBD 2 Intermediate format ROBDD Counter example 8

9 EC 개념 두프로그램이동일한 input 에대해동일한 output 을출력하면동일 Output 들을 XOR 하여 0 이면 Equivalent (miter) i M1 o i M1 o i M2 o M2 9

10 EC 분류 CEC (Combinational Equivalence Checking) BDD 이용 SEC (Sequential Equivalence Checking) FSM 이용 10

11 Problem Verification Time Input Size 에따라 Verification time 이 Exponential 하게증가 State Explosion Input Size 에따라 memory usage 가 Exponential 하게증가 time time Input size 출처 : Input size 11

12 진행중인연구

13 연구개요 목표 : 1. New Equivalence Checker 개발 2. 개발한 EC 도구의성능개선 Contribution: Hardware Verification 변환기 ( 상용합성도구 ) 의 correctness 증명 Design flow 13

14 연구동기 Platform Change from PLC to FPGA Target: RPS (Reactor Protection System) Safety critical component of I&C in the Nuclear power plants Recently, FPGA has received much attention from nuclear industry Increasing maintenance cost CCF(Common Cause Fault) problem In-depth strategy for security 14

15 FPGA? FPGA (Field-Programmable Gate Array) 프로그래머블논리요소와프로그래밍가능내부선이포함된반도체소자 Filed( 사용자 ) 에서프로그래밍이가능한 gate array( 디지털회로반도체 ) 개발에 Synthesis 도구가사용됨 ALTERA 15

16 Synthesis? RTL 레벨의 HDL 로작성된 Code를 Gate-Level의 optimization 된 Netlist로변환 원래는사용자가직접 Netlist를작성해야하지만제품의사이즈와복잡도가증가로인해직접작성이불가능해짐 사용자는사용자친화적언어인 HDL로제품을설계하면 Synthesis 도구가이를지동으로 Netlist 형태로변환해줌 사용자가고려해야하는복잡한과정 (Optimization) 을대신해서수행 칩의 speed, cost, power 측면에서의최적화를자동으로수행 따라서, 사용자는위와같은복잡한고려없이디자인및설계에집중하여칩개발이가능해짐 사용자수준의언어 Verilog Design Constraints Synthesis Target Library Netlist Speed, Cost, Power 등을고려한셀배치 16

17 Synthesis? 실제, FPGA 분야는 Synthesis( 자동화도구에의한 ) 도구로인해굉장히발전하게되었음 문제는 Vendor마다최적화또는변환룰이각각다름 검증또한 Synthesis 도구를제공하는 Vendor에의해수행 Optimization 성능에따라가격이결정됨 Optimization 으로인해 design의정형성이깨지게됨 일반적으로좋은결과를제공하지만, 신뢰성 검증이필요 Verilog Synthesis Netlist 17

18 Formal Verification (Equivalence Checking) 상호다른두디자인간의 functional equivalence 를체크 Netlist 가원래의 RTL 코드를정확하게구현하였는가를검증하기위해사용 ( 또는, Layout 이 Gate-Level Netlist 를정확하게구현하였는가?) 만약 Synthesis Tool 을완전하게믿는다면 EC 는필요하지않다. 대부분의 Synthesis Tool 들이좋은성능을보여주지만, 이런소프트웨어도밝혀지지않은오류가존재할수있기때문에검증이필요하다. 현재 Nuclear domain 에사용된이력이없으며, 관련인증또한획득하지않았음. 검증이필요 18

19 Development of the EC tool from the scratch 기존 EC 도구 제한적인적용환경 현재, Synplify Pro + Actel Libero SoC 환경을지원하는 EC 도구가없다. Royalty 19

20 NuDE an integrated PLC/FPGA development framework 20

21 IN DETAIL

22 FBD_EC 두 FBD 를입력으로받아 Equivalent 를출력 입력 : FBD 결과 : Equivalent 여부 ROBDD (Reduced Ordered Binary Decision Diagram) 사용 Property: 동일한 logic & ordering 의경우 canonical BDD를가짐 (new?) BDD 생성알고리즘과 Node Replacement 알고리즘제시 FBD 1 Intermediate format ROBDD Equivalent EC engine FBD 2 Intermediate format ROBDD Counter example 22

23 Binary Decision Diagrams (BDD) Based on recursive Shannon expansion F = x Fx + x Fx a b c f f = ac + bc c b f a b c c c 23

24 BDD Manipulation f f f = (a+b)c a a a b b b b b c c c c c c c Merge terminal nodes 2. Merge 3. Remove duplicate redundant nodes nodes 24

25 BDD Manipulation 25

26 Ordering Good variable ordering 26

27 BDD 생성알고리즘과 NODE REPLACE 알고리즘제시

28 BDD 생성알고리즘과 Node Replace 알고리즘제시 알고리즘 알고리즘1. 분기기반 Backward Tracking 알고리즘 compact 한 BDD 생성 알고리즘2. Node Replace technique 적용 연산수감소 Performance 향상에기여 (?) 28

29 알고리즘 1. 분기기반 Backward Tracking 알고리즘이란? 분기를기반으로 compact BDD 를생성후마지막단계에서합침 미리 BDD 를생성하면 BDD 의 Size 가커지고연산이복잡해지기때문

30

31 기존 BDD 생성 Forward & APPLY 31

32 APPLY 32

33 APPLY Algorithm 33

34 APPLY 34

35 BDD 생성알고리즘 ( 제시 ) - 분기기반 Backward 알고리즘 35

36 BDD 생성알고리즘 ( 제시 ) - 분기기반 Backward 알고리즘

37

38 분기확인 =

39

40 분기확인 =

41

42 C

43 01 11 D C C

44 D C 구현된도구

45 중간과정 45

46 Node Replacement 알고리즘 ( 제시 ) Node Replacement technique 알고리즘 1. division & reorder 2. reduction 3. combine & reduction 46

47 Node Replacement technique 알고리즘 1. division & reorder 2. reduction 3. combine & reduction D D D C C C = 0 = 1 47

48 Node Replacement technique 알고리즘 1. division & reorder 2. reduction 3. combine & reduction D D D C C C = 0 = 1 48

49 Node Replacement technique 알고리즘 1. division & reorder 2. reduction 3. combine & reduction D D D C C C = 0 = 1 49

50 Node Replacement technique 알고리즘 1. division & reorder 2. reduction 3. combine & reduction D C = 0 = 1 50

51 Summary EC 도구구현중 (new?) 알고리즘 2 개제시 survey, 증명, formalization 필요 BDD 생성방법 (Branch 기반 Backward trace) Node Replacement 방법 과연 performance 가향상되었을지비교분석필요 51

52 Future work Future work Function: Sequential Equivalence Checking of FBD program Various Input programs: Verilog, VHDL, Gate-level netlist (EDIF) Graphic: Visualization of counter example User friendly GUI Evaluation & Improvement!! Speed, Memory usage Model checking 52

53 Future Work We are now planning to extend the tool can be used in anywhere in NuDE. If all verifications succeed, we can say that the final software will operate exactly as we intended. 53

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 NuPIC 2013 2013.11.07~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교 2013.11.08 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Introduction to Development and V&V of FPGA-based Digital I&Cs 김의섭 목차 1. FPGA 2. Development Process / V&V 3. Summary 2 01 [ ] FPGA FPGA 프로그램이가능한비메모리반도체의일종. 회로변경이불가능한일반반도체와달리용도에맞게회로를다시새겨넣을수있다. 따라서사용자는자신의용도에맞게반도체의기능을소프트웨어프로그램하듯이변형시킬수있다.

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 솔루션발표 김의섭 목차 1. Coverage 관련 TestBench Generation Multi-level Coverage 2. Stability Analysis 관련 3. 창의과제논문관련 A Seamless Platform Change of Digital I&Cs from PLC to FPGA: Empirical Case Study An Integrated

More information

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 목차 1. 선정배경및목적 2. 관련기술및기술동향 3. 프로젝트세부사항 A. 시스템구성도 B. 시나리오 C. 기대효과 D. 개발환경 4. 스케줄 5. 팀구성및역할 6. 참고문헌 1. 선정배경및목적

More information

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 13 Lightweight BPM Engine SW 13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 BPM? 13 13 Vendor BPM?? EA??? http://en.wikipedia.org/wiki/business_process_management,

More information

SW¹é¼Ł-³¯°³Æ÷ÇÔÇ¥Áö2013

SW¹é¼Ł-³¯°³Æ÷ÇÔÇ¥Áö2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

untitled

untitled MDEP I&C 2009. 4.6 ~ 4.7 14 I. MDEP II. DICWG III. DICWG SW IV. Nuclear Safety Information Conference 2009 Slide -2- I. MDEP MDEP? Multinational Design Evaluation Program MDEP Nuclear Safety Information

More information

COTS SW Dedication

COTS SW Dedication COTS SW DEDICATION Introduction Jsjj0728@konkuk.ac.kr 내용 1.0 Commercial-Grade Item Dedication... 2 1.1 소개... 2 2.0 용어정리및약어... 3 2.1 용어정리... 3 2.2 약어... 6 3.0 NP-5652/TR-106439 개요... 7 3.1 NP-5652의인증방법...

More information

보고서(겉표지).PDF

보고서(겉표지).PDF 11-13 10148-000092- 01 200 1 04 ( ) 2 0 0 1 ( ) E fficient Rules for Oper atin g the Det en tion B asin an d P umpin g St ation ( ) 2 0 0 1. 12 1 2 11-1310148- 000092-01 ( ) E fficien t Ru les for Oper

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 SMV 소개 Konkuk Univ. IT 융합정보보호학과 오예원, 박선영 목차 SMV 소개 CTL NuSMV 설치방법및예시 (lift) 향후계획 SMV SMV(Symbolic Model Verifier) 는유한상태시스템 (finite state system) 이 CTL(Computation Tree Logic) 이라는논리와 BDD(Binary Decision

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

감각형 증강현실을 이용한

감각형 증강현실을 이용한 대한산업공학회/한국경영과학회 2012년 춘계공동학술대회 감각형 증강현실을 이용한 전자제품의 디자인 품평 문희철, 박상진, 박형준 * 조선대학교 산업공학과 * 교신저자, hzpark@chosun.ac.kr 002660 ABSTRACT We present the recent status of our research on design evaluation of digital

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

04_오픈지엘API.key

04_오픈지엘API.key 4. API. API. API..,.. 1 ,, ISO/IEC JTC1/SC24, Working Group ISO " (Architecture) " (API, Application Program Interface) " (Metafile and Interface) " (Language Binding) " (Validation Testing and Registration)"

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

UML

UML Introduction to UML Team. 5 2014/03/14 원스타 200611494 김성원 200810047 허태경 200811466 - Index - 1. UML이란? - 3 2. UML Diagram - 4 3. UML 표기법 - 17 4. GRAPPLE에 따른 UML 작성 과정 - 21 5. UML Tool Star UML - 32 6. 참조문헌

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

歯CRM개괄_허순영.PDF

歯CRM개괄_허순영.PDF CRM 2000. 8. KAIST CRM CRM CRM CRM :,, KAIST : 50%-60%, 20% 60%-80%. AMR Research 10.. CRM. 5. Harvard Business review 60%, 13%. Michaelson & Associates KAIST CRM? ( ),,, -,,, CRM needs,,, dynamically

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 RecurDyn 의 Co-simulation 와 하드웨어인터페이스적용 2016.11.16 User day 김진수, 서준원 펑션베이솔루션그룹 Index 1. Co-simulation 이란? Interface 방식 Co-simulation 개념 2. RecurDyn 과 Co-simulation 이가능한분야별소프트웨어 Dynamics과 Control 1) RecurDyn

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

PMP수험서_8-2쇄

PMP수험서_8-2쇄 C PMP PMP Exam Pass Framework Co location Customer Deliverable Enterprise Functional organization structure Historical information Initiator Line manager Functional manager Management by project Matrix

More information

ecorp-프로젝트제안서작성실무(양식3)

ecorp-프로젝트제안서작성실무(양식3) (BSC: Balanced ScoreCard) ( ) (Value Chain) (Firm Infrastructure) (Support Activities) (Human Resource Management) (Technology Development) (Primary Activities) (Procurement) (Inbound (Outbound (Marketing

More information

PJTROHMPCJPS.hwp

PJTROHMPCJPS.hwp 제 출 문 농림수산식품부장관 귀하 본 보고서를 트위스트 휠 방식 폐비닐 수거기 개발 과제의 최종보고서로 제출 합니다. 2008년 4월 24일 주관연구기관명: 경 북 대 학 교 총괄연구책임자: 김 태 욱 연 구 원: 조 창 래 연 구 원: 배 석 경 연 구 원: 김 승 현 연 구 원: 신 동 호 연 구 원: 유 기 형 위탁연구기관명: 삼 생 공 업 위탁연구책임자:

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

1.장인석-ITIL 소개.ppt

1.장인석-ITIL 소개.ppt HP 2005 6 IT ITIL Framework IT IT Framework Synchronized Business and IT Business Information technology Delivers: Simplicity, Agility, Value IT Complexity Cost Scale IT Technology IT Infrastructure IT

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 5 2004. 3. . 5.. Input. Output . 5 2004 7,, 1,000 5,. 40 2004.7 2005.7 2006.7 2007.7 2008.7 2011. 1,000 300 100 50 20 20 ( ) 0.01% 0.08% 0.36% 0.96% 3.07% 100% ( ) 5.3%(10.7%) 12.2%(17.3%) 21.9%(26.4%)

More information

ETL_project_best_practice1.ppt

ETL_project_best_practice1.ppt ETL ETL Data,., Data Warehouse DataData Warehouse ETL tool/system: ETL, ETL Process Data Warehouse Platform Database, Access Method Data Source Data Operational Data Near Real-Time Data Modeling Refresh/Replication

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

Microsoft Word - 1-차우창.doc

Microsoft Word - 1-차우창.doc Journal of the Ergonomics Society of Korea Vol. 28, No. 2 pp.1-8, May 2009 1 하이브리드 환경하의 인간기계시스템 제어실 평가에 관한 연구 차 우 창 김 남 철 금오공과대학교 산업시스템공학과 A Study of the Evaluation for the Control Room in Human Machine

More information

public key private key Encryption Algorithm Decryption Algorithm 1

public key private key Encryption Algorithm Decryption Algorithm 1 public key private key Encryption Algorithm Decryption Algorithm 1 One-Way Function ( ) A function which is easy to compute in one direction, but difficult to invert - given x, y = f(x) is easy - given

More information

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770>

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 2 pp. 866-871, 2012 http://dx.doi.org/10.5762/kais.2012.13.2.866 증강현실을 이용한 아동교육프로그램 모델제안 권미란 1*, 김정일 2 1 나사렛대학교 아동학과, 2 한세대학교 e-비즈니스학과

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

歯두산3.PDF

歯두산3.PDF ERP Project 20001111 BU 1 1. 2. Project 3. Project 4. Project 5. Project 6. J.D. EdwardsOneWorld 7. Project 8. Project 9. Project 10. System Configuration 11. Project 12. 2 1. 8 BG / 2 / 5 BU (20001031

More information

U.Tu System Application DW Service AGENDA 1. 개요 4. 솔루션 모음 1.1. 제안의 배경 및 목적 4.1. 고객정의 DW구축에 필요한 메타정보 생성 1.2. 제품 개요 4.2. 사전 변경 관리 1.3. 제품 특장점 4.3. 부품화형

U.Tu System Application DW Service AGENDA 1. 개요 4. 솔루션 모음 1.1. 제안의 배경 및 목적 4.1. 고객정의 DW구축에 필요한 메타정보 생성 1.2. 제품 개요 4.2. 사전 변경 관리 1.3. 제품 특장점 4.3. 부품화형 AGENDA 1. 개요 4. 솔루션 모음 1.1. 제안의 배경 및 목적 4.1. 고객정의 DW구축에 필요한 메타정보 생성 1.2. 제품 개요 4.2. 사전 변경 관리 1.3. 제품 특장점 4.3. 부품화형 언어 변환 1.4. 기대 효과 4.4. 프로그램 Restructuring 4.5. 소스 모듈 관리 2. SeeMAGMA 적용 전략 2.1. SeeMAGMA

More information

<BACEBDBAC5CD20BAEAB7CEBCC52D A2DC3D6C1BE2D312D E6169>

<BACEBDBAC5CD20BAEAB7CEBCC52D A2DC3D6C1BE2D312D E6169> DOOCH PUMP Intelligent pressure boosting system 5Hz BOOSTER PUMP SYSTEM Water supply system Pressure boosting system Irrigation system Water treatment system Industrial plants 두크펌프 www.doochpump.com CONTENTS

More information

±èÇö¿í Ãâ·Â

±èÇö¿í Ãâ·Â Smartphone Technical Trends and Security Technologies The smartphone market is increasing very rapidly due to the customer needs and industry trends with wireless carriers, device manufacturers, OS venders,

More information

Deok9_Exploit Technique

Deok9_Exploit Technique Exploit Technique CodeEngn Co-Administrator!!! and Team Sur3x5F Member Nick : Deok9 E-mail : DDeok9@gmail.com HomePage : http://deok9.sur3x5f.org Twitter :@DDeok9 > 1. Shell Code 2. Security

More information

Microsoft Word - KSR2014S042

Microsoft Word - KSR2014S042 2014 년도 한국철도학회 춘계학술대회 논문집 KSR2014S042 안전소통을 위한 모바일 앱 서비스 개발 Development of Mobile APP Service for Safety Communication 김범승 *, 이규찬 *, 심재호 *, 김주희 *, 윤상식 **, 정경우 * Beom-Seung Kim *, Kyu-Chan Lee *, Jae-Ho

More information

IBM blue-and-white template

IBM blue-and-white template 쌍용자동차 CATIA V5 적용사례 쌍용자동차기술관리팀안재민 AGENDA 1. SYMC PRODUCT LINE UP 2. SYMC PDM Overview 3. CV5 & PDM Implementation Overview 4. PDM을이용한 CV5 Relational Design 5. 향후과제 6. Q & A 2 Presentation Title 1 2 1.

More information

백서2011표지

백서2011표지 2011 2011 2011 2 3 2011 4 5 2011 6 7 2011 8 9 2011 10 11 2011 12 13 2011 14 15 2011 16 17 2011 18 19 2011 20 21 2011 22 23 2011 24 25 2011 26 27 2011 28 29 2011 30 31 2011 32 33 2011 34 35 36 2011 1 SOFTWARE

More information

COTS SW dedication

COTS SW dedication COTS SW Dedication Introduction and Concept 정세진 Dependable Software Laboratory Konkuk Univ. NP-5652/TR-106439 The process overview of NP-5652 Performing combination of 4 methods to dedicate Targeting direct

More information

15_3oracle

15_3oracle Principal Consultant Corporate Management Team ( Oracle HRMS ) Agenda 1. Oracle Overview 2. HR Transformation 3. Oracle HRMS Initiatives 4. Oracle HRMS Model 5. Oracle HRMS System 6. Business Benefit 7.

More information

<4D6963726F736F667420506F776572506F696E74202D20C0CEC5CDB1D7B7A1C7C120C8B8BBE7BCD2B0B320666F7220494154435F41756720323031312E70707478205BC0D0B1E220C0FCBFEB5D>

<4D6963726F736F667420506F776572506F696E74202D20C0CEC5CDB1D7B7A1C7C120C8B8BBE7BCD2B0B320666F7220494154435F41756720323031312E70707478205BC0D0B1E220C0FCBFEB5D> Process, Power & Marine 인터그래프코리아 회사소개 인터그래프코리아 2011-08-08 1. 설립연도 및 일반 사항 Process, Power & Marine (PP&M) Security, Government & Infrastructure(SG&I) Industry-leading design & data-centric information management

More information

<30362E20C6EDC1FD2DB0EDBFB5B4EBB4D420BCF6C1A42E687770>

<30362E20C6EDC1FD2DB0EDBFB5B4EBB4D420BCF6C1A42E687770> 327 Journal of The Korea Institute of Information Security & Cryptology ISSN 1598-3986(Print) VOL.24, NO.2, Apr. 2014 ISSN 2288-2715(Online) http://dx.doi.org/10.13089/jkiisc.2014.24.2.327 개인정보 DB 암호화

More information

SW_faq2000번역.PDF

SW_faq2000번역.PDF FREUENTLY ASKED UESTIONS ON SPEED2000 Table of Contents EDA signal integrity tool (vias) (via) /, SI, / SPEED2000 SPEED2000 EDA signal integrity tool, ( (via),, / ), EDA, 1,, / 2 FEM, PEEC, MOM, FDTD EM

More information

04서종철fig.6(121~131)ok

04서종철fig.6(121~131)ok Development of Mobile Applications Applying Digital Storytelling About Ecotourism Resources Seo, Jongcheol* Lee, Seungju**,,,. (mobile AIR)., 3D.,,.,.,,, Abstract : In line with fast settling trend of

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 6, December, 30, 2016:275~289 Received: 2016/12/02, Accepted: 2016/12/22 Revised: 2016/12/20, Published: 2016/12/30 [ABSTRACT] SNS is used in various fields. Although

More information

여행기

여행기 POPL/VMCAI 2013 ROME, ITALY 2013.01.20-2013.01.26 POPL 2013. 40 POPL VMCAI, PADL, PEPM... 1. POPL,. VMCAI(International Conference on Verification, Model Checking, and Abstract Interpretation), PADL(International

More information

歯경영혁신 단계별 프로그램 사례.ppt

歯경영혁신 단계별 프로그램 사례.ppt BMS Infra BMS Location A B C D D A Location Card + Location SET Card : 1 : : Location Card ( ) ( Over ) Location Card Card Location Card ( ) ( ) Location Card LocationCard RACK1 AGE / 7 ( ) SET Location

More information

GEAR KOREA

GEAR KOREA GEAR Original Equipment Manufacturing Gears Support your various needs with our world class engineering skill and the newest manufacturing facilities. 1 2 Nissei creates high-quality high-precision gears

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

2016년 5월호 E 세계로, 미래로 나아가는 힘. nergy 우리의 열정과 노력이 KEPCO E&C의 에너지를 만들어냅니다. C ommunication 더 현명하게, 더 여유롭게 더 건강하게, 더 적극적으로 이 세상과 소통합니다. 04 K-Message 경영 메시지

2016년 5월호 E 세계로, 미래로 나아가는 힘. nergy 우리의 열정과 노력이 KEPCO E&C의 에너지를 만들어냅니다. C ommunication 더 현명하게, 더 여유롭게 더 건강하게, 더 적극적으로 이 세상과 소통합니다. 04 K-Message 경영 메시지 2 016 0 5 www.kepco-enc.com 2016년 5월호 E 세계로, 미래로 나아가는 힘. nergy 우리의 열정과 노력이 KEPCO E&C의 에너지를 만들어냅니다. C ommunication 더 현명하게, 더 여유롭게 더 건강하게, 더 적극적으로 이 세상과 소통합니다. 04 K-Message 경영 메시지 28 新성장지도 오월의 경주, 고도의 향취

More information

연구실안전사례집-내지

연구실안전사례집-내지 Laboratory Safety CONTENTS 01 02 05 08 12 16 19 20 23 25 27 29 33 34 37 39 41 Laboratory Safety 45 46 49 51 54 56 58 61 62 65 68 71 72 75 78 80 84 86 88 92 95 99 100 103 106 109 111 113 115 117 119 123

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

2002년 2학기 자료구조

2002년 2학기 자료구조 자료구조 (Data Structures) Chapter 1 Basic Concepts Overview : Data (1) Data vs Information (2) Data Linear list( 선형리스트 ) - Sequential list : - Linked list : Nonlinear list( 비선형리스트 ) - Tree : - Graph : (3)

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

REVERSIBLE MOTOR 표지.gul

REVERSIBLE MOTOR 표지.gul REVERSIBLE MOTOR NEW H-SERIES REVERSIBLE MOTOR H-EX Series LEAD WIRE w RH 1PHASE 4 POLE PERFORMANCE DATA (DUTY : Min.) MOTOR OUTPUT VOLTAGE (V) FREQUENCY (Hz) INPUT CURRENT (ma) RATING SPEED (rpm) STARTING

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

Problem New Case RETRIEVE Learned Case Retrieved Cases New Case RETAIN Tested/ Repaired Case Case-Base REVISE Solved Case REUSE Aamodt, A. and Plaza, E. (1994). Case-based reasoning; Foundational

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Page 2 of 6 Here are the rules for conjugating Whether (or not) and If when using a Descriptive Verb. The only difference here from Action Verbs is wh

Page 2 of 6 Here are the rules for conjugating Whether (or not) and If when using a Descriptive Verb. The only difference here from Action Verbs is wh Page 1 of 6 Learn Korean Ep. 13: Whether (or not) and If Let s go over how to say Whether and If. An example in English would be I don t know whether he ll be there, or I don t know if he ll be there.

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

06_±è¼öö_0323

06_±è¼öö_0323 166 167 1) 2) 3) 4) source code 5) object code PC copy IP Internet Protocol 6) 7) 168 8) 9)10) 11) 12)13) / / 14) 169 PC publisher End User distributor RPG Role-Playing Game 15) FPS First Person Shooter

More information

-

- World Top 10 by 2030 CONTENTS CONTENTS 02 03 PRESIDENT S MESSAGE 04 05 VISION GOALS VISION GOALS STRATEGIES 06 07 HISTORY 2007 2008 2009 2010 2011 08 09 UNIST POWER 10 11 MPI USTC UNIST UCI UTD U-M GT

More information

삼성기초VHDL실습.PDF

삼성기초VHDL실습.PDF VHDL Simulation Synthesis - Synopsys Tool - System ASIC Design Lab : jcho@asiclabinchonackr -I - : -Bit Full Adder Simulation Synopsys Simulation Simulation Tool -2 : -Bit Full Adder Synthesis Synopsys

More information

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp RUF * (A Simple and Efficient Antialiasing Method with the RUF buffer) (, Byung-Uck Kim) (Yonsei Univ. Depth of Computer Science) (, Woo-Chan Park) (Yonsei Univ. Depth of Computer Science) (, Sung-Bong

More information

DIY 챗봇 - LangCon

DIY 챗봇 - LangCon without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770>

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770> 한국지능시스템학회 논문지 2010, Vol. 20, No. 3, pp. 375-379 유전자 알고리즘을 이용한 강인한 Support vector machine 설계 Design of Robust Support Vector Machine Using Genetic Algorithm 이희성 홍성준 이병윤 김은태 * Heesung Lee, Sungjun Hong,

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information