4장 논리 게이트

Size: px
Start display at page:

Download "4장 논리 게이트"

Transcription

1 4 장논리게이트

2 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC MC14050B 버퍼게이트 Vcc 8 V SS 2

3 인버터게이트 기본게이트 입력과출력이반대논리레벨로작동되는게이트 진리표와기호 진리표 게이트기호 IEEE 기호 Vcc GND SN74LS04 게이트 3

4 NOT 게이트 펄스연산 (pulsed operation) 인버터회로 : 입력펄스가 H일때 L로출력되고, 입력이 L이면 H가출력됨. NOT 게이트의입 / 출력펄스 4

5 AND 게이트 4.2 AND 와 NAND 게이트 2개이상의입력에의해하나의출력을가진게이트. 모든입력전압이 L이면 L로출력. 진리표와기호 게이트기호 IEEE 기호 진리표 5

6 다중입력의 AND 게이트 AND 게이트 3 개이상의입력을갖는 AND 게이트 : F = xyz AND 펄스연산 입력펄스 x,y 에의해출력되며, 입력모두가 H 일때만출력펄스가 H 로연산. 6

7 AND - NOT 게이트 NAND 게이트 한개이상의입력전압이 L 이면출력은 H 진리표와기호 F = X Y = X + Y 진리표게이트기호 IEEE 기호 7

8 다중입력 NAND 게이트 3 개이상의입력을갖는 NAND 게이트 : F = X Y Z = X + Y + Z NAND 펄스연산 입력펄스 x,y에의해출력되며, x,y 중하나이상의값이 L이면 H가출력되는연산. 8

9 AND/NAND 게이트 IC 74LS08 2 input AND 게이트 Vcc LS00 2 input NAND 게이트 V DD LS11 3 input AND GND V SS MC14011B 2 input NAND 9

10 OR 게이트 4.3 OR 와 NOR 게이트 2개이상의입력에의해하나의출력을가진게이트. 한개이상의입력전압이 H일때, H가출력 진리표와기호 진리표 게이트기호 IEEE 기호 10

11 OR 게이트 다중입력 OR 게이트 F = X + Y + Z OR 펄스연산 입력펄스 x,y 에의해출력되며, 입력중하나이상이 H 이면출력펄스는 H 로연산. Vcc GND SN74LS32 OR 게이트 11

12 NOR 게이트 OR-NOT 게이트 한개이상의입력전압이 H라면출력은 L로출력. 진리표와기호 = x. y 다중입력 NOR 게이트 : F = X + Y + Z = X Y Z NOR 펄스연산 : V DD GND SN74LS02 NOR 게이트 12

13 4.4 XOR 및 XNOR 게이트 XOR 게이트 - Exclusive OR 논리, 배타논리 2개이상의입력에의해하나의출력을가진게이트. 입력이서로같으면출력은 L, 다르면 H 진리표와기호 XOR 펄스연산 Vcc SN74LS86 XOR 게이트 GND 13

14 XNOR 게이트 XNOR 게이트 - Inclusive OR 논리 2개이상의입력에의해하나의출력을가진게이트. 입력이서로같으면출력은 H, 다르면 L 진리표와기호 펄스연산 V CC GND MC74HC266 XNOR 게이트 14

15 상태버퍼 3- 상태버퍼 (Tri state buffer) x 출력이 3가지상태 : 0, 1, Z (Hi-impedence) 3-상태버퍼의기호및진리표 S F x S F V CC G G 1 8 GND TTL 버퍼 / 드라이브의예 (SN74LS365A) 15

16 wired logic 4.6 wired AND 와 wired OR 특정논리함수의기능이가능하도록게이트출력단자의일부를바로연결한논리형식 wired AND AOI(AND-OR-invert) TTL 게이트의출력단자들을직접연결하면게이트가파손될수있다. 개방콜렉터 (OC,open collector) 라고표시된게이트의출력단자를서로묶어야한다. w x y z AND-OR-Invert 16

17 Wired OR wired logic ECL(Emitter Coupled Logic) 게이트의 NOR 게이트의출력을함께결선하면 wired OR 기능을수행 w x y z F w x y z F w x y z OR 결합 직접연결 ELC 결합 NOR 게이트 wired OR 17

18 TTL 논리군 IC 논리군의특성 기본논리회로 : NAND 게이트. 표준 (standard) TTL, 고속 (high speed) TTL, 저전력 (low power) TTL, 쇼트키 (schottky) TTL, 저전력쇼트키 (low power schottky) TTL, 진보된쇼트키 (advanced schottky) TTL 표준 TTL 게이트 : 초기에시작된 TTL 쇼트키 TTL : 전파지연시간은줄고, 동작속도는다소늦다. 저전력 TTL : 표준 TTL과동일한전파시간을가지고있으나전력소모는줄였으며, 표준 TTL보다팬아웃을향상시킴. 18

19 IC 논리군의특성 ECL 논리군 기본논리회로 : NOR-OR 게이트. 낮은전파시간을가지며, 전력소모는상당히높음. 매우빠른시스템을구성할때사용 ( 낮은전파시간때문 ) CMOS 논리군 기본회로 : NAND 또는 NOR 게이트. 특징 : 적은전력소모 ( 평균약 10nW) 정도이며, 게이트의신호가변할때회로가동작하는주파수에따라전력소모가변동. 단점 : 전파지연시간이크기때문에고속의동작을요구하는시스템에서는부적합하고, 전파지연시간을감소시킨다. 19

20 4.7 논리게이트의전기적특성 전파지연시간 (propagation delay time) 논리회로가동작하는속도를제한 논리게이트에서 2진신호가입력에서출력까지전달되는데걸리는평균시간- 입력의 50% 레벨에서출력의 50% 레벨을기준 t PHL : 출력이논리 1에서 0으로변환하는데소요시간. t PLH : 출력이논리 0에서 1로변화하는데소요시간. V IN V OUT 총전파지연시간 T t V IN V OUT H L 50% 50% H L 50% 50% 게이트당전파지연시간 총논리단계수 TTL의 1 게이트의지연시간은보통 10nsec 정도임 10개게이트통과시최대동작주파수는? t PHL t PLH 20

21 전기적특성 전력소모 (power dissipation) 논리게이트의동작시소모되는전력. 단위 : mw P AVG = 공급전압 (V cc ) 평균공급전류 (I cc ) Fanout 한개의게이트출력에여러개의게이트가연결될때출력의정상레벨을유지하게연결할수있는최대부하게이트수 F.O = Fanin I I OH 혹은 IH I I OL 중에서더작은값 IL 논리게이트의정상동작에영향을주지않고게이트의입력에연결할수있는입력의최대개수 TTL 과 CMOS 의전류입출력특성 TTL LS TTL CMOS I IH 40 ua 20uA 1uA I IL -1.6mA -0.4mA -1uA I OH -400uA -400uA -1.6mA I OL 16mA 8mA 1.6mA 21

22 전기적특성 잡음여유도 (NM, noise margin) 동작하는전압레벨변화 ( 잡음 ) 에대한허용값, 단위 = V High noise margin = V OH MIN V IH MIN Low noise margin = V IL MAX V OL MAX 출력 V OH MIN V OL MAX NM H 입력 VDD V IH MIN V IL MAX NM L GND TTL 과 CMOS 의입출력전압레벨 TTL LS TTL CMOS V IL MAX V IH MIN V OL MAX V OH MIN Logic threshold

23 논리레벨 4.8 논리레벨과펄스파형 정논리 (positive logic) 높은전압을논리 1(H), 낮은전압을논리 0(L) 으로표시, 주로사용 부논리 (negative logic) 낮은전압을논리 1(H) 로, 높은전압을논리 0(L) 으로표시. 23

24 펄스파형 전압레벨이높은전압에서낮은전압으로, 낮은전압에서높은전압으로연속적으로변화. 정펄스 (positive pulse) 전압레벨이낮은전압에서높은전압으로되었다가다시낮은전압으로되는펄스구간. 부펄스 (negative pulse) 전압레벨이높은전압에서낮은전압으로되었다가다시높은전압으로되는펄스구간. < 정펄스 > < 부펄스 > 24

25 실제적인펄스파형 펄스파형 t r : 낮은전압레벨에서높은전압레벨로상승되는데소요되는시간 ( 최대전압의 10% 에서 90% 까지상승시걸리는시간 t f : 높은전압레벨에서낮은전압레벨로하강하는데소요되는시간. 최대전압의 90% 에서 10% 까지하강시걸리는시간 25

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

Microsoft PowerPoint - Chap.11(완성)

Microsoft PowerPoint - Chap.11(완성) Digital Fundamentals Tenth Edition Floyd Chapter 11 2009 Pearson Education, Upper 2008 Pearson Saddle River, Education NJ 07458. All Rights Reserved 11 장. 집적회로기술 학습목표 데이터시트에제시된파라미터 ( 공급전압, 논리레벨, 잡음여유,

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 Digital Logic Circuits CHAPTER 3 논리게이트 CONTENTS 3. 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 CHAPTER 3 논리게이트 디지털시스템은트랜지스터 (transistor) 를이용하여구현되는논리게이트들에의해구성된다.

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

- 2 -

- 2 - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 23 - - 24 - - 25 - - 26 - - 27 - - 29 - - 30 - - 31 - - 32 - - 33

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

<4D F736F F F696E74202D20322EB3EDB8AEC7A5C7F6B0FA20B3EDB8AEBCF6C7D02E >

<4D F736F F F696E74202D20322EB3EDB8AEC7A5C7F6B0FA20B3EDB8AEBCF6C7D02E > 논리수학과표현 논리의표현 진법과숫자표현 2 진수와 10 진수 문자의표현 dolicom@naver.com http://blog.naver.com/dolicom 숫자와문자의표현방식 숫자의표현 2 진수보수체계 정수형 Floating Point - 실수형 ASCII 코드 미국의컴퓨터문자표현 ( 1 바이트 ) KS-5601 한국어지원을위한완성형표준안 (2 바이트가한글자

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 1, Oct 서론,.,., IC. IC, IC. EM Immunity, Electromagneti

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 1, Oct 서론,.,., IC. IC, IC. EM Immunity, Electromagneti THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 216 Oct.; 27(1), 917 925. http://dx.doi.org/1.5515/kjkiees.216.27.1.917 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) CMOS DTMOS

More information

Microsoft PowerPoint - dc_ch2 [호환 모드]

Microsoft PowerPoint - dc_ch2 [호환 모드] Chapter 2 Boolean Algebra and Logic Circuits Chapter 2 Boolean Algebra and Logic Circuits 2.1 Boolean Algebra 2.1.1 1 Definition of Boolean Algebra 2.1.2 Fundamental Theorems 2.1.3 Switching Algebra 2.1.4

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수 마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수있는 TTL-호환출력 < 핀구성 > 시그네틱스 (Signetics) 사의 NE555, SA555,

More information

제 호 년 제67차 정기이사회, 고문 자문위원 추대 총동창회 집행부 임원 이사에게 임명장 수여 월 일(일) 년 월 일(일) 제 역대 최고액 모교 위해 더 확충해야 강조 고 문:고달익( 1) 김병찬( 1) 김지훈( 1) 강보성( 2) 홍경식( 2) 현임종( 3) 김한주( 4) 부삼환( 5) 양후림( 5) 문종채( 6) 김봉오( 7) 신상순( 8) 강근수(10)

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선 Point Operation Histogram Modification 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 HISTOGRAM HISTOGRAM MODIFICATION DETERMINING THRESHOLD IN THRESHOLDING 2 HISTOGRAM A simple datum that gives the number of pixels that a

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

팬도캐드소개

팬도캐드소개 제목 : 4 층 50Ω, 55Ω, 90Ω Diff,100Ω Diff (1.46T) PCB 재질 : FR4( Er = 4.4 ) 외층 / 내층 : 1 Oz PCB 두께 : 1.46T ±10% CCL= 1.2T C 1/1 L3 0.08mm 0.08mm 0.09mm 0.09mm 0.26mm 0.26mm 프리프레그 (PrePreg) : 1080 0.06 mm, 2116

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

I 문학과 우리 사회 눈 은 다가오는 계절인 봄의 생명력과 연결되어 작품 전체의 분위 기를 주도하고 있다. 1. 문학과 인접 분야 바탕 학습 확인 문제 01 4 02 5 본문 009쪽 01 4 문학은 음악, 미술 등과 같이 예술의 한 갈래로, 다른 예술 갈래와 달리 언

I 문학과 우리 사회 눈 은 다가오는 계절인 봄의 생명력과 연결되어 작품 전체의 분위 기를 주도하고 있다. 1. 문학과 인접 분야 바탕 학습 확인 문제 01 4 02 5 본문 009쪽 01 4 문학은 음악, 미술 등과 같이 예술의 한 갈래로, 다른 예술 갈래와 달리 언 고 등 학 교 자 습 서 정답과 해설 I. 문학과 우리 사회 01 I 문학과 우리 사회 눈 은 다가오는 계절인 봄의 생명력과 연결되어 작품 전체의 분위 기를 주도하고 있다. 1. 문학과 인접 분야 바탕 학습 확인 문제 01 4 02 5 본문 009쪽 01 4 문학은 음악, 미술 등과 같이 예술의 한 갈래로, 다른 예술 갈래와 달리 언어로써 작가의 생각과 사상을

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

DIB-100_K(90x120)

DIB-100_K(90x120) Operation Manual 사용설명서 Direct Box * 본 제품을 사용하기 전에 반드시 방송방식 및 전원접압을 확인하여 사용하시기 바랍니다. MADE IN KOREA 2009. 7 124447 사용하시기 전에 사용하시기 전에 본 기기의 성능을 충분히 발휘시키기 위해 본 설명서를 처음부터 끝까지 잘 읽으시고 올바른 사용법으로 오래도록 Inter-M 제품을

More information

BS-K1217-M□□-3012_ProductGuide_KR_PDF

BS-K1217-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN System [ASLINK ] S-K1217-M-3012..,.,....,,. S-K1217-M08-3012 S-K1217-M12-3012 S-K1217-M18-3012 S-K1217-M30-3012 2() () / 1 2 1 DC..,,.,,,..,....... ' ARW-04 (Ver.04-1.01 ),

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

Microsoft PowerPoint - Ch12

Microsoft PowerPoint - Ch12 Ch. 12 Operational Amplifier (OP-AMP) 개요 기호및단자 Symbol Invert Noninvert V- 1 8 NC V+ Output Typical Package 개요 이상적인 OP-Amp Z in = ; A v = ; bandwidth = ; Z out = 0 실제적인 OP-Amp Z in = very high (MΩ); A v

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

5 장부울대수

5 장부울대수 5 장부울대수 5.1 부울대수 ã 부울대수 (boolen lgebr) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No Open Swith 논리 1 True On High Yes Closed

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

Microsoft PowerPoint - 제05장.ppt [호환 모드]

Microsoft PowerPoint - 제05장.ppt [호환 모드] Chapter 05 부울대수 1. 부울대수 부울대수 (boolean algebra) 를근거로한스위칭이론 (switching theory) 은논리설계에있어서이론적인근거가되는수학적체계. 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 False Off Low No Open Switch 논리 1 True On High Yes

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No

5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No 5 장부울대수 5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No Open Swith 논리 1 True On High Yes Closed swith

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

6장 부울 함수의 간소화

6장 부울 함수의 간소화 6 장부울함수의간소화 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 논리회로간소화혹은최적화 부울식의간소화 : term을감소하거나 literal를감소한다. term은게이트의수, literal은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법 논리회로를부울함수로표현한후부울함수를간소화

More information

Microsoft PowerPoint - Regulator IC Introduction_ [읽기 전용]

Microsoft PowerPoint - Regulator IC Introduction_ [읽기 전용] Regulator IC KEC [PW/IC] 상품기획부 2009. 05. 18. [PW / IC] Strategic Marketing Dept. 1/371 목차 Power Management IC Ⅰ. Linear Regulator 1) 동작원리 2) 특성비교 3) Bipolar vs. MOS 비교 4) CMOS LDO Ⅱ. Switching Regulator

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

Microsoft Word - _»ï¿ø G_ LV77 MAIN PCB ¼ö¸®Æ÷ÀÎÆ®.doc

Microsoft Word - _»ï¿ø G_ LV77 MAIN PCB ¼ö¸®Æ÷ÀÎÆ®.doc 수리포인트 1. SYNC 출력신호 PARALLEL 통신에서적색점멸됨메인단자통해 SYNC신호가입력되면 Q3 Base에 L 신호동작전압이인가되어 Collector을통해 U7 9PIN에 H 신호가입력되면 U7 8PIN에서 L 신호가출력된다. SYNC 단자에 18~19V정도의통신신호발생하는데저항R35 불량 ( 단선 ) 으로전압이 0 가걸리면 PARALLEL신호로연결시적색점멸표시됨

More information

<BAB0C3B7322E20B7CEB5E5B8CABCBCBACEB0FAC1A62E687770>

<BAB0C3B7322E20B7CEB5E5B8CABCBCBACEB0FAC1A62E687770> 별첨 2 1. 상품개발 자율성 제고 1 보험상품 신고제도 개선 1 2 표준약관제도 전면 정비 4 보험산업 경쟁력 강화 로드맵 세부 과제 3 보험상품 설계기준 자율화 5 4 부당상품 제조 판매에 대한 사후적 책임 강화 8 5 상품심의위원회 신설 9 6 배타적 사용권 확대 10 7 경험위험률 조정주기 자율성 확대 12 8 위험률 조정한도 폐지 13 9 위험률 안전할증

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

농어촌여름휴가페스티벌(1-112)

농어촌여름휴가페스티벌(1-112) 좋아유~보은!여러가지 체험으로자연을누려보세요 보은군 농촌체험산업협의회 맑은물 맑은공기비단강숲마을 영동군 비단강 숲마을 보은군은 전국 어디서나 찾아오기 쉬우며, 비단강 숲마을은 자연 그대로가 마을 곳곳에 녹아 잘 보존된 깨끗한 자연환경과 천년의 신비를 간직 흐르는 곳이다. 푸르른 들녘과 알록달록 익어 가는 과일, 한 속리산과 법주사, 장안면 아흔아홉간집, 서원계

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

7장 조합 논리 회로

7장 조합 논리 회로 7 장조합논리회로 7. 조합논리회로해석 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 2 n 개의입력 2 진조합이가능 입력 조합논리회로 출력 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후, 논리회로의동작을해석. 조합회로해석과정 입 / 출력에대한변수의수와변수명을결정한다. n 개의입력변수에대해 2 n 개의 2 진조합에대한각게이트의출력부울함수를표시한다.

More information

슬라이드 1

슬라이드 1 회로이론 중간고사 -7.. 4 [] 다음소자에정현파전압을인가할때, -I 단자특성을써라 5 점 [] Elcric lap 는고주파에서동작하며에너지를수은증기에전달하여수은증기가 phsphrus 막을때려서빛을발산한다. 그림의회로에서, 가얼마일때최대전력을전달받는가? 등가회로는그림과같고, 는 lap 의크기와 phsphrus 의종류에의해결정된다. 3-4 - OU v 7 rad

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

Slide 1

Slide 1 Linear Technology Corporation Power Seminar LDO 2016. 10. 12. LTC Korea 영업강전도부장 010-8168-6852 jdkang@linear.com 기술박종만차장 010-2390-2843 jmpark@linear.com LDO 목차 1) LDO feedback 동작원리, 2) LDO 종류 3) LDO 특성

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770>

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 12, No. 6 pp. 2729-2734, 2011 DOI : 10.5762/KAIS.2011.12.6.2729 DC 정합회로를갖는능동 Replica LDO 레귤레이터 유인호 1, 방준호 1*, 유재영 2 1 전북대학교 IT 응용시스템공학과

More information

1

1 Right choice for ultimate yield LSIS strives to maximize customers' profit in gratitude of choosing us for your partner. Pulse Encoder Interface User Manual Read this manual carefully before installing,

More information

Microsoft PowerPoint - 제06장.ppt [호환 모드]

Microsoft PowerPoint - 제06장.ppt [호환 모드] 6 장부울함수의간소화 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법 논리회로를부울함수로표현한후부울함수를간소화

More information

EMCA-EC_STO_BES_E_ a_ k1

EMCA-EC_STO_BES_E_ a_ k1 EMCA-EC-67-... ko STO Safe torque off (STO) 8080389 2017-10a [8080384] EMCA-EC-S1-KO Tyco Electronics ( ). : :, : 1. / 2 Festo EMCA-EC-67-... 2017-10a ... 4... 4... 4 1... 6 1.1... 6 1.1.1 STO (Safe torque

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드]

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드] Opertl Ampler A µa7 tegrted rut h eght etg p 주요한단자. ertg put. ertg put. utput. pte pwer upply 5. egte pwer upply b The rrepdee betwee the rled p umber the tegrted rut d the de the pertl mpler. NC : et

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

Book1

Book1 2015김제농업기계박람회 참가업체목록 참가업체 정보 1 대동공업(주) 김준식, 곽상철 02-3470-7331 대구광역시 달성군 논공읍 논공중앙로 34길 35 트랙터 2 동양물산기업(주) 김희용 063-830-2047 서울 강남구 논현동 90 대용빌딩 트랙터 3 국제종합기계(주) 남영준 043-730-1464 충북 옥천군 옥천읍 서부로 49번지 트랙터 4 엘에스엠트론(주)

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

Ⅰ 개요 II 센서특징 III 복합센서 ROIC IV Voltage domain AFE V Time domain AFE 2

Ⅰ 개요 II 센서특징 III 복합센서 ROIC IV Voltage domain AFE V Time domain AFE 2 저전력복합센서용 아날로그프론트엔드 (AFE) 기술 2011. 04. 27. 센서인터페이스연구팀 / 융합부품 소재연구부문 1 Ⅰ 개요 II 센서특징 III 복합센서 ROIC IV Voltage domain AFE V Time domain AFE 2 1 개요 기술의정의 2 개이상의센서들이하나의모듈또는패키지형태로일체형으로제작된센서 가스센서 박막가스센서, 집적형가스센서

More information

1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (H

1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (H 신제품 안내 [HD-SDI 전송장치] 1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (HD : / Full HD: 130m) 다양한 방식으로

More information

상인버터 지능형전력변환실험실 ( IPCL ) Intelligent Power Conversion Laboratory 1

상인버터 지능형전력변환실험실 ( IPCL ) Intelligent Power Conversion Laboratory 1 6-5. 상인버터 상인버터 기능및동작원리 : ì ( 출력상전압,, ) Î í, - î ü ý þ { } ( 출력선간전압,, ) Î, 0, - ì ( 부하상전압,, ) Î í,, 0, -, - î n n n ü ý þ 상인버터 6 개의스위치로구성된 상인버터 à 상,, (0 위상차 ) S -S 4, S -S 6, S 5 -S 는서로 omplementry swithing

More information

Microsoft PowerPoint - chap04-연산자.pptx

Microsoft PowerPoint - chap04-연산자.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information