<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

Size: px
Start display at page:

Download "<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>"

Transcription

1 I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter )

2 . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는 3개의플립플롭이필요하다. 플립플롭을사용하고, toggle 동작을얻기위해모든 와 입력을 에연결하였으며, 또한 preset 단자도동작에영향을주지않기위하여 에연결하였다. 즉, 6진상향카운터이므로출력은 =,,,,, 까지카운트한다음 으로넘어가려는순간을디코더 (NAND 게이트 ) 로검출하여모든플립플롭을 clear하면된다. (LSB) C (MSB) J A J B J C C K A K B K C 2. JK 플립플롭을사용한비동기식 6진상향카운터설계플립플롭 6개를사용하며, 카운트가 6(= 2 ) 에도달한것을감지하여카운터를리셋시켜야한다. (LSB) C D E F (MSB) J J J J J J K K K K K K 3. 부터 2까지만카운트하고정지하는비동기식카운터회로플립플롭의출력 = 이된순간을포착하여 와 를 NAND 게이트입력으로연결하면 =된순간 NAND 게이트출력은 이되므로이를맨앞단의 와 입력으로연결하면카운트가진행하지않고정지한다. (LSB) C D (MSB) J A J B J C C J D D K A K B K C C K D D

3 4. 5 부터 까지계수하는과정을 회만수행하고정지하는회로 카운터의출력 = 에도달했을때, 첫번째플립플롭의, 입력에논리 값을넣으면카 운터는정지한다. 카운터를 5(= 2 ) 에서다시시작하려면 = 으로한후, 다시 로설정하면된다. (LSB) C D (MSB) J A J A B J C C J D D K A K B K C C K D D 5. 진비동기식카운터 주어진회로의타이밍도는다음과같으며, 카운터의모듈러스는 이다. C D 는클록펄스가들어올때마다토글된다. 2 는 =이고 가 일때토글되고, =이고 가 이면클리어된다. 3 는 가 일때토글된다. 4 는 또는 가 이고 가 일때클리어되며, = =이고 가 이면토글된다. 따라서주어진카운터는 부터 까지계수하는 BCD 카운터임을알수있다. 6. JK 플립플롭을사용한 2진비동기식프리셋카운터카운터가 에서 으로변하는순간 NOR 게이트의출력이 이되므로 LOAD선이활성화되어플립플롭의출력은 =으로초기화되어계수를반복한다. 따라서프리셋카운터는 에서 까지를반복하는비동기식 진프리셋카운터임을알수있다. LOAD C D J A J B J C C J D D K A K B K C K D 2

4 7. 카운터에서의지연시간및최대동작주파수결정 플립플롭당전파지연시간이약 ns이므로 개의플립플롭을통과하는데소요되는시간은약 ns 이다. 따라서최대지연시간은 ns 이며, 카운터가정상적으로동작할수있는최대주파수는약 MHz 이다. 8. 비동기식 BCD 카운터에서미사용상태분석 비동기식 BCD 카운터에서사용되지않는 6가지상태는 ~ 이다. 6가지상태에대한다음상태를정리하면다음과같다. 현재상태다음상태 비고 이므로모든플립플롭의출력이 이된다. 이므로모든플립플롭의출력이 이된다., 이므로다음카운트가진행된다., 이므로다음카운트가진행된다. 이므로모든플립플롭의출력이 이된다. 이므로모든플립플롭의출력이 이된다. 따라서 6 가지미사용상태를고려한상태도를그리면다음과같다. 따라서카운터는 self-start 가가능하다. 미사용상태. 상향 / 하향포화카운터 (up/down saturation counter) 설계 상향 / 하향포화카운터의상태여기표 입력 현재상태 다음상태 플립플롭상태 3

5 플립플롭입력함수 AB AB AB AB 회로도 J A A J B B K A K B. 동기식카운터및비동기식카운터의최대클록주파수 4비트 2진카운터인경우입력클록펄스사이에허용된총지연시간은플립플롭의 + AND 게이트의 와같다. 즉, 이므로동기식카운터의최대클록주파수는다음과같다. ma 4비트 2진비동기식카운터는 인플립플롭을 4개사용한다. 따라서 6진비동기식카운터의최대클록주파수는 5MHz이다. ma 5비트 2진카운터인경우 32진동기식카운터는플립플롭을 5개사용하지만최대클록주파수는 ma 이다. 32진비동기식카운터는플립플롭을 5개사용하므로최대클록주파수는 4MHz이다. ma 4

6 . 부터 63까지계수할수있는카운터설계 4비트동기식 2진카운터의출력 (,,, ) 은각각 2, 4, 8, 6인출력을얻을수있다. 따라서 4비트동기식 2진카운터 2개를이용하여 64진카운터를구성할수있는방법은 4진 6진 으로구성하거나 8진 8진 으로구성할수있다. C D C D Output C D C 6 6 D Output 2. 주파수분주 첫번째단은 5진카운터, 두번째단은 8진카운터, 마지막단은 진카운터이므로입력주파수가 MHz 이면출력주파수는 2.5KHz이다. 2 첫번째단은 5진카운터, 두번째단은 6진카운터이므로입력주파수가 MHz 이면출력주파수는 2.5KHz 이다. 3. 다음의주파수를얻기위한방법을일반적인블록선도로표시 5MHz 2 2.5MHz MHz 5MHz MHz 2.5MHz 3 2MHz 4 MHz MHz mod-5 C 2MHz MHz mod- C D MHz 5 5kHz 6 25kHz MHz mod- C D 5KHz MHz mod- C D 25KHz 5

7 7 62.5kHz mod- MHz C D 62.5KHz 8 4kHz mod- mod-5 mod-5 MHz C D C C 4KHz khz mod- mod- mod- MHz C D C D C D KHz khz mod- mod- mod- mod- MHz C D C D C D C D KHz 4. 플립플롭을사용하여 4 비트링카운터설계 회로도 ( 처음에 를 Low 로한후, 다시 High 로하여링카운터의초깃값을 으로한다.) C D J A J B J C C J D D K A K B K C K D INI 타이밍도 C D 6

8 5. 존슨카운터에서미사용상태증명 미사용상태 다음상태 예를들어, 미사용상태인 에서카운터가시작되는경우다음상태는 이되며, 다음에는미사용상태인 이된다. 또한 상태에서다음상태는미사용상태인 이되어서미사용상태를빠져나오지못하게된다. 미사용상태 6. 개의타이밍신호를발생시키는존슨카운터설계 (JK 플립플롭사용 ) 플립플롭 5개를사용하면 개의타이밍신호를발생하는존슨카운터를설계할수있다. 처음에 를 Low로한후, 다시 High로하여초깃값을 으로한다. 클록펄스 ( ) 를인가함에따라존슨카운터의상태는 으로변화한다. C D E J A J B J C C J D D J E E CLEAR K A K B K C K D K E E 7

9 7. 링카운터의출력주파수및듀티사이클 출력주파수 : 듀티사이클 : 8. 존슨카운터의출력주파수및듀티사이클 출력주파수 : 듀티사이클 :. 7진카운터설계 (74 IC 사용 ) 우선 출력 (2번핀 ) 을 (번핀 ) 에연결하여 진카운터를구성한다. 여기서 6번과 7번핀인 과 를 V로한다. 카운트가 (=7) 에도달하는순간, 출력을 clear하기위하여,, 를 3 입력 AND 게이트에연결하고, AND 게이트출력을 (2번핀 ) 과 (3번핀 ) 에연결한다. 카운터는클록펄스 ( ) 의하강에지에서동작한다. 4 Input A 74 C D () (2) R () R (2) 진카운터 (74 IC 사용 ) 우선 출력 (2번핀 ) 을 (번핀 ) 에연결하여 진카운터를구성한다. 여기서 6번과 7번핀인 과 를 V로한다. 74은 = =이면카운터출력은 으로리셋된다. 따라서 = 다음에 이되므로 와 를 과 에연결하면된다. 카운터는클록펄스 ( ) 의하강에지에서동작한다. 4 Input A 74 C D () (2) R () R (2)

10 2. 3진카운터설계 (743 IC 사용 ) 우선 출력 (2번핀 ) 을 (번핀 ) 에연결하여 6진카운터를구성한다. 카운트가진행하여출력단자인 =(=3) 에도달하는순간에출력을 clear 하기위해그림과같은디코더 (AND 게이트 ) 를연결한다. 카운터는클록펄스 ( ) 의하강에지에서동작한다. 4 Input A 743 C 2 8 R() R (2) D 진카운터 (743 IC 사용 ) 우선 출력 (2번핀 ) 을 (번핀 ) 에연결하여 6진카운터를구성한다. 743은 = =이면카운터출력은 으로리셋된다. 따라서 = 다음에 이되므로 와 를 과 에연결하면된다. 카운터는클록펄스 ( ) 의하강에지에서동작한다 Input A R() R (2) C D IC 3 개를사용하여 에서부터 까지를계수할수있는회로설계 의자리 의자리 의자리 Input A 74 C D 4 Input A 74 C D 4 Input A 74 C D R () R (2) R () R (2) R () R (2) R () R (2) R () R (2) R () R (2) IC 를사용하여분주기설계 74은내부에 2진카운터와 5진카운터가내장되어있으므로이를이용하여 Hz와 Hz를그림과같이구성하여만든다.

11 mod-2 mod-2 mod- mod- mod Input A 2 4 Input A 4 Input A 4 Input A 4 Input A D D D KHz KHz 2MHz MHz KHz mod- mod- mod Input A 4 Input A D D Hz Hz 74 4 Input A D Hz IC를사용하여 진카운터설계 ~ 8까지카운트하는 진카운터 : 746 은 ~ 까지카운트하는 BCD 카운터이다. ~ 8까지카운트하는 진카운터로설계하기위해 목표로하는최고카운트 + = ( = ) 이므로출력 와 를 NAND 게이트입력으로연결하고, NAND 게이트출력을 단자 (번핀 ) 에연결하면된다 ENP D C B A RCO 5 EN CLEAR LOAD P D 746 C ~ 까지카운트하는 진카운터 : 746은 부터 까지계수하는 BCD 카운터이다. -= 이므로프리세트입력 (6, 5, 4, 3번핀 ) 인 =로프리세트하고카운터가최고상태 에도달했을때, 프리세트된입력을다시 Load하기위하여 RCO 출력 (5번핀 ) 을반전시켜 단자 (번핀 ) 에연결한다. 따라서카운터의출력은 이로드되어 (= 2 ) 부터 (= 2 ) 까지카운트하는 진카운터가된다. 단자 (번핀 ) 는사용하지않으므로 에연결한다 D C B A ENP 5 RCO EN 2 P CLEAR 746 LOAD D C

12 26. 4부터 5까지계수하는 2진카운터 (746 IC 사용 ) 746은 부터 5까지계수하는 6진카운터이다. 카운터의초깃값이 이므로 로설정하기위해 는 V에접속하고, 는 를인가한다. 에서 으로변하는순간 가 에서 로변하므로 를 NO 게이트를통해 단자에연결하면된다. 따라서카운터의출력은 이로드되어 4(= 2 ) 부터 5(= 2 ) 까지카운트하는 2진카운터가된다 LOAD A B C D 5 RCO CLEAR D C 3 4 P ENP EN 개를사용하여 2진카운터설계클록펄스는두카운터에공통으로인가하고 # 카운터의 출력을 #2 카운터의 단자에연결하며, 단자는 에연결한다. 이러한경우 6개의클록펄스마다 # 카운터의 출력에서정 (+) 의펄스가발생되어 #2 카운터의카운트를 씩증가시킨다. 따라서 #2 카운터의 출력에는 6 6=256개의클록펄스마다정 (+) 의펄스가발생된다. 256보다낮은 2진카운터를구성하려면적당한데이터를프리세트하고 #2 카운터의 출력을반전시켜 단자에연결한다. 2분주인경우 =35이므로카운터의입력을 (=35) 를프리세트한다. LOAD 3 A B C D LOAD 3 A B C D 2 CLEAR 746 # RCO 5 2 CLEAR 746 #2 RCO 5 ENP P EN 7 C D ENP P EN C D 카운터응용 ( 자동차주차관리시스템 ) 자동차가주차장안으로들어가면카운터의값은 + 증가하고, 밖으로나가면 - 감소된다. 입력센서에서감지된펄스의개수는 4개이고, 출력센서에서감지된펄스의개수는 34이다. 따라서 24시간이경과한후카운터는 =42이다.

13 2. 카운터및주사위형태의 7- 세그먼트설계 플립플롭의출력을,, 라고하면다음과같은상태여기표를얻을수있다. 입력현재상태다음상태플립플롭입력 BC A BC A BC A 디스플레이는입력 에무관하기때문에디스플레이입력에대해서는 8 개의행만이있다. BC A BC A 2

14 BC A BC A D A D B A B a b c d e f g a b c d COM e f g e a D C C C C f d b g c GND 3

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다 디지털논리회로이론, 실습, 시뮬레이션 : 카운터 기출문제풀이 ehanbitet - 1 - 1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다카운터

More information

10 장카운터

10 장카운터 0 장카운터 u 리플카운터의회로연결및동작 : 비동기 ( 리플 ) 카운터 모든 JK(T) 입력은 로연결하여토글모드로동작 클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의

More information

Microsoft PowerPoint - 제10장.ppt [호환 모드]

Microsoft PowerPoint - 제10장.ppt [호환 모드] 10장카운터 비동기 ( 리플 ) 카운터 리플카운터의회로연결및동작 : 모든 JK(T) 입력은 1로연결하여토글모드로동작 클럭펄스는 LSB F/F 에만인가 -클럭펄스의 NGT/PGT 에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로 9 장동기순차회로 동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로분류. v v v 동기순차회로 : 클록펄스에의해서동작하는회로 비동기순차회로

More information

chap7_ohp.hwp

chap7_ohp.hwp 제 7 장카운터와레지스터 (Counters and Registers) 7-1 비동기( 리플) 카운터리플카운터의회로연결및동작 : 모든 JK 입력은 1로연결하여토글모드로동작클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화모든 clear 입력은동시에연결각

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

Microsoft PowerPoint - ch11_reg.pptx

Microsoft PowerPoint - ch11_reg.pptx 11 장레지스터 레지스터 (egister) 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

Microsoft PowerPoint - DSD03_verilog3a.pptx

Microsoft PowerPoint - DSD03_verilog3a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 조합논리회로의형태와설계에사용되는 Verilog 구문 조합논리회로의형태 조합논리회로설계에사용되는 Verilog 구문 논리합성이지원되지않는 Verilog 구문 논리게이트 Multiplexer

More information

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로 11 장레지스터 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부 2

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수 마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수있는 TTL-호환출력 < 핀구성 > 시그네틱스 (Signetics) 사의 NE555, SA555,

More information

v6.hwp

v6.hwp 93 6 장순차회로모델링 이장에서는앞에서배운여러가지모델링방법에대한지식을바탕으로많이사용되는기본적인순차회로블록들의모델링과순차회로설계방법에대해서배운다. 6. 레지스터 레지스터는 n-bit 데이터를저장하는기억소자이다. 데이터의저장은클럭에동기가되어이루어진다. 그림 6.은전형적인레지스터의블록도와동작표이다. register D D D2 D3 Load Reset Q Q Q2

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

Microsoft PowerPoint - 부호기와 복호기.PPT

Microsoft PowerPoint - 부호기와 복호기.PPT 논리회로실험부호기와복호기 2005. 5. 3. 부호기와복호기란? 이론실험내용 개요 Encoder & Decoder 서로다른부호간의변환에사용되는것으로디지털신호를압축하거나전송시깨지지않도록바꾸는등여러가지목적에의해부호화라는장치와부호화되어전송되어온신호를다시원래의디지털신호로복호하는장치들을말한다. CODEC(enCOder DECoder) 이라고도한다. 기타 10진 to

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

¾Ë·¹¸£±âÁöħ¼�1-ÃÖÁ¾

¾Ë·¹¸£±âÁöħ¼�1-ÃÖÁ¾ Chapter 1 Chapter 1 Chapter 1 Chapter 2 Chapter 2 Chapter 2 Chapter 2 Chapter 2 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 4 Chapter 4

More information

01....b74........62

01....b74........62 4 5 CHAPTER 1 CHAPTER 2 CHAPTER 3 6 CHAPTER 4 CHAPTER 5 CHAPTER 6 7 1 CHAPTER 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50

More information

(291)본문7

(291)본문7 2 Chapter 46 47 Chapter 2. 48 49 Chapter 2. 50 51 Chapter 2. 52 53 54 55 Chapter 2. 56 57 Chapter 2. 58 59 Chapter 2. 60 61 62 63 Chapter 2. 64 65 Chapter 2. 66 67 Chapter 2. 68 69 Chapter 2. 70 71 Chapter

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators Crystal-Controlled Oscillators 수정발진기 (Crystal-Controlled Oscillators): 안정되고정확한발진기 압전효과 (Piezoelectric effects): 기계적충격에의해서진동하는주파수에서전압을발생 교류전압이인가하면주파수로진동 압전효과물질 : 수정 - 매우높은 Q 값 ( 수천 )

More information

슬라이드 1

슬라이드 1 한경대학교전기전자제어공학과 유동상교수 실험목적 - 회로의주파수응답및필터에대해이해 강의내용 - 주파수응답과필터 - 저주파통과필터 - 고주파통과필터 오늘의실험 - Multisim을이용한시뮬레이션 - 브레드보드에회로구성을통한실험및계측 이득 (Gain) : 입력정현파의진폭에대한출력정현파의진폭의비 gain output amplitude input amplitude

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

인터럽트 (Interrupt) 범용입출력포트에서입출력의내용을처리하기위해매번입출력을요구하는플래그를검사하는일 (Pollong) 에대하여마이크로컨트롤러에게는상당한시간을소비하게만든다. 인터럽트란 CPU가현재처리하고있는일보다급하게처리해야할사건이발생했을때, 현재수행중인일을중단하고

인터럽트 (Interrupt) 범용입출력포트에서입출력의내용을처리하기위해매번입출력을요구하는플래그를검사하는일 (Pollong) 에대하여마이크로컨트롤러에게는상당한시간을소비하게만든다. 인터럽트란 CPU가현재처리하고있는일보다급하게처리해야할사건이발생했을때, 현재수행중인일을중단하고 CHAPTER 7 인터럽트 가. 레지스터구조이해하기 나. 엔코더제어하기 인터럽트 (Interrupt) 범용입출력포트에서입출력의내용을처리하기위해매번입출력을요구하는플래그를검사하는일 (Pollong) 에대하여마이크로컨트롤러에게는상당한시간을소비하게만든다. 인터럽트란 CPU가현재처리하고있는일보다급하게처리해야할사건이발생했을때, 현재수행중인일을중단하고급한일을처리한후에본래의일을다시수행하는것을말한다.

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

초급과정 목차

초급과정 목차 제목 S7 PLC 소개.... 2 STEP 7 패키지구성... 3 Automation License Manager... 4 Automation License Manager 화면설명... 5 License 설치 / 백업... 6 P.C PLC 연결...... 7 인터페이스설정.... 8 P.C Adapter(RS-232C, USB) 인터페이스설정... 9 P.C

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

딥러닝 첫걸음

딥러닝 첫걸음 딥러닝첫걸음 4. 신경망과분류 (MultiClass) 다범주분류신경망 Categorization( 분류 ): 예측대상 = 범주 이진분류 : 예측대상범주가 2 가지인경우 출력층 node 1 개다층신경망분석 (3 장의내용 ) 다범주분류 : 예측대상범주가 3 가지이상인경우 출력층 node 2 개이상다층신경망분석 비용함수 : Softmax 함수사용 다범주분류신경망

More information

농어촌여름휴가페스티벌(1-112)

농어촌여름휴가페스티벌(1-112) 좋아유~보은!여러가지 체험으로자연을누려보세요 보은군 농촌체험산업협의회 맑은물 맑은공기비단강숲마을 영동군 비단강 숲마을 보은군은 전국 어디서나 찾아오기 쉬우며, 비단강 숲마을은 자연 그대로가 마을 곳곳에 녹아 잘 보존된 깨끗한 자연환경과 천년의 신비를 간직 흐르는 곳이다. 푸르른 들녘과 알록달록 익어 가는 과일, 한 속리산과 법주사, 장안면 아흔아홉간집, 서원계

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

낙랑군

낙랑군 낙랑군( 樂 浪 郡 ) 조선현( 朝 鮮 縣 )의 위치 -낙랑군 조선현의 평양설 및 대동강설 비판- 이덕일 (한가람역사문화연구소 소장) 1. 머리말 낙랑군의 위치는 오랜 쟁점이었고, 현재까지도 한 중 일 사이의 역사현안이기도 하다. 낙랑군 의 위치에 따라서 동북아 고대사의 강역이 달라지기 때문이다. 낙랑군의 위치 중에서도 가장 중요한 것은 낙랑군의 치소( 治

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

DIB-100_K(90x120)

DIB-100_K(90x120) Operation Manual 사용설명서 Direct Box * 본 제품을 사용하기 전에 반드시 방송방식 및 전원접압을 확인하여 사용하시기 바랍니다. MADE IN KOREA 2009. 7 124447 사용하시기 전에 사용하시기 전에 본 기기의 성능을 충분히 발휘시키기 위해 본 설명서를 처음부터 끝까지 잘 읽으시고 올바른 사용법으로 오래도록 Inter-M 제품을

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

- 1 -

- 1 - - 1 - - 2 - 교류전원포트 직류전원포트 함체포트접지포트시험대상기기신호 / 제어포트 ( 포트의설명 ) - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - Ω μ - 9 - - 10 - AAN - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - 소방용품 전자파장해방지기준 전자파내성기준 비고 누전경보기 ( 수신부 ) 적용

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

6_D5Y,D5W.indd

6_D5Y,D5W.indd 5Y/5W Series 판넬취부형 5 igit 디스플레이유닛 기존제품 (Y, W) 에기능을업그레이드한디스플레이유닛 특징 다양한입력사양에대응 : Stic 병렬 (Parallel) 입력, ynamic 병렬 (Parallel) 입력, /5 it, 직렬 (Serial)(6/0/5 it) 입력방식소수점, Minus 부호표시방식선택기능 : 에의한표시방식과외부 P 단자와

More information

KAERITR hwp

KAERITR hwp KAERI/TR-3143/2006 유도초음파를이용한직선배관의 원거리결함탐지실험 Experiments of Long-range Inspection Method in Straight Pipes using Ultrasonic Guided Waves 2006. 2 한국원자력연구소 TR-3143-2006- 수정요청부분.hwp 2006-03-13 오전 9:59 2 쪽중

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

실사구시학파의 실증적 학풍이 일어나므로 서구적인 과학사상의 유입을 본 것 등이 인식 의 대상이 될 것이다. 그러나 이조 봉건사회 최종의 절대적 왕권주의자 대원군에 의하여 그 싹은 잘리고 말았다. 따라서 다단한 전기가 될 근대적 개방에 의하여 재건하려던 서구적 교육 즉

실사구시학파의 실증적 학풍이 일어나므로 서구적인 과학사상의 유입을 본 것 등이 인식 의 대상이 될 것이다. 그러나 이조 봉건사회 최종의 절대적 왕권주의자 대원군에 의하여 그 싹은 잘리고 말았다. 따라서 다단한 전기가 될 근대적 개방에 의하여 재건하려던 서구적 교육 즉 朝 鮮 科 學 史 JB409.11-1 洪 以 燮 (홍이섭) 著 - 東 京 : 三 省 堂 出 版 ( 株 ) 1944년( 昭 和 19) [서론] 一. 과학사의 방법 인류의 행복의 증진은 과학과 자연과의 투쟁에 관련된다. 국가의 국방적 건설과 국토 계획 이야말로 국민생활의 최고의 지표인데 그 기초적 문제는 과학에 있다. 그러므로 현대 인류생 활의 기술적 문제로서의

More information

ATmega128

ATmega128 ATmega128 외부인터럽트실습 Prof. Jae Young Choi ( 최재영교수 ) (2015 Spring) Prof. Jae Young Choi 외부인터럽트실험 외부인터럽트를사용하기위해관렦레지스터를설정 일반적으로 I/O 포트에대한설정이끝난후에외부인터럽트나타이머 / 카운터설정 PE4~7 번까지 4 개의외부인터럽트 INT4~INT7 까지사용 외부인터럽트사용법요약

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

adfasdfasfdasfasfadf

adfasdfasfdasfasfadf C 4.5 Source code Pt.3 ISL / 강한솔 2019-04-10 Index Tree structure Build.h Tree.h St-thresh.h 2 Tree structure *Concpets : Node, Branch, Leaf, Subtree, Attribute, Attribute Value, Class Play, Don't Play.

More information

Microsoft Word - Encoder Trigger Controller 사양서_ _.doc

Microsoft Word - Encoder Trigger Controller 사양서_ _.doc Encoder Trigger Controller 사양서 ( V2.1 ) (CTS-TRGE-AA01) 2014. 06. 10 1. 제품개요 본제품은 Encoder 신호를입력으로받아일정한 Counting 주기로 Pulse 신호를만들고, 다양한종류의신호레벨로변환하여출력할수있으며, 각신호종류에따라최대 8 채널의 Trigger 신호를출력할수있습니다. 각각의채널은독립적으로설정이가능하며,

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

............ ......

............ ...... 3 N.P 하모닉드라이브 의 작동원리 서큘러스플라인 웨이브제네레이터 플렉스플라인 플렉스플라인은 웨이브제네레 이터에 의해 타원형상으로 탄 성변형되어 이로인해 타원의 장축부분에서는 서큘러스플라 인과 이가 맞물리고 단축부분 에서는 이가 완전히 떨어진 상태로

More information

<32B9AEC1A6C1F641335FC0FCB0F8415FC0FCB1E2A1A4C0FCC0DAA1A4C5EBBDC55F F E687770>

<32B9AEC1A6C1F641335FC0FCB0F8415FC0FCB1E2A1A4C0FCC0DAA1A4C5EBBDC55F F E687770> 28 학년도중등학교교사임용후보자선정경쟁시험 전기 전자 통신 수험번호 : ( ) 성명 : ( ) 제 차시험 2 교시전공 A 4 문항 4 점시험시간 9 분 문제지전체면수가맞는지확인하시오. 모든문항에는배점이표시되어있습니다. 2. 그림은 2개의독립전원이포함된회로이다. V R [V] 가되도록 R[Ω] 의값을구하여쓰시오. [2점]. 다음은 25 개정교육과정 ( 교육부고시제25-8

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 명령어의수행과제어 명령어에대해서학습하고, 명령어가수행되는과정에대하여학습한다. 1. 명령어의개요 2. 주소지정법 3. CPU 구조 4. 명령어의수행과제어 1. 명령 (Instruction) 개요 1.1 명령의구조 (1) OP Code(Operation Code) 1) 동작을지시 ( 동작부, 연산부, 명령부 ) 2) 명령의형식이나주소부의자료종류를지정. 3) 실행시스템의명령어개수와관련.

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Chapter 06 반복문 01 반복문의필요성 02 for문 03 while문 04 do~while문 05 기타제어문 반복문의의미와필요성을이해한다. 대표적인반복문인 for 문, while 문, do~while 문의작성법을 알아본다. 1.1 반복문의필요성 반복문 동일한내용을반복하거나일정한규칙으로반복하는일을수행할때사용 프로그램을좀더간결하고실제적으로작성할수있음.

More information

03.00 R&S ESMB ITU 9kHz 3GHz ESMB ITU

03.00 R&S ESMB ITU 9kHz 3GHz ESMB ITU 03.00 R&S ESMB 2003 9 ITU 9kHz 3GHz ESMB ITU ESMB ITU-R ESMB ESMB ITU-R ITU-R SM377 ITU-R SM378 ITU-R SM328 ITU-R SM182 PC ITU-R SM328 ESMB 1000 CW AM SSB FM ESMB 19 1/2 EMSB CW AM LSB USB PULSE FM PM

More information

(19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) (45) 공고일자 2014년07월10일 (11) 등록번호 10-1418046 (24) 등록일자 2014년07월03일 (51) 국제특허분류 (Int. Cl.) H03K 5/156 (2006.01) H03K 7/08 (2006.01) (21) 출원번호 10-2012-0148658 (22) 출원일자 2012

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

7장 조합 논리 회로

7장 조합 논리 회로 7 장조합논리회로 7. 조합논리회로해석 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 2 n 개의입력 2 진조합이가능 입력 조합논리회로 출력 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후, 논리회로의동작을해석. 조합회로해석과정 입 / 출력에대한변수의수와변수명을결정한다. n 개의입력변수에대해 2 n 개의 2 진조합에대한각게이트의출력부울함수를표시한다.

More information

Microsoft PowerPoint - chap06-2pointer.ppt

Microsoft PowerPoint - chap06-2pointer.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-2 참고자료 포인터 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 포인터의정의와사용 변수를선언하는것은메모리에기억공간을할당하는것이며할당된이후에는변수명으로그기억공간을사용한다. 할당된기억공간을사용하는방법에는변수명외에메모리의실제주소값을사용하는것이다.

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

AVR ATmega128 소개 마이크로컨트롤러 AVR ATmega128 저자 : 이상설 소속 : 원광대학교전기 정보통신공학부

AVR ATmega128 소개 마이크로컨트롤러 AVR ATmega128 저자 : 이상설 소속 : 원광대학교전기 정보통신공학부 AVR ATmega128 소개 마이크로컨트롤러 AVR ATmega128 저자 : 이상설 (slee@wku.ac.kr) 소속 : 원광대학교전기 정보통신공학부 학습목표 2/39 다양한 AVR 패밀리와소자특징을알아보고, 제어환경에따라 AVR 소자를선택하여개발할수있는융통성을이해할수있다. ATmega128 내부구조에서메모리공간, I/O 공간의프로그램과데이터를처리하기위한특수레지스터,

More information