v6.hwp

Size: px
Start display at page:

Download "v6.hwp"

Transcription

1 93 6 장순차회로모델링 이장에서는앞에서배운여러가지모델링방법에대한지식을바탕으로많이사용되는기본적인순차회로블록들의모델링과순차회로설계방법에대해서배운다. 6. 레지스터 레지스터는 n-bit 데이터를저장하는기억소자이다. 데이터의저장은클럭에동기가되어이루어진다. 그림 6.은전형적인레지스터의블록도와동작표이다. register D D D2 D3 Load Reset Q Q Q2 Q3 Reset Load CLK x x Qi Qi Di 그림 6. 4 비트레지스터 이레지스터는클럭이외에두개의제어신호를가지고있다. load는동기식제어신호로서클럭의상승에지에서이신호가 이면 4비트데이터 D가 4비트출력 Q에저장된다. reset은비동기제어신호로서이신호가 이면출력은클럭과관계없이즉시 이된다. 나머지경우에는출력은그대로유지된다. 예 6.은이레지스터에대한동작적모델이다. 예 6. reset과 load 제어입력을가진 4비트레지스터 module register (qout, data, load, reset, clock); output [3:] qout; input [3:] data; input load, reset, clock; reg [3:] qout; (posedge clock or negedge reset) begin

2 94 if (~reset) qout <= 4'b; else if (load) qout <= data; module // reset // load // 나머지경우에는 hold 여기에서 reset은 일때에동작하는비동기제어신호이므로감지목록에 negedge reset 이포함된다. load는동기식제어신호이므로감지목록에는포함되지않는다. 만약에 reset이 일때에동작하는비동기제어신호라면 posedge reset이감지목록에포함된다. 쉬프트레지스터 4장에서 4비트쉬프트레지스터에대한모델을이미보았다. 예 6.2는비동기 Reset 제어신호를포함한 4비트쉬프트레지스터의모델이다. 여기에서할당문은각플립플롭의병렬동작을나타내기위해서비블록킹할당문을사용하였다. 예 6.2 쉬프트레지스터 module shiftreg(sout, sin, reset, clock); output sout; // serial out input sin, reset, clock; // serial in 등 reg [3: ] qout; assign sout = qout[]; (negedge reset or posedge clock) begin if (reset == 'b) qout <= 4'b; // reset else begin qout[3] <= sin; // shift right qout[2] <= qout[3]; qout[] <= qout[2]; qout[] <= qout[]; module 예 6.2의모델에서 4비트의데이터의이동은동일한동작을동시에수행한다. 이러한동작은벡터자료형및벡터연산을사용하면더욱간결하게기술할수있다. 예 6.3

3 95 은예 6.2 를벡터자료형과연산을사용하여기술한것이다. 예 6.3 쉬프트레지스터 - 벡터자료형사용 module shiftreg(sout, sin, reset, clock); output sout; // serial out input sin, reset, clock; // serial in reg [3: ] qout; assign sout = qout[]; (negedge reset or posedge clock) begin if (reset == 'b) qout <= 4'b; // reset else qout <= { sin, qout[3:] }; // shift left module 양방향쉬프트레지스터예 6.3의쉬프트레지스터는레지스터값이한자리씩아래자리로이동한다. 우리가비트를표기할때에아래자리가오른쪽에위치하므로이동작은오른쪽쉬프트동작이된다. 왼쪽쉬프트동작은값이한자리씩윗자리로이동하는것이다. 양방향쉬프트레지스터는오른쪽쉬프트와왼쪽쉬프트동작을선택적으로할수있는레지스터를말한다. 그림 6.2는병렬로드기능을갖는양방향쉬프트레지스터의블록도와동작표이다. 2 LSBin D D D2 D3 Q Q Q2 Q3 MSBin Sel Reset Reset Sel CLK xx 동작 reset 변화없음 shift right shift left 병렬 load 그림 6.2 양방향쉬프트레지스터 이쉬프트레지스터에서제어신호는모두동기식제어신호로서클럭의상승에지에서동작을한다. reset은출력을 으로만들며, sel은 2비트동기식제어신호로서값에따라서왼쪽쉬프트, 오른쪽쉬프트, 병렬로드및변화없음동작을수행한다. 오른쪽

4 96 쉬프트동작에서는최상위비트가 MSBin 입력값으로채워지며, 왼쪽쉬프트동작에서는최하위비트가 LSBin 입력값으로채워진다. 예 6.4는그림 6.2의양방향쉬프트레지스터에대한기술이다. 예 6.4 병렬로드기능이있는양방향쉬프트레지스터 module bishiftreg(qout, data, MSBin, LSBin, sel, reset, clock); output [3: ] qout; // parallel out output MSBout, LSBout; // serial out input [3:] data; // parallel in input MSBin, LSBin; // serial in input [:] sel; // function select input clock, reset; reg [3:] qout; clock) begin if (reset==) qout <= ; else begin case (sel) : qout <= qout; // hold : qout <= {MSBin, qout[3:]}; // shift right 2: qout <= {qout[2:], LSBin}; // shift left 3: qout <= data; // parallel Load case module 예 6.4에서선택신호에따른동작을 case 문을사용하여기술하였다. 표의동작을그대로기술하였기때문에 qout <= qout 문장이포함된 sel 신호가 인경우를포함하였으나출력의변화가없는경우에는기술하지않아도되므로 sel 신호가 인경우는포함하지않아도된다. 6.2 카운터 카운터는매클럭마다정해진순서에따라서상태값이변하는레지스터를말한다. 카운터는대개값이증가또는감소하는순서로변한다. 카운터의모든상태가클럭에

5 97 가되어변하면동기식카운터라고하고그렇지않으면비동기식카운터라고한다. 그림 6.3은 4비트동기식 2진카운터의블록도와동작표이다. counter en reset Q Q Q2 Q3 reset enable CLK x x Qi 변화없음증가 그림 비트동기식 2 진카운터 이카운터는 부터 까지의 6개의상태를가지며순서대로변한다. 의다음상태는 이된다. 두개의제어신호가있는데 reset은출력을 으로만드는비동기식제어신호이고 enable은동기식제어신호로서클럭의상승에지에서이신호가 이면카운터동작을수행하고그렇지않으면출력에변화가없다. 예 6.5는이카운터에대한동작적모델이다. 예 6.5 덧셈을사용한동기식 2진카운터 module counter(qout, enable, reset, clock); output [3:] qout; input enable, reset, clock; reg [3:] qout; reset or posedge clock) begin if (~reset) qout <= ; // 비동기 reset else if (enable) qout <= qout + ; // 증가 module 예 6.5에서카운터상태가증가하는동작을단순히 을더하는것으로기술하였다. 출력이 4비트이어서캐리가무시되므로 에서 으로바뀌는동작도 을더하는것으로기술할수있다. 이모델은합성도구에의해서미리설계된카운터를사용하여합성될수있다. 동기식카운터의실제구현은덧셈연산을사용하지않고논리연산만으로구현된다. 동기식카운터의각자리는아래자리가전부 일때에바뀐다. 예를들어서

6 98 과 의다음상태가각각 과 이며 bit 3은 bit 2부터 bit 까지가모두 일때에다음상태에서값이바뀐다. 예 6.6은이러한동작을기술한것이다. 예 6.6 동기식 2진카운터 module counter2(qout, enable, reset, clock); output [3:] qout; input enable, reset, clock; reg [3:] qout; reset or posedge clock) begin if (~reset) qout <= ; else if (enable) begin qout[] <= ~qout[]; if (qout[] == 'b) qout[] <= ~qout[]; if (qout[:] == 2'b) qout[2] <= ~qout[2]; if (qout[2:] == 3'b) qout[3] <= ~qout[3]; module 증감카운터상태가증가또는감소될수있는기능을모두가지고있는카운터를증감카운터라고한다. 그림 6.4는증감카운터의블록도와동작표이며예 6.6은이증감카운터의동작을기술한것이다. up down reset Q Q Q2 Q3 reset up down CLK Qi x x x 변화없음감소증가변화없음 그림 6.4 증감카운터

7 99 예 6.6 증감카운터 module updown_counter(qout, up_dn, reset, clock); output [3:] qout; input [:] up_dn; input reset, clock; reg [3:] qout; (negedge clock or negedge reset) begin if (reset == ) qout <= 4'b; // reset else if (up_dn == 2'b) qout <= qout + ; // up else if (up_dn == 2'b) qout <= qout - ; // down module 여기에서감소동작은뺄셈을사용하여기술하였으며두제어신호 up과 down은 2비트신호 up_dn으로함께나타내었다. 일반적으로카운터는병렬로드기능을포함한것이많이사용되며그림 6.5는이러한병렬로드증감카운터의블록도와동작표이다. counter D D D2 D3 load en up reset Q Q Q2 Q3 reset load enable up CLK Qi x x x x x x 변화없음감소증가병렬로드 그림 6.5 병렬로드증감카운터 이카운터에서의 reset 신호는동기식동작을한다. 예 6.7은이카운터의동작을기술한것이다. 그림 6.5의동작표에서제어신호가 reset, load, enable, up의순서로동작이적용되므로예 6.7에서제어신호를이순서로기술하였다.

8 예 6.7 병렬로드증감카운터 module updown_counter2(qout, data, load, up, enable, reset, clock); output [3:] qout; input load, up, enable, reset, clock; input [3:] data; reg [3:] qout; clock) begin if (reset) qout <= 4'b; else if (load) qout <= data; else if (enable) begin if (up) qout <= qout + ; else qout <= qout - ; module // reset // load // enable // up // down 모듈로 N 카운터상태의수가 N개인카운터를모듈로 N 카운터라고하며대개 부터 N-까지의 N 개의상태를갖는다. 상태가 부터 9까지를갖는카운터를 진카운터라고한다. 예 6.8은동기식 reset 신호를갖는 진카운터를기술한것이다. 예 6.8 동기식 진카운터 module counter(qout, enable, reset, clock); output [3:] qout; input enable, reset, clock; reg [3:] qout; clock) begin if (~reset) qout <= ; else if (enable) begin if (qout==9) qout <= ; else qout <= qout + ; module

9 4비트이진카운터를사용한여러가지카운터의구현미리설계된범용카운터를사용하여다양한카운터를설계할수있다. 그림 6.6은터미널카운트출력 TC와동기식 reset 제어신호를갖는 4비트이진카운터의블록도이다. counter en reset Q Q Q2 Q3 TC 그림 6.6 터미널카운터출력을가진이진카운터 터미널카운트출력 TC는 enable이 일때에이진카운터의마지막상태에서 이된다. TC 출력은이진카운터를직렬로연결하여크기가큰카운터를구성하는데이용될수있으며, 동기식 reset 제어신호는모듈로 N 카운터를구성하는데이용될수있다. 예 6.9는그림 6.6의카운터에대한기술이다. 예 6.9 캐리출력을갖는 4비트이진카운터 // counter with synchronous reset module counter4(qout, tc, enable, reset, clock); output [3:] qout; output tc; input enable, reset, clock; reg [3:] qout; clock) begin if (~reset) qout <= ; else if (enable) qout <= qout + ; assign tc = (qout == 4'b) & enable; module 예 6. 은예 6.9 의 4 비트이진카운터를사용하여 진카운터를구성한예이다. 상

10 2 태가 9일때 reset 신호가 이되도록하여다음상태가 이되도록하였다. 그리고출력 tc를사용하지않으므로이에해당하는부분은빈칸으로두었다. 예 6. 이진카운터를사용한 진카운터 // modulo- counter module counter(qout, tc, enable, reset, clock); output [3:] qout; input enable, reset, clock; wire rst; counter4 u (qout,,enable, ~rst, clock); assign rst = ~reset (qout == 9); module 여러개의 4비트이진카운터를사용하여크기가큰이진카운터를구현할수있다. 그림 6.7은 4개의 4비트이진카운터를사용하여 6비트카운터를구현한것으로서높은자리의카운터는아래의모든자리의출력이 이되어야카운트동작을수행하므로이를위해서터미널카운트출력 tc는높은자리의이진카운터의 enable 신호로사용되었다. q-q3 q4-q7 q8-q q2-q5 enable clock reset en q-q3 tc reset en q-q3 tc reset en q-q3 tc reset en q-q3 tc reset tc 그림 비트카운터 예 6.은 6비트카운터를 4비트이진카운터를사용한구조적모델링으로기술한것으로서내부신호 enable, enable2, enable3는카운터의 tc 출력을다음카운터의 enable 입력에연결하기위해서사용되었다.

11 3 예 6. 4비트이진카운터를사용한 6비트카운터 // modulo- counter module counter6(qout, tc, enable, reset, clock); output [5:] qout; input enable, reset, clock; wire enable, enable2, enable3; counter4 u (qout[3:],enable, enable, reset, clock); counter4 u2 (qout[7:4],enable2,enable, reset, clock); counter4 u3 (qout[:8],enable3,enable2, reset, clock); counter4 u4 (qout[5:2],tc,enable3, reset, clock); module 6.3 순차회로와상태도 순차회로의설계현재의입력에의해서출력이정해지는조합회로와는달리순차회로의출력은현재의입력뿐만아니라내부의상태에의해서정해진다. 순차회로의상태는현재상태와현재입력에따라서다음상태가정해진다. 이처럼순차회로의상태는입력의변화에따라서계속적으로영향을받으므로과거의입력들을반영한것이라고할수있다. input output 조합회로 next state memory present state 그림 6.8 순차회로의구성 순차회로는상태가변화되는시점이클럭과동기가되는동기식순차회로와그렇지않은비동기식순차회로로구분이된다. 비동기식순차회로는설계하기가어렵고여러가지문제점을가지고있기때문에대부분의순차회로는동기식으로설계된다. 앞으로소개하는순차회로는동기식순차회로에국한하여다루기로한다. 동기식순차회로에서상태의변화는클럭의특정에지에서발생한다. 현재상태의

12 4 변화는다음상태를변화시킬수있으며클럭의에지에서다음상태값이현재상태로된다. 메모리는대개에지트리거플립플롭을사용하는데연속적인상태변화를처리하기위해서는클럭주기 T CLK 는다음의조건을만족해야한다. T CLK > t p + t comb + t su 여기서, tp와 t su 는플립플롭출력의최대지연시간과플립플롭입력의최소셋업시간이고 t comb 는조합회로의입출력사이의최대지연시간이다. 밀리순차회로와무어순차회로순차회로는밀리 (Mealy) 회로와무어 (Moore) 회로의두가지형태가있다. 밀리회로는순차회로의출력이현재상태와입력에의해서정해지며무어회로는출력이현재상태에의해서만결정된다. 같은동작을수행하는회로를무어회로로설계할경우에밀리회로에비해서상태수가많아지지만조합회로부분은간단해진다. 그리고출력이상태에의해서만정해지므로클럭에동기가되어변하여글리치 (glitch) 가없게된다. 밀리회로의출력은입력이클럭과비동기일경우에출력도클럭과비동기로변할수있으며입력이클럭에동기되는신호일지라고입력과상태가동시에변하는경우에입력과상태변화와의미세한시차로인하여글리치가여전히발생할수있다. 그림 6.9는밀리회로와무어회로의구성도이다. Mealy 회로 input next state 조합회로 state output 조합회로 output clock input next state 조합회로 Moore 회로 state output 조합회로 output clock 그림 6.9 밀리회로와무어회로

13 5 상태도순차회로의동작은타이밍도, 상태도 (state diagram), 상태표 (state table), 알고리즘챠트등에의해서나타낼수있다. 동작의외형적인기술은타이밍도를많이사용하지만설계를위해서는상태도, 상태표, 또는알고리즘챠트로표현되어야한다. 이세가지방법은서로연관이되어있으며여기에서는상태도만다루기로한다. 상태도의기본표기법은그림 6.와같이상태를원으로표시하고현재상태에서다음상태로의전이는입력이표시된화살표로상태들을연결하여표시한다. 그리고출력은밀리회로에서는화살표에표시한입력과함께, 무어회로에서는원안의상태와함께표시한다. 그림 6.은밀리회로와무어회로의상태도의예이다. / Mealy 회로 / / Moore 회로 그림 6. 상태도의기본표기법 그림 6. 상태도의예 (a) 밀리회로 (b) 무어회로 상태도에대한모델링순차회로에대한 Verilog 모델링은크게상태레지스터, 다음상태조합회로, 출력조합회로의세부분으로구성된다. 예 6.2는그림 6. (a) 의상태도에대한 Verilog 모델링으로서다음상태조합회로와출력조합회로부분을함께기술한예이다.

14 6 예 6.2 순차회로의 Verilog 모델링 - 다음상태와출력을함께기술 module mealy(out, state, in, reset, clock); output out; output [:] state; input in, reset, clock; reg out; reg [:] state, nextstate; clock or negedge reset) begin if (~reset) state <= 2'b; else state <= nextstate; or in) begin out = ; case (state) 2'b: if (in==) nextstate = 2'b; else nextstate = 2'b; 2'b: if (in==) begin nextstate = 2'b; out = ; else nextstate = 2'b; 2'b: if (in==) begin nextstate = 2'b; out = ; else nextstate = 2'b; 2'b: if (in==) begin nextstate = 2'b; out = ; else nextstate = 2'b; default: nextstate = 2'bxx; case module 예 6.2에서상태레지스터는클럭의상승에지에서다음상태가현재상태로저장되며 reset 신호가 일때에초기상태값이지정된다. case문을사용하여상태와입력에따라서다음상태와출력이결정되는동작을기술하였는데출력은처음에 으로초기화하고 case문에서는출력이 이되는경우만기술하였다. 예 6.3은그림 6. (a) 의상태도를다음상태조합회로와출력조합회로를분리하여기술한예이다. 다음상태조합회로는 case문을사용하여기술하였으며출력조합회로는 assign을사용한연속할당문으로기술하였다.

15 7 예 6.3 밀리순차회로의 Verilog 모델링 - 다음상태와출력을분리하여기술 module mealy2(out, state, in, reset, clock); output out; output [:] state; input in, reset, clock; reg [:] state, nextstate; clock or negedge reset) begin if (~reset) state <= 2'b; else state <= nextstate; or in) begin case (state) 2'b: if (in==) nextstate = 2'b; else nextstate = 2'b; 2'b: if (in==) nextstate = 2'b; else nextstate = 2'b; 2'b: if (in==) nextstate = 2'b; else nextstate = 2'b; 2'b: if (in==) nextstate = 2'b; else nextstate = 2'b; default: nextstate = 2'bxx; case assign out = (state==2'b)&&(in==) (state==2'b) && (in==) (state==2'b)&&(in==); module 그림 6. (b) 의무어회로상태도와이와같이기술할수있는데출력에대한식이입력신호가없이상태만으로표시된다.

16 8 6.4 맨체스터코드변환기 데이터를직렬전송을할때에사용되는코딩방식에는다음과같은네가지방식이있다. NRZ (non-return-to-zero) 코드 : 입력비트값을그대로전송하는방식이다. 같은값이연속적으로전송될경우에코드의변화가없다. NRZI (non-return-to-zero invert-on-ones) 코드 : 입력비트값이 이면코드의변화가없으며 이면코드값을바꾸어보낸다. 이연속적으로전송될경우에코드의변화가없다. RZ (return-to-zero) 코드 : 입력비트값이 이면코드는 을전송하고 이면비트시간의전반부는 을후반부는 을전송한다. 이처럼코드는비트시간의후반부에는항상 이된다. 비트시간은한비트가전송되는시간을말한다. 이연속적으로전송될경우에는코드의변화가없다. 맨체스터 (Manchester) 코드 : 입력비트값이 이면비트시간의전반부는 을, 후반부는 을전송하고 이면비트시간의전반부는 을, 후반부는 을전송한다. 이방식은비트시간마다코드의변화가발생한다. 그림 6.2 직렬전송코딩방식들의파형 비트스트림 (bit stream) 의각비트들간의경계는클럭신호에의해서구분된다. 맨체스터코딩으로비트스트림을직렬전송하면비트시간마다코드의변화가발생하므로클럭신호를별도로보내지않더라도수신측에서 PLL(phase locked loop) 회로에의해서클럭신호를얻을수있다. 맨체스터코드변환기는비트시간마다두번의변화가발생하므로비트스트림용클럭의주파수의 2배의주파수를갖는클럭신호를필요로한다.

17 9 밀리회로구현맨체스터코드변환기에대한상태도는그림 6.3과같이표현된다. 초기상태 S에서입력이 이면출력을 으로만들고상태 S로전이한후에출력을 로만들고다시상태 S로전이한다. 입력이 이면출력을 로만들고상태 S2로전이한후출력을 으로만들고다시상태를 S로전이한다. s2 / / s / / s 그림 6.3 맨체스터코드변환기의밀리회로상태도 예 6.4는이상태도를기술한것이다. 여기에서 parameter 키워드를사용하여상태 S, S, S2에 2진수를할당하고동작을기술할때에는상태이름을그대로사용하였다. 이러한기술은상태할당값을변경하고자할때에 parameter문만고치면되므로편리하다. 예 6.4 맨체스터코드변환기 - 밀리회로구현 module Manchester_Mealy(out, in, reset, clock, state); output out; output [:] state; input in, reset, clock; reg [:] state, next_state; parameter S =, S =, S2 = 2; clock or negedge reset) begin if (reset==) state <= S; // initial state else state <= next_state; // next state combinational logic or in) begin case (state) S: if (in == ) next_state = S; else if (in == ) next_state = S2; else next_state = 2'bx;

18 S: next_state = S; S2: next_state = S; default: next_state = 2'bx; case // output combinational logic assign out = (state==s) && (in==) (state==s) && (in==); module 그림 6.4는예 6.4의구현에대한시뮬레이션결과를나타내는파형이다. 출력파형에서원으로둘러싼부분은글리치이다. 글리치는입력과상태의변화가일치하지않음으로인해서발생한다. 그림 6.4 밀리회로맨체스터코드변환기시뮬레이션결과 출력의글리치를없애려면그림 6.5의회로와같이레지스터를사용하여밀리회로의출력을클럭에동기시켜서출력시킨다. 이회로의출력은원래의출력에서한클럭씩지연이되어나타난다. Mealy 회로 output register registered output clock 그림 6.5 글리치를없애기위한출력회로 무어회로구현 맨체스터코드변환기를무어회로로구현하기위한상태도는그림 6.6과같이표현된다. 입력이 이면상태가 S과 S2로순서대로전이하며상태 S에서출력이 가,

19 상태 S2에서출력이 이된다. 입력이 이면상태가 S3과 S의순서대로전이하며상태 S3에서출력이 이, 상태 S에서출력이 가된다. 초기상태는 S이며상태가 S 또는 S2에서새로운비트값을입력받는다. s / s / s3 s2 / / 그림 6.6 맨체스터코드변환기의무어회로상태도 예 6.5는이상태도를기술한것이다. 상태 S와 S2는다음상태가같으므로함께기술하였다. 예 6.5 맨체스터코드변환기 - 무어회로구현 module Manchester_Moore(out, in, reset, clock, state); output out; output [:] state; input in, reset, clock; reg [:] state, next_state; parameter S =, S =, S2 = 2, S3 = 3; clock or negedge reset) begin if (reset==) state <= S; // initial state else state <= next_state; // next state combinational logic or in) begin case (state) S, S2: if (in == ) next_state = S; else next_state = S3; S: next_state = S2; S3: next_state = S; default: next_state = 2'bx; case

20 2 // output combinational logic assign out = (state==s2) (state==s3); module 그림 6.7는예 6.5의구현에대한시뮬레이션결과를나타내는파형이다. 이파형에서출력에는글리치가발생하지않지만원으로표시한것과같이출력이입력보다한클럭뒤에나타남을확인할수있다. 이결과는밀리회로의출력을클럭에동기시킨것과같다. 그림 6.7 무어회로맨체스터코드변환기시뮬레이션결과 6.5 선형피드백쉬프트레지스터 선형피드백쉬프트레지스터 (linear feedback shift register: LFSR) 은출력의일부가 XOR 또는 XNOR게이트를통하여입력에피드백되는쉬프트레지스터이다. LFSR은오류검출에사용되는 CRC(cyclic redundancy check) 코드생성기, 의사난수발생기 (pseudo random pattern generator), 암호화등에사용된다. 의사난수발생기는회로의동작검사를위한스티뮬러스패턴을생성하는데사용될수있다. 그림 6.8은한개의출력을여러입력에 XOR 게이트를통하여피드백시키는 autonomous LFSR로서의사난수를발생시키는데에사용된다. 이회로에서최상위비트 Y(N-) 은 Y() 를피드백입력받고 Y(k) (k < N-) 는 C k 가 이면 Y(k+) 를입력받고 Ck가 이면 Y(k+) 과 Y() 의 XOR 연산결과를입력받는다. 여기서 C k 는피드백여부를나타내며탭계수라고부른다. LFSR은용도에따라서탭계수와초기상태가정해진다. 예 6.6은 8비트 LFSR 회로를모델링한예이다.

21 3 그림 6.8 한출력을여러입력에피드백시키는 LFSR 예 6.6 LFSR 회로 module LFSR(Y, reset, clock); parameter initial_state = 8'b_; // 9h parameter [7:] C = 7'b_; // coefficient input reset, clock; output [7:] Y; reg [7:] Y; (posedge clock) begin if (!reset) // Active-low reset to initial state Y <= initial_state; else begin Y[7] <= Y[]; Y[6] <= C[7]? Y[7] ^ Y[] : Y[7]; Y[5] <= C[6]? Y[6] ^ Y[] : Y[6]; Y[4] <= C[5]? Y[5] ^ Y[] : Y[5]; Y[3] <= C[4]? Y[4] ^ Y[] : Y[4]; Y[2] <= C[3]? Y[3] ^ Y[] : Y[3]; Y[] <= C[2]? Y[2] ^ Y[] : Y[2]; Y[] <= C[]? Y[] ^ Y[] : Y[]; module

22 4 예 6.6의모델링은비슷한과정이반복되므로예 6.7과같이반복문을사용하여더간단하게기술할수있으며이모델링에서는쉬프트레지스터의비트수도재지정될수있도록 parameter를사용하여나타낼수있다. 예 6.7 반복문을사용한 LFSR 회로 module LFSR2(Y, reset, clock); parameter N = 8; // length parameter initial_state = 8'b_; // 9h parameter [N-:] C = 7'b_; // coefficient input reset, clock; output [N-:] Y; reg [N-:] Y; integer k; (posedge clock) begin if (!reset) // Active-low reset to initial state Y <= initial_state; else begin Y[N-] <= Y[]; for (k = ; k <= N-; k=k+) Y[k-] <= C[k]? Y[k] ^ Y[] : Y[k]; module 그림 6.9 은설계한회로가동작되는예를나타낸것이다. 그림 6.9 LFSR 의데이터이동

23 5 6.6 레지스터파일 레지스터파일 (register file) 은여러개의레지스터들을포함한회로로서레지스터들이외에레지스터에대한읽기, 쓰기를위한추가적인회로로구성되어있다. 레지스터파일은포함한레지스터들에대한읽기와쓰기를동시에수행할수있다. 그림 6.2은 6개의레지스터로구성된레지스터파일의블록도로서동시에두개의레지스터값을읽어서출력하고, 한개의레지스터에입력값을저장하는동작을수행할수있다 register file raddr dout raddr2 waddr dout2 din wen 그림 개의레지스터를포함한레지스터파일의블록도 레지스터파일내의레지스터는 4비트주소로선택되며 raddr과 raddr2는데이터를읽을두레지스터의주소입력이며, waddr는데이터를저장할레지스터의주소입력이다. dout과 dout2는주소 raddr과 raddr2이지정하는레지스터의값을출력한다. 데이터의저장은클럭에동기되어이루어진다. wen (write enable) 신호는데이터저장을활성화하는신호로서입력데이터 din은 wen이 일때의클럭의상승에지에서 waddr 이지정하는레지스터에저장된다. 이러한동작을수행하는레지스터파일은그림 6.2과같이구성될수있다. 6개의레지스터의출력은두개의멀티플렉서의입력에연결되는주소 raddr과 raddr2에의해서선택된레지스터의출력이두멀티플렉서의출력으로전달되어동시에두레지스터의값을읽을수있다. 입력데이터는모든레지스터의입력에연결되어있다. 그렇지만디코더를통하여 waddr에의해서선택된하나의레지스터만인에이블이되어클럭에동기되어입력데이터를저장할수있으며 wen이디스에이블되면아무레지스터도인에이블되지않는다.

24 6 din 32 decoder en D R Q mux 4 waddr en D R Q en D R5 Q dout dout2 wen CLK raddr raddr2 그림 6.2 레지스터파일의내부구성도 예 6.8은그림 6.2과같은구성의레지스터파일을설계한예이다. 이설계에서디코더와멀티플렉서를명시적으로사용하지는않았지만두동작에대한기술이포함되어있다. 예 6.8 레지스터파일 module regfile(dout, dout2, din, raddr, raddr2, waddr, wen, clock); output [3:] dout, dout2; input [3:] din; input [3:] raddr, raddr2, waddr; input wen, clock; reg [3:] reg_file [:5]; // 32 bit x 6 word memory declaration reg [3:] dout, dout2; integer i; // write clock) begin for (i=; i<6; i=i+) begin if (wen & (waddr==i)) reg_file[i] <= din; // read or raddr2 or reg_file[] or... or reg_file[5]) begin dout = 32'bx; dout2 = 32'bx;

25 7 for (i=; i<6; i=i+) begin if (raddr==i) dout = reg_file[i]; if (raddr2==i) dout2 = reg_file[i]; module 레지스터파일은예 6.9와같이주소신호를배열의첨자로사용하여기술할수있으며이러한기술은훨씬간단하지만논리합성도구에따라서합성되지못할수도있다. 예 6.9 레지스터파일 module register_file(dout, dout2, din, raddr, raddr2, waddr, wen, clock); output [3:] dout, dout2; input [3:] din; input [3:] raddr, raddr2, waddr; input wen, clock; reg [3:] reg_file [:5]; // 32 bit x 6 word memory declaration assign dout = reg_file[raddr]; // read assign dout2 = reg_file[raddr2]; (posedge clock) begin // write if (wen) reg_file[waddr] <= din; module 이러한레지스터파일은그림 6.22와같이 ALU와연결하여두레지스터값을동시에읽어서연산을수행한후연산수행결과를같은클럭사이클의끝에서저장할수있도록하는데사용될수있다.

26 8 register file Raddr Raddr2 Waddr DataIn WEN DataOut DataOut2 CLK ALU 그림 6.22 ALU 에연결된레지스터파일

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

Microsoft PowerPoint - ch11_reg.pptx

Microsoft PowerPoint - ch11_reg.pptx 11 장레지스터 레지스터 (egister) 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부

More information

Microsoft PowerPoint - DSD03_verilog3a.pptx

Microsoft PowerPoint - DSD03_verilog3a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 조합논리회로의형태와설계에사용되는 Verilog 구문 조합논리회로의형태 조합논리회로설계에사용되는 Verilog 구문 논리합성이지원되지않는 Verilog 구문 논리게이트 Multiplexer

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다 디지털논리회로이론, 실습, 시뮬레이션 : 카운터 기출문제풀이 ehanbitet - 1 - 1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다카운터

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로 11 장레지스터 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부 2

More information

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a [2010 년디지털시스템설계및실험중간고사 1 답안지 ] 출제 : 채수익 Verilog 문법채점기준 ( 따로문제의채점기준에명시되어있지않아도적용되어있음 ) (a) output이 always 문에서사용된경우, reg로선언하지않은경우 (-1 pts) (b) reg, wire를혼동하여사용한경우 (-1 pts) (c) always @( ) 에서모든 input을 sensitivity

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

슬라이드 1

슬라이드 1 보안회로설계 순차회로 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 조합과순차 조합회로 (combinational circuit) Memory가없다. 입력한값에따른출력 출력 = f ( 입력 ) 순차회로 (sequential circuit) Memory가있다. Memory에는회로의현상태가저장 출력은입력과현상태에의해결정

More information

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로 9 장동기순차회로 동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로분류. v v v 동기순차회로 : 클록펄스에의해서동작하는회로 비동기순차회로

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

Microsoft PowerPoint - 제10장.ppt [호환 모드]

Microsoft PowerPoint - 제10장.ppt [호환 모드] 10장카운터 비동기 ( 리플 ) 카운터 리플카운터의회로연결및동작 : 모든 JK(T) 입력은 1로연결하여토글모드로동작 클럭펄스는 LSB F/F 에만인가 -클럭펄스의 NGT/PGT 에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의

More information

MAX+plusⅡ를 이용한 설계

MAX+plusⅡ를 이용한 설계 Digital System Design with Verilog HDL - Combinational Logic Lab. Gate Circuit AND, OR, NOT 게이트들로이루어진멀티플렉서기능의논리회로구현멀티플렉서 : 여러개의입력중하나를선택하여출력하는기능모듈입력 s=: 단자 a 의값이단자 z 로출력입력 s=: 단자 b 의값이단자 z 로출력 File name

More information

Microsoft PowerPoint - Verilog_Summary.ppt

Microsoft PowerPoint - Verilog_Summary.ppt Verilog HDL Summury by 강석태 2006 년 3 월 1 Module module < 모듈이름 >(< 포트리스트 >) < 모듈내용 > endmodule C 언어의함수 (Function) 와같은개념. 대소문자구분. 예약어는소문자로만쓴다. 이름은영문자, 숫자, 언더바 (_) 만허용한다. 문장의끝은항상세미콜론 (;) 으로끝난다. end~ 로시작하는예약어에는

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

10 장카운터

10 장카운터 0 장카운터 u 리플카운터의회로연결및동작 : 비동기 ( 리플 ) 카운터 모든 JK(T) 입력은 로연결하여토글모드로동작 클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

chap7_ohp.hwp

chap7_ohp.hwp 제 7 장카운터와레지스터 (Counters and Registers) 7-1 비동기( 리플) 카운터리플카운터의회로연결및동작 : 모든 JK 입력은 1로연결하여토글모드로동작클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화모든 clear 입력은동시에연결각

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드] IC-CAD CAD 실험 Lecture 3 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 2 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx Basic Idea of External Sorting run 1 run 2 run 3 run 4 run 5 run 6 750 records 750 records 750 records 750 records 750 records 750 records run 1 run 2 run 3 1500 records 1500 records 1500 records run 1

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

Microsoft PowerPoint - DSD06b_Cont.pptx

Microsoft PowerPoint - DSD06b_Cont.pptx 한국기술교육대학교 장영조 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set Architecture) 한국기술교육대학교전기전자통신공학부

More information

Microsoft PowerPoint - chap06-1Array.ppt

Microsoft PowerPoint - chap06-1Array.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-1 참고자료 배열 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 배열의선언과사용 같은형태의자료형이많이필요할때배열을사용하면효과적이다. 배열의선언 배열의사용 배열과반복문 배열의초기화 유연성있게배열다루기 한빛미디어

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = [2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = 1, 2r 0 1, 2r

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

BMP 파일 처리

BMP 파일 처리 BMP 파일처리 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 영상반전프로그램제작 2 Inverting images out = 255 - in 3 /* 이프로그램은 8bit gray-scale 영상을입력으로사용하여반전한후동일포맷의영상으로저장한다. */ #include #include #define WIDTHBYTES(bytes)

More information

Microsoft PowerPoint - 부호기와 복호기.PPT

Microsoft PowerPoint - 부호기와 복호기.PPT 논리회로실험부호기와복호기 2005. 5. 3. 부호기와복호기란? 이론실험내용 개요 Encoder & Decoder 서로다른부호간의변환에사용되는것으로디지털신호를압축하거나전송시깨지지않도록바꾸는등여러가지목적에의해부호화라는장치와부호화되어전송되어온신호를다시원래의디지털신호로복호하는장치들을말한다. CODEC(enCOder DECoder) 이라고도한다. 기타 10진 to

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

Microsoft PowerPoint - M08_CPUcontrol.ppt [호환 모드]

Microsoft PowerPoint - M08_CPUcontrol.ppt [호환 모드] 제 8 장순차진행과제어 8-1 제어장치 동기형디지틀시스템의제어 : - master clock 발생회로에의한클럭제어 - 각클럭사이클마다레지스터의상태값변화 제어장치 - 연산순서에맞는제어신호공급 - 연속된마이크로연산결정 - Programmable and non-programmable system 명령어 (instruction) - programmable system

More information

발신자 목적지 발신자 목적지 발신자 목적지 공격자 발신자 목적지 발신자 목적지 공격자 공격자

발신자 목적지 발신자 목적지 발신자 목적지 공격자 발신자 목적지 발신자 목적지 공격자 공격자 발신자 목적지 발신자 목적지 발신자 목적지 공격자 발신자 목적지 발신자 목적지 공격자 공격자 접근시도 해커 인터넷 거부 방화벽 LAN P 평문 암호화 (E) C 암호문 암호해독 (D) P 평문 비밀 K 비밀 K P 평문 암호화 (E) C 암호문 암호해독 (D) P 평문 공개 KU B 비밀 KR B 평문 : a b c d e f g h i j k l m n

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

프로그램카운터 (Program Counter) 명령레지스터 (Instruction Register) 누산기 (AC: Accumulator) 상태레지스터 (Status Register) PSWR(Program Status Word Register) 메모리주소레지스터 (M

프로그램카운터 (Program Counter) 명령레지스터 (Instruction Register) 누산기 (AC: Accumulator) 상태레지스터 (Status Register) PSWR(Program Status Word Register) 메모리주소레지스터 (M 중앙처리장치 (CPU: Central Process Unit) 1) 제어장치 (Control Unit) 컴퓨터시스템의모든장치들에게동작을지시하고제어하는장치로주기억장치에서읽어온명령어를해독하고해당장치에게제어신호를보낸다. 구성회로 : 부호기, 명령해독기, 번지해독기 구성레지스터 : PC( 프로그램카운터 ), IR( 명령어레지스터 ) 부호기 ( 제어신호발생기 ) 해독한명령어에따라서해당장치로보낼제어신호를생성하는회로

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Microsoft PowerPoint - chap04-연산자.pptx

Microsoft PowerPoint - chap04-연산자.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Computer Architecture CHAPTER 컴퓨터산술과논리연산 제 3 장 컴퓨터산술과논리연산 3.1 ALU의구성요소 3.2 정수의표현 3.3 논리연산 3.4 시프트연산 3.5 정수의산술연산 3.6 부동소수점수의표현 3.7 부동소수점산술연산 3.1 ALU 의구성요소 산술연산장치 : 산술연산들 (+, -,, ) 을수행 논리연산장치 : 논리연산들 (AND,

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다.

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 2. 다음설명중조합논리회로의특징으로옳지않은것은? < 나 > 가입 출력을갖는게이트의집합으로출력값은

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED Controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

Microsoft PowerPoint - ch07 - 포인터 pm0415

Microsoft PowerPoint - ch07 - 포인터 pm0415 2015-1 프로그래밍언어 7. 포인터 (Pointer), 동적메모리할당 2015 년 4 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) Outline 포인터 (pointer) 란? 간접참조연산자

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

PowerPoint Template

PowerPoint Template JavaScript 회원정보 입력양식만들기 HTML & JavaScript Contents 1. Form 객체 2. 일반적인입력양식 3. 선택입력양식 4. 회원정보입력양식만들기 2 Form 객체 Form 객체 입력양식의틀이되는 태그에접근할수있도록지원 Document 객체의하위에위치 속성들은모두 태그의속성들의정보에관련된것

More information

Microsoft PowerPoint - verilog문법new.ppt

Microsoft PowerPoint - verilog문법new.ppt Verilog HDL Syntax HDL 이란? HDL(Hardware Description Language) VLSI 설계가복잡도증가및 time-to-market 감소 GLM 의 schematic 설계불가능 HDL 언어를이용한시스템및회로수준구현보편화 하드웨어기술언어논리회로의프로그래밍언어에의한표현네트리스트및프로그래밍언어적표현 다양한하드웨어설계방법지원 Structural

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

Microsoft PowerPoint - DSD02_verilog2a.pptx

Microsoft PowerPoint - DSD02_verilog2a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 구조적모델링 1. 모듈인스턴스와포트사양 2. 프리미티브게이트 3. 게이트지연시간 4. 파라미터 5. 인스턴스배열 6. generate 블록 2. 데이터플로우모델링 1. 연속할당문 2. 할당지연 3. 동작적모델링 1. 절차형블록 2. 절차형할당문 3. if~else문 4. case 문 5. 반복문

More information

PowerPoint Template

PowerPoint Template SOFTWARE ENGINEERING Team Practice #3 (UTP) 201114188 김종연 201114191 정재욱 201114192 정재철 201114195 홍호탁 www.themegallery.com 1 / 19 Contents - Test items - Features to be tested - Features not to be tested

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 명령어의수행과제어 명령어에대해서학습하고, 명령어가수행되는과정에대하여학습한다. 1. 명령어의개요 2. 주소지정법 3. CPU 구조 4. 명령어의수행과제어 1. 명령 (Instruction) 개요 1.1 명령의구조 (1) OP Code(Operation Code) 1) 동작을지시 ( 동작부, 연산부, 명령부 ) 2) 명령의형식이나주소부의자료종류를지정. 3) 실행시스템의명령어개수와관련.

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

Microsoft PowerPoint - Chapter 8_USART Serial Communication

Microsoft PowerPoint - Chapter 8_USART Serial Communication MEC382 마이크로프로세서응용및실습 USART Serial Communication Jee-Hwan Ryu School of Mechanical Engineering 통신방법 병렬통신 고속데이터전송이필요한곳에서이루어짐 여러개의라인에서동시에이루어짐 직렬통신 한라인에서이루어짐 데이터의송수신속도가느리다 라인수적고멀리까지통신 동기식, 비동기식있음 동기식 : 기준클럭인동기클럭라인과데이터송

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-SEGMENT DEVICE CONTROL - DEVICE DRIVER Jo, Heeseung 디바이스드라이버구현 : 7-SEGMENT HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 디바이스드라이버구현 : 7-SEGMENT 6-Digit 7-Segment LED

More information

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 제 8 장. 포인터 목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 포인터의개요 포인터란? 주소를변수로다루기위한주소변수 메모리의기억공간을변수로써사용하는것 포인터변수란데이터변수가저장되는주소의값을 변수로취급하기위한변수 C 3 포인터의개요 포인터변수및초기화 * 변수데이터의데이터형과같은데이터형을포인터 변수의데이터형으로선언 일반변수와포인터변수를구별하기위해

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

Microsoft PowerPoint - chap06-2pointer.ppt

Microsoft PowerPoint - chap06-2pointer.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-2 참고자료 포인터 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 포인터의정의와사용 변수를선언하는것은메모리에기억공간을할당하는것이며할당된이후에는변수명으로그기억공간을사용한다. 할당된기억공간을사용하는방법에는변수명외에메모리의실제주소값을사용하는것이다.

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

Microsoft PowerPoint - chap05-제어문.pptx

Microsoft PowerPoint - chap05-제어문.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); 1 학습목표 제어문인,, 분기문에 대해 알아본다. 인 if와 switch의 사용 방법과 사용시 주의사항에 대해 알아본다.

More information

목차 BUG DEQUEUE 의 WAIT TIME 이 1 초미만인경우, 설정한시간만큼대기하지않는문제가있습니다... 3 BUG [qp-select-pvo] group by 표현식에있는컬럼을참조하는집합연산이존재하지않으면결괏값오류가발생할수있습니다... 4

목차 BUG DEQUEUE 의 WAIT TIME 이 1 초미만인경우, 설정한시간만큼대기하지않는문제가있습니다... 3 BUG [qp-select-pvo] group by 표현식에있는컬럼을참조하는집합연산이존재하지않으면결괏값오류가발생할수있습니다... 4 ALTIBASE HDB 6.5.1.5.10 Patch Notes 목차 BUG-46183 DEQUEUE 의 WAIT TIME 이 1 초미만인경우, 설정한시간만큼대기하지않는문제가있습니다... 3 BUG-46249 [qp-select-pvo] group by 표현식에있는컬럼을참조하는집합연산이존재하지않으면결괏값오류가발생할수있습니다... 4 BUG-46266 [sm]

More information

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조 - Part2- 제 2 장다차원배열이란무엇인가 학습목차 2.1 다차원배열이란 2. 2 2 차원배열의주소와값의참조 2.1 다차원배열이란 2.1 다차원배열이란 (1/14) 다차원배열 : 2 차원이상의배열을의미 1 차원배열과다차원배열의비교 1 차원배열 int array [12] 행 2 차원배열 int array [4][3] 행 열 3 차원배열 int array [2][2][3]

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information