Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]"

Transcription

1 IC-CAD CAD 실험 Lecture 3 장재원

2 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 2 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시 Transistor-level design Netlist FPGA 구현검증 Auto P&R layout Full-custom layout Back-end design Layout verification (LVS, DRC, ERC) Post-simulation Layout 설계 GDS file Fabrication & testing *ASIC(Application Specific Integrated Circuit)

3 Verilog HDL 코드예 : 4 비트카운터 module counter(rst, clk, load, enb, din, dout) ; input rst, clk, load, enb; input[3:0] din; output[3:0] dout; reg[3:0] tmp; always@(posedge clk or negedge rst) begin if (~rst) tmp <= 0; else if (load) tmp <= din; else if (~enb) tmp <= tmp + 1; end assign dout = tmp; endmodule Lecture 2 REVIEW

4 Verilog HDL 코드예 : 8 비트카운터 module counter(rst, clk, clr, direct, load, enb, din, dout) ; input rst, clk, load, enb, clr, direct; input[7:0] din; output[7:0] dout; reg[7:0] tmp; always@(posedge clk or negedge rst) begin if (~clr) tmp <= 0; if (~rst) tmp <= 0; else if (load) tmp <= din; else if (~enb) if(~direct) tmp <= tmp + 1; else tmp <= tmp - 1; end assign dout = tmp; endmodule Lecture 2 REVIEW

5 Synchronous & Asynchronous Synchronous Clock의동작에맞추어, positive clock일경우해당값에따라동작 clk) begin if (~rst) clk rst val tmp <= 0; reset reset Asynchronous Clock 에상관없이값이변하면바로동작 clk or negedge rst) begin if (~rst) tmp <= 0; rst) begin tmp <= 0;

6 Verilog HDL 코드예 : 테스트벤치 Lecture 2 REVIEW `timescale 1 ns/1 ns module tb_cnt ; reg rst, clk, load, enb; reg[3:0] din; wire[3:0] dout; counter cnt(rst, clk, load, enb, din, dout); initial begin clk = 0; forever #50 clk = ~clk; end #5000 load = 1; din = 4'b1101; #500 load = 0; #500 enb = 1; #500 enb = 0; end endmodule initial begin rst = 0; load = 0; din = 4'b0; 4b0; enb = 1; #1001 rst = 1; #1000 enb = 0;

7 Verilog HDL 코드예 : 테스트벤치 Lecture 2 REVIEW `timescale 1 ns/1 ns module tb_cnt ; reg rst, clk, load, enb, clk, direct; reg[7:0] din; wire[7:0] dout; counter cnt(rst, clk, clr, direct, load, enb, din, dout); initial begin clk = 0; forever #50 clk = ~clk; end initial begin rst = 0; load = 0; din = 8'b0; 8b0; enb = 1; direct = 0; clr = 1; #1001 rst = 1; #1000 enb = 0; #5000 load = 1; din = 8'b ; #500 load = 0; #500 enb = 1; direct = 1; #500 enb = 0; #1050 clr = 0; end endmodule

8 do 파일 vlog.do vlog ~/hdl_design/source/counter.v design/source/counter vlog ~/hdl_design/source/tb_cnt.v Testbench Counter vsim -c tb_cnt -wlf /home2/commasic/asic_course/train20/hdl_design/results/sim/tbwav e.wlf log -r * run ns quit wav.do vsim -view /home2/commasic/asic_course/train20/hdl_design/results/sim/tbwave. wlf add wave * add wave /cnt/tmp

9 HDL 시뮬레이터 (Modelsim) 사용 Lecture 2 REVIEW vlib : 라이브러리디렉토리의생성 vlib 경로 / 작업디렉토리명 vmap : 작업디렉토리에대한환경설정 vmap 라이브러리명경로 / 작업디렉토리명 log[-r] * : 시뮬레이션결과파형에지정된신호의파형을실어주는역할 [-r] 옵션을사용하면테스트벤치 & 내부모듈의신호까지포함됨 log 인스턴스명 / 신호명 log /T1/S1/test vlog : Verilog HDL 파일의컴파일 vlog [-work 라이브러리명 ] 경로 /verilog 파일 run [ 시뮬레이션시간 ] : 기록한시간동안시뮬레이션실행 vsim : HDL 시뮬레이터의명령수행 옵션 : -c, -do do 파일, -L 라이브러리명, - sdf{min typ max}, -wlf 경로 /wave 파일, -view 경로 /wave파일 add wave * : 테스트벤치에있는모든신호의파형을보여주는명령 add wave /T1/* vsim c do sim.do quit : 시뮬레이터의종료

10 논리회로합성 Synthesis 상위수준코드로부터게이트수준네트리스트를생성하는절차 Oti Optimizei 기능, 속도, 면적요건을만족하기위해라이브러리셀을이용하여최적의회로를만드는합성과정상의한단계 Compile 최적화를수행하는명령으로이를수행하기위해서는일단디자인을읽어들인후필요한작업들을처리한후컴파일러명령을수행한다. 그러면게이트수준네트리스트 (netlist) 가생성된다

11 Design Compiler 를이용한 synthesis 과정 < 참고자료 > Design Compiler User Guide

12 디자인읽기 analyze Reads an HDL source file Checks it for errors (without building generic logic for the design) Creates HDL library objects in an HDL-independent intermediate format Stores the intermediate files in a location you define elaborate Translates the design into a technology-independent design (GTECH) from the intermediate files produced during analysis Allows changing of parameter values defined in the source code Allows VHDL architecture selection Replaces the HDL arithmetic operators in the code with DesignWare components Automatically executes the link command, which resolves design references read Reads several different formats Performs the same operations as analyze and elaborate in a single step Creates.mr and.st intermediate files for VHDL Does not execute the link command automatically Does not create any intermediate files for Verilog (However, you can have the read_file command create intermediate files by setting the hdlin_auto_save_templates templates variable to true)

13 설계제약조건설정 operating conditions wire loads I/O port requirements timing constraints area constraints design rule constraints set_drive set_operating_conditions set_load set_driving_cell set_wire_load set_fanout_load

14 Operating Conditions set_operating_conditions dc_shell> set_operating_conditions WCCOM -lib class dc_shell> report_lib class

15 Wire Loads top (default 설정 ) top 레벨에서정의된 wire load 모델을모두사용 enclosed 배선을완전히포함하는가장작은디자인의 wire load 모델사용 segmented 세그먼트를둘러싸는디자인의 wire load 모델사용 50X50 20X20 mode = enclosed 30X30 20X20 50X50 30X30 50X50 mode = top 50X50 mode = segmented 20X20 30X30 20X20 30X30 50X50 20X20 50X50 30X30

16 I/O port Requirements set_drive 공정라이브러리의셀로입력포트구동능력이기술될수없을때최상위레벨포트의구동저항을정해준다 set_driving_cell 공정라이브러리의셀로만들어진포트의구동특성을나타내기위해사용한다 set_load 입력과출력포트에서의캐패시턴스값을정한다 set_fanout_load 출력포트의팬아웃을결정 set_driving_cell top_level_design set_drive U1 U2 External System PAD PAD I1 I2 logic IV AN2 I3 I4 sub_design sub_design

17 Timing Constraints create_clock dc_shell> create_clock clock -period 50 -waveform {0 30} CLK set_clock_skew dc_shell> set_clock_skew -plus_uncertainty 0.2 -minus_uncertainty 0.2 {CLK} set_input_delay dc_shell>set_input_delay 20 -clock CLK {DATA_IN} set_output_delay dc_shell> set_output_delay 15 -clock CLK {DATA_OUT}

18 Area Constraints set_max_area dc_shell> set_max_area 100 타이밍과면적제약조건을모두설정되어있으면컴파일할때타이밍이우 선적으로고려

19 Design Rule Constraints set_max_transition 명시된포트에연결되어있는배선이나디자인의모든배선의최대천이시간을설정 set_max_fanout 허용가능한최대의팬아웃 (fanout) 을설정 set_max_capacitance set_max_transition ii 은실제적인배선의캐패시턴스를제한하지는않으므로, 이를위해서 max_capacitance 설정

20 Multiple Instance 의 Resolve uniquify 각각의 instance 를복사한다 uniquify 를하면중복되어사용되는 instance 가복사되어생성 각각을특성화할경우사용 ungroup 계층구조를없애고하나의이름으로모든셀에대해최적화를한다 각각을특성화하지않을경우 ungroup 명령을실행후컴파일 set_dont_touch 각서브디자인을재합성할때변경하지않으려면각서브디자인을컴파일한후 set_dont_touch 명령사용

21 디자인옵션 map effort : medium verify design : low allow boundary optimization : yes TOP LEVEL TOP LEVEL Block1 Block2 Block1 Block2 X A B L O G I C X A B L O G I C

22 실험내용 Page 53~63

23 리포트및공지사항 리포트제출 P63~64 의실험과제및프로젝트 수정사항 : P64 모듈 1 의클럭주파수 : 100 Mhz(10ns) 2 장에서작성한 verilog 코드가합성이안되면코드를수정할것 옵션조절로주어진 spec. 을만족시키지못하면 verilog 코드를수정하여 spec. 을맞추도록노력할것 코드를수정했다면, 수정한코드를첨부하고수정사항및개선사항에대한고찰을작성할것 리포트의종이인쇄본을제출할것 제출기한 : 다음수업시작전까지 조교 ( 장재원 ) 주소 jaera82@soc.yonsei.ac.kr

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

tut_modelsim(student).hwp

tut_modelsim(student).hwp ModelSim 사용법 1. ModelSim-Altera 를이용한 Function/RTL 시뮬레이션 1.1. 테스트벤치를사용하지않는명령어기반시뮬레이션 1.1.1. 시뮬레이션을위한하드웨어 A B S C 그림 1. 반가산기 1.1.2. 작업디렉토리 - File - Change Directory 를클릭하여작업디렉토리지정. 1.1.3. 소스파일작성 - 모델심편집기나기타편집기가능

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

삼성기초VHDL실습.PDF

삼성기초VHDL실습.PDF VHDL Simulation Synthesis - Synopsys Tool - System ASIC Design Lab : jcho@asiclabinchonackr -I - : -Bit Full Adder Simulation Synopsys Simulation Simulation Tool -2 : -Bit Full Adder Synthesis Synopsys

More information

슬라이드 1

슬라이드 1 보안회로설계 모델심설치 & Verilog testbench 기초문법 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 모델심설치 ModelSim ModelSim Made by Mentor HDL simulator VHDL, Verilog, System Verilog and optional SystemC HDL 에의해합성될회로의동작과정과결과예상

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 NuPIC 2013 2013.11.07~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교 2013.11.08 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

슬라이드 1

슬라이드 1 보안회로설계 순차회로 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 조합과순차 조합회로 (combinational circuit) Memory가없다. 입력한값에따른출력 출력 = f ( 입력 ) 순차회로 (sequential circuit) Memory가있다. Memory에는회로의현상태가저장 출력은입력과현상태에의해결정

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc Modelsim 과 Quartus II 를이용한설계방법 퀀텀베이스연구개발실, 경기도부천시원미구상동 546-2, 두성프라자 1-606 TEL: 032-321-0195, FAX: 032-321-0197, Web site: www.quantumbase.com 최근 Modelsim은 PC에포팅되어있는것에힘입어많은설계자들이사용하고있습니다이에 Modelsim을이용하여설계하고,

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S TECHNICAL FEATURE Beginner Corner Boundary Scan Design(JTAG) 반도체제조공정을통하여반도체가생성되면불량제품을가려내는테스트과정이필요하다. 0.35um 이하의공정으로수십 ~ 수백만게이트가집적된반도체 VLSI 제품을테스트하는작업이그리간단한일은아니다. 따라서반도체분야에서항상이슈가되는것이바로 TEST 항목인데, 이글을통하여

More information

Microsoft PowerPoint - Verilog_Summary.ppt

Microsoft PowerPoint - Verilog_Summary.ppt Verilog HDL Summury by 강석태 2006 년 3 월 1 Module module < 모듈이름 >(< 포트리스트 >) < 모듈내용 > endmodule C 언어의함수 (Function) 와같은개념. 대소문자구분. 예약어는소문자로만쓴다. 이름은영문자, 숫자, 언더바 (_) 만허용한다. 문장의끝은항상세미콜론 (;) 으로끝난다. end~ 로시작하는예약어에는

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

1

1 WebPACK ISE5.1i Manual Insight Korea Xilinx FAE Team 2003. 3. 10 WebPACK ISE 5.1i( 이하 WebPACK ) 은 Xilinx FPGA 나 CPLD 를쉽게디자인할수있게 하는 Free Design Software 로서 Design Entry, Synthesis, 그리고 Verification, Simulation

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

v6.hwp

v6.hwp 93 6 장순차회로모델링 이장에서는앞에서배운여러가지모델링방법에대한지식을바탕으로많이사용되는기본적인순차회로블록들의모델링과순차회로설계방법에대해서배운다. 6. 레지스터 레지스터는 n-bit 데이터를저장하는기억소자이다. 데이터의저장은클럭에동기가되어이루어진다. 그림 6.은전형적인레지스터의블록도와동작표이다. register D D D2 D3 Load Reset Q Q Q2

More information

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph 인터그래프코리아(주)뉴스레터 통권 제76회 비매품 News Letters Information Systems for the plant Lifecycle Proccess Power & Marine Intergraph 2008 Contents Intergraph 2008 SmartPlant Materials Customer Status 인터그래프(주) 파트너사

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Introduction to Development and V&V of FPGA-based Digital I&Cs 김의섭 목차 1. FPGA 2. Development Process / V&V 3. Summary 2 01 [ ] FPGA FPGA 프로그램이가능한비메모리반도체의일종. 회로변경이불가능한일반반도체와달리용도에맞게회로를다시새겨넣을수있다. 따라서사용자는자신의용도에맞게반도체의기능을소프트웨어프로그램하듯이변형시킬수있다.

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a [2010 년디지털시스템설계및실험중간고사 1 답안지 ] 출제 : 채수익 Verilog 문법채점기준 ( 따로문제의채점기준에명시되어있지않아도적용되어있음 ) (a) output이 always 문에서사용된경우, reg로선언하지않은경우 (-1 pts) (b) reg, wire를혼동하여사용한경우 (-1 pts) (c) always @( ) 에서모든 input을 sensitivity

More information

untitled

untitled Step Motor Device Driver Embedded System Lab. II Step Motor Step Motor Step Motor source Embedded System Lab. II 2 open loop, : : Pulse, 1 Pulse,, -, 1 +5%, step Step Motor (2),, Embedded System Lab. II

More information

USER GUIDE

USER GUIDE Solution Package Volume II DATABASE MIGRATION 2010. 1. 9. U.Tu System 1 U.Tu System SeeMAGMA SYSTEM 차 례 1. INPUT & OUTPUT DATABASE LAYOUT...2 2. IPO 중 VB DATA DEFINE 자동작성...4 3. DATABASE UNLOAD...6 4.

More information

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드] VHDL 프로그래밍 2. VHDL 언어사용해보기 한동일 학습목표 기존프로그래밍언어의간단한예를다룬다. VHDL 언어의간단한예를다룬다. 각언어의실제적인사용예를파악한다. 기존프로그래밍언어와비교되는 VHDL언어의차이점을이해한다. 엔티티선언의의미를파악한다. 아키텍처선언의의미를파악한다. VHDL 언어의문장구조를눈에익힌다. 디지털로직과이의 VHDL 표현과정을이해한다. 2/23

More information

歯메뉴얼v2.04.doc

歯메뉴얼v2.04.doc 1 SV - ih.. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 - - - 23 24 R S T G U V W P1 P2 N R S T G U V W P1 P2 N R S T G U V W P1 P2 N 25 26 DC REACTOR(OPTION) DB UNIT(OPTION) 3 φ 220/440 V 50/60

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software 소프트웨어모델링및분석 (Equivalence Checking 소개 ) 김의섭 Dependable Software Laboratory KONKUK University 2016.06.03 Equivalence Checking 이란? Equivalence Checking: 두프로그램이동일한기능을하는지정형적으로검증하는방법 왜 Equivalence Checking 이필요한가?

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

목 차

목      차 Oracle 9i Admim 1. Oracle RDBMS 1.1 (System Global Area:SGA) 1.1.1 (Shared Pool) 1.1.2 (Database Buffer Cache) 1.1.3 (Redo Log Buffer) 1.1.4 Java Pool Large Pool 1.2 Program Global Area (PGA) 1.3 Oracle

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

Microsoft PowerPoint - 전자공학 실험 3강 - PSpice.PPT

Microsoft PowerPoint - 전자공학 실험 3강 - PSpice.PPT 기초전자실험 PSpice 2005. 9. 30. Pspice 기초 - 설치 - 사용법 -LPF 설계 Pspice 란? SPICE(Simulation Program with Integrated Circuit Emphasis) 전자회로컴퓨터시뮬레이션툴임. 실제로전기, 전자, 디지털회로를제작하기전에, 컴퓨터를이용하여계산하고, 측정, 평가하여해석및설계를하는툴 Pspice

More information

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = [2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = 1, 2r 0 1, 2r

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 솔루션발표 김의섭 목차 1. Coverage 관련 TestBench Generation Multi-level Coverage 2. Stability Analysis 관련 3. 창의과제논문관련 A Seamless Platform Change of Digital I&Cs from PLC to FPGA: Empirical Case Study An Integrated

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

슬라이드 1

슬라이드 1 / 유닉스시스템개요 / 파일 / 프로세스 01 File Descriptor file file descriptor file type unix 에서의파일은단지바이트들의나열임 operating system 은파일에어떤포맷도부과하지않음 파일의내용은바이트단위로주소를줄수있음 file descriptor 는 0 이나양수임 file 은 open 이나 creat 로 file

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

LXR 설치 및 사용법.doc

LXR 설치 및 사용법.doc Installation of LXR (Linux Cross-Reference) for Source Code Reference Code Reference LXR : 2002512( ), : 1/1 1 3 2 LXR 3 21 LXR 3 22 LXR 221 LXR 3 222 LXR 3 3 23 LXR lxrconf 4 24 241 httpdconf 6 242 htaccess

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

제5장 PLD의 이해와 실습

제5장 PLD의 이해와 실습 제 5 장 PLD 의이해와실습 실험의목표 - 프로그래머블논리소자인 PAL 과 PLA, EPROM, CPLD 등에대하여이해한다. - MAX PLUS II를이용하여 CPLD 프로그램하는방법을배운다. - CPLD 굽는법에대하여익힌다. - VHDL 간단한표현과문법에대하여소개를한다. 실험도움자료 1. PLD(Programmable Logic Device) PLD는사용자가필요로하는논리기능을직접

More information

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다.

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다. Eclipse 개발환경에서 WindowBuilder 를이용한 Java 프로그램개발 이예는 Java 프로그램의기초를이해하고있는사람을대상으로 Embedded Microcomputer 를이용한제어시스템을 PC 에서 Serial 통신으로제어 (Graphical User Interface (GUI) 환경에서 ) 하는프로그램개발예를설명한다. WindowBuilder:

More information

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O Orange for ORACLE V4.0 Installation Guide ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE...1 1....2 1.1...2 1.2...2 1.2.1...2 1.2.2 (Online Upgrade)...11 1.3 ORANGE CONFIGURATION ADMIN...12 1.3.1 Orange Configuration

More information

Microsoft PowerPoint - verilog문법new.ppt

Microsoft PowerPoint - verilog문법new.ppt Verilog HDL Syntax HDL 이란? HDL(Hardware Description Language) VLSI 설계가복잡도증가및 time-to-market 감소 GLM 의 schematic 설계불가능 HDL 언어를이용한시스템및회로수준구현보편화 하드웨어기술언어논리회로의프로그래밍언어에의한표현네트리스트및프로그래밍언어적표현 다양한하드웨어설계방법지원 Structural

More information

Microsoft PowerPoint - CPLD_수정1.pptx

Microsoft PowerPoint - CPLD_수정1.pptx Xilinx ISE Design Suite 13.1 대진대학교전자공학과 1. 프로젝트생성하기 Xilinx ISE Design Suite 13.1 을실행한다. 새로운프로젝트생성을위해 File New Project 를클릭한다. 1. 프로젝트생성하기 New Project Wizard 창에서기본설정을마치고 Next 를클릭한다. 프로젝트이름 프로젝트생성경로 프로젝트설명

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

Siemens

Siemens SIEMENS () 2004 7 Updated 2004 DEC 09 1. 4 1.1 4 1.2 4 2. 5 2.1 5 2.2 6 2.3 6 2.4 7 3. 8 3.1 50/60 Hz DIP 8 4. 9 4.1 420 9 4.2 420 9 4.3 (CB) 10 5. / () 11 5.1 11 5.2 : P0003 12 6. 13 6.1 13 6.2 15 6.2.1

More information

슬라이드 1

슬라이드 1 Delino EVM 용처음시작하기 - 프로젝트만들기 (85) Delfino EVM 처음시작하기앞서 이예제는타겟보드와개발홖경이반드시갖추어져있어야실습이가능합니다. 타겟보드 : Delfino EVM + TMS0F85 초소형모듈 개발소프트웨어 : Code Composer Studio 4 ( 이자료에서사용된버전은 v4..입니다. ) 하드웨어장비 : TI 정식 JTAG

More information

DIY 챗봇 - LangCon

DIY 챗봇 - LangCon without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

세션 2-2(허태경).ppt

세션 2-2(허태경).ppt , an IBM Company 2005 IBM Corporation Discover Prepare Transform & Deliver????????? Time To Value DISCOVER ProfileStage Service-Oriented Architecture Event Management PREPARE,, QualityStage Enterprise

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

PRO1_16E [읽기 전용]

PRO1_16E [읽기 전용] MPI PG 720 Siemens AG 1999 All rights reserved File: PRO1_16E1 Information and MPI 2 MPI 3 : 4 GD 5 : 6 : 7 GD 8 GD 9 GD 10 GD 11 : 12 : 13 : 14 SFC 60 SFC 61 15 NETPRO 16 SIMATIC 17 S7 18 1 MPI MPI S7-300

More information

산업입지내지6차

산업입지내지6차 page 02 page 13 page 21 page 30 2 INDUSTRIAL LOCATION 3 4 INDUSTRIAL LOCATION 5 6 INDUSTRIAL LOCATION 7 8 INDUSTRIAL LOCATION 9 10 INDUSTRIAL LOCATION 11 12 13 14 INDUSTRIAL LOCATION 15 16 INDUSTRIAL LOCATION

More information

슬라이드 1

슬라이드 1 CCS v4 사용자안내서 CCSv4 사용자용예제따라하기안내 0. CCS v4.x 사용자 - 준비사항 예제에사용된 CCS 버전은 V4..3 버전이며, CCS 버전에따라메뉴화면이조금다를수있습니다. 예제실습전준비하기 처음시작하기예제모음집 CD 를 PC 의 CD-ROM 드라이브에삽입합니다. 아래안내에따라, 예제소스와헤더파일들을 PC 에설치합니다. CD 드라이브 \SW\TIDCS\TIDCS_DSP80x.exe

More information

Domino Designer Portal Development tools Rational Application Developer WebSphere Portlet Factory Workplace Designer Workplace Forms Designer

Domino Designer Portal Development tools Rational Application Developer WebSphere Portlet Factory Workplace Designer Workplace Forms Designer Domino, Portal & Workplace WPLC FTSS Domino Designer Portal Development tools Rational Application Developer WebSphere Portlet Factory Workplace Designer Workplace Forms Designer ? Lotus Notes Clients

More information

Microsoft PowerPoint - DSD03_verilog3a.pptx

Microsoft PowerPoint - DSD03_verilog3a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 조합논리회로의형태와설계에사용되는 Verilog 구문 조합논리회로의형태 조합논리회로설계에사용되는 Verilog 구문 논리합성이지원되지않는 Verilog 구문 논리게이트 Multiplexer

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

*º¹ÁöÁöµµµµÅ¥-¸Ô2Ä)

*º¹ÁöÁöµµµµÅ¥-¸Ô2Ä) 01 103 109 112 117 119 123 142 146 183 103 Guide Book 104 105 Guide Book 106 107 Guide Book 108 02 109 Guide Book 110 111 Guide Book 112 03 113 Guide Book 114 115 Guide Book 116 04 117 Guide Book 118 05

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

methods.hwp

methods.hwp 1. 교과목 개요 심리학 연구에 기저하는 기본 원리들을 이해하고, 다양한 심리학 연구설계(실험 및 비실험 설계)를 학습하여, 독립된 연구자로서의 기본적인 연구 설계 및 통계 분석능력을 함양한다. 2. 강의 목표 심리학 연구자로서 갖추어야 할 기본적인 지식들을 익힘을 목적으로 한다. 3. 강의 방법 강의, 토론, 조별 발표 4. 평가방법 중간고사 35%, 기말고사

More information

PRO1_02E [읽기 전용]

PRO1_02E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

untitled

untitled R&S Power Viewer Plus For NRP Sensor 1.... 3 2....5 3....6 4. R&S NRP...7 -.7 - PC..7 - R&S NRP-Z4...8 - R&S NRP-Z3... 8 5. Rohde & Schwarz 10 6. R&S Power Viewer Plus.. 11 6.1...12 6.2....13 - File Menu...

More information