5_03.hwp

Size: px
Start display at page:

Download "5_03.hwp"

Transcription

1 ND OR NOT 게이트실험 ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33

2 이론과함께하는디지털회로실험 디지털논리회로 (digital logic circuit) 는그림 2-1과같이조합논리회로 (combinational logic circuit) 와순서논리회로 (sequential logic circuit) 로크게나눌수있다. 조합논리회로는출력이현재입력들의조합에의해서만결정되는논리회로이고, 순서논리회로는출력이현재의입력들의조합뿐만아니라현재의출력상태에의해서도결정되는논리회로이다. 2가지논리회로의가장큰차이점은현재의출력상태가논리회로의출력에영향을미치는지의여부이다. 조합논리회로는현재의출력상태가논리회로의새로운출력에전혀영향을미치지않는논리회로이고, 순서논리회로는현재의출력상태가논리회로의새로운출력에영향을미치는논리회로이다. 조합논리회로의예로전자계산기를생각해보자. 전자계산기는연산을위한입력데이터들에의해서만출력데이터값이결정된다. 전자계산기를이용하여 10+20의덧셈을하는경우전자계산기의출력은오직 10과 20이라는 2개의입력데이터에의해서만결정되고, 이전의다른연산에의해현재전자계산기가어떤출력데이터를나타내고있는지는전혀영향을미치지않는다. 이와같은특성을갖는논리회로를조합논리회로라고한다. 입력 와입력 에의해서만출력 Y 가결정 입력 입력 전자계산기 ( 예 : 덧셈기 ) 출력 Y 그림 2-2 조합논리회로 전자계산기와비교하여디지털시계를생각해보자. 디지털시계의입력은클록펄스 (clock pulse) 이다. 디지털시계의입력신호로주어지는클록펄스는동일한주기를갖고반복적으로주어지는신호이다. 즉, 동일한클록입력신호가반복적으로주어지는디지털시계가정확한시간을나타내기위해서는디지털시계의현재의출력상태 ( 시간 ) 가새로운출력에영향을미쳐야한다. 예를들어편의상 1초에 34

3 ND OR NOT 게이트실험 02 1개의클록이입력신호로주어지는디지털시계를가정하고, 그림 2-3과같이현재출력 ( 시간 ) 이 1시 59분 59초인상태에서클록입력이주어진다면새로운출력은 2시 0분 0초가된다. 그러나 11시 59분 59초인상태에서클록입력이주어진다면새로운출력은 12시 0분 0초가된다. 이와같이출력이입력뿐만아니라현재의출력상태에의해서도결정되는논리회로를순서논리회로라고한다. 클록입력과현재의출력상태에의해새로운출력 Y 가결정 클록입력 디지털시계 ( 예 : 현재출력시간 1 시 59 분 59 초 ) 출력 Y ( 새로운출력시간 2 시 0 분 0 초 ) 그림 2-3 순서논리회로 조합논리게이트 조합논리회로와순서논리회로의 2가지의디지털논리회로중조합논리회로를우선적으로살펴본다. 조합논리회로를구성하기위한핵심적인논리소자로 NOT, ND, OR의 3가지의게이트회로 (gate circuit) 가있다. NOT 게이트는입력을반전시켜출력이되게하는게이트이다. 즉, 입력이 1(= H) 이면출력이 0(= L) 이되게하고, 입력이 0이면출력이 1이되게하는논리게이트이다. ND 게이트는모든입력들이 1인경우는출력이 1이되고, 그이외의입력조합에대해서는출력이 0이되는논리게이트이다. 또한 OR 게이트는입력들중어느한입력이라도 1인경우는출력이 1이되고, 입력들이모두 0인경우만출력이 0이되는논리게이트이다. 이론적으로모든조합논리회로는 ND, OR, NOT의 3가지의논리게이트를이용하여구성할수있다. 또한이들논리게이트이외에 NND, NOR, XOR(exclusive OR), XNOR(exclusive NOR) 등의논리게이트가있다. 조합논리회로를이해하기위해서는이들논리게이트들의특성을정확히이해하는것이필수적이다. 표 2-1에조합논리게이트들의논리기호, 논리식, 진리표등을나타내었다. 실험 35

4 이론과함께하는디지털회로실험 표 2-1 조합논리게이트 명칭논리기호논리식진리표 NOT 7404 Y Y Y ND 7408 OR 7432 NND 7400 Y Y Y Y Y Y Y Y Y NOR 7402 Y Y Y XOR 7486 Y Y Y XNOR Y Y Y

5 ND OR NOT 게이트실험 ND OR NOT 게이트 (1) NOT 게이트 NOT 게이트의논리기호, 논리식, 진리표는표 2-1과같다. 식 (2.1) 은 NOT 게이트의논리식으로입력 가반전되어출력 Y가되는것을나타낸다. 디지털시스템은 0(=L) 과 1(= H) 의 2가지데이터만존재하므로 NOT 게이트가입력데이터를반전한다는의미는입력데이터가 0이면출력데이터가 1이되고, 입력데이터가 1이면출력데이터가 0이된다는의미이다. Y (2.1) 그림 2-4는 NOT 게이트를 TTL(Transistor-Transistor Logic) IC로구성한 74LS04 의핀배치도이다. 모든 TTL IC에는정상적인동작을위해직류전원을인가하여야하므로 +5V를위한 V CC 단자와접지 (ground) 를위한 GND 단자가공통적으로존재한다. 74LS04에서 7번과 14번단자는 TTL IC에 +5 V의직류전압을공급하기위한단자이다. NOT 게이트는 1-입력 (input) 1-출력 (output) 의논리소자이므로 14핀의 74LS04 TTL IC 1개는 6개의 NOT 게이트로구성된다. NOT 게이트는입력을반전 (inverting) 시키는기능을하는논리소자이므로이를인버터 (inverter) 라고부른다. V CC GND 그림 2-4 NOT 게이트 74LS04 (hex inverter) 실험 37

6 이론과함께하는디지털회로실험 (2) ND 게이트 ND 게이트의논리기호, 논리식, 진리표는표 2-1과같다. 식 (2.2) 는 ND 게이트의논리식으로입력 와입력 가모두 1인경우에만출력 Y가 1이되고, 그이외의입력조합에대해서는출력 Y가 0이되는것을의미이다. 가능한모든입력조합들각각에대한출력상태를나타낸표를진리표 (truth table) 라고하며, ND 게이트의진리표는표 2-1과같다. Y (2.2) 그림 2-5는 ND 게이트 TTL IC인 74LS08의핀배치도이다. 74LS08에서 7번과 14번단자는 TTL IC에 +5 V의직류전압을공급하기위한단자이다. ND 게이트 74LS08은 2-입력 (input) 1-출력 (output) 의논리소자이므로 14핀의 74LS08 1개는그림 2-5와같이 4개의 ND 게이트로구성된다. V CC 그림 2-5 ND 게이트 74LS08 GND 2- 입력 ND 게이트인 74LS08 이외에 3- 입력 ND 게이트는 74LS11, 4- 입력 ND 게이트는 74LS21 을사용할수있다. 그러나필요에따라 74LS08 을그림 2-6 과같이 3- 입력또는 4- 입력 ND 게이트로응용할수도있다. 38

7 ND OR NOT 게이트실험 02 V CC 입력 입력 입력 C 출력 Y GND 그림 입력 ND 게이트를 3- 입력 ND 게이트로응용 (3) OR 게이트 OR 게이트의논리기호, 논리식, 진리표는표 2-1과같다. 식 (2.3) 은 OR 게이트의논리식으로입력 또는입력 중어느하나라도 1인경우출력 Y가 1이되고, 입력 와입력 가모두 0이되는경우에만출력 Y가 0이되는것을의미이다. 가능한모든입력조합들각각에대한출력상태를나타낸표를진리표라고하며, OR 게이트의진리표는표 2-1과같다. 표 2-1의진리표에서 2-입력 OR 게이트의경우 4가지의가능한입력조합중입력 와입력 가모두 0인경우만출력 Y가 0이되고그이외의경우, 즉입력 와입력 중적어도하나는 1인경우에는출력 Y가 1이되는것을알수있다. Y (2.3) 그림 2-7은 OR 게이트 TTL IC인 74LS32의핀배치도이다. 74LS32 역시 7번과 14번단자는 TTL IC에 +5 V의직류전압을공급하기위한단자이다. 또한 OR 게이트 74LS32 역시 2-입력 (input) 1-출력 (output) 의논리소자이므로 14핀의 74LS32 1 개는그림 2-7과같이 4개의 OR 게이트로구성된다. 실험 39

8 이론과함께하는디지털회로실험 V CC 그림 2-7 OR 게이트 74LS32 GND 2- 입력 OR 게이트인 74LS32 역시필요에따라그림 2-8 과같이 3- 입력또는 4- 입력 OR 게이트로응용할수있다. V CC 출력 Y 입력 입력 입력 C 입력 D GND 그림 입력 OR 게이트를 4- 입력 OR 게이트로응용 40

9 ND OR NOT 게이트실험 디지털실험회로구성및실험단계 디지털논리회로의실험회로구성을그림 2-9에나타내었다. 디지털논리회로실험을위한첫번째단계로 TTL 등의디지털논리회로부품과브레드보드 (bread board) 를이용하여실험회로를구성한다. 예를들어 ND 게이트를이용한디지털논리회로를구성한다면 ND 게이트인 74LS08과필요한전자부품을브레드보드를이용하여실험회로를구성한다. 두번째단계로는브레드보드등을이용하여구성한디지털실험회로에 2가지의입력을인가하여야한다. 첫번째입력은입력전원이고, 두번째입력은입력데이터이다. 모든실험회로에는적절한입력전원을인가하여야한다. 예를들어 TTL 을이용한디지털논리회로에는 +5 V의직류전원을인가하여야한다. 입력전원공급을위해가장일반적으로사용하는실험장비는직류전원공급장치 (DC power supply) 이다. 또한디지털논리회로의동작을위해필수적인다른입력은입력데이터이다. 디지털논리회로이므로 1(=H)/0(= L) 의입력데이터또는클록신호 (clock signal) 등이입력으로주어져야한다. 세번째단계로는디지털실험회로가정상적으로동작하는지의여부를확인하기위해출력신호를디지털멀티미터 (DMM) 또는오실로스코프등의실험장비를이용하여측정하여야한다. 실험 1에서주요실험장비사용법을상세히설명하고, 사용법을익히기위한실험을진행한이유는바로디지털논리회로의실험을위해브레드보드, 직류전원공급장치, 디지털멀티미터, 오실로스코프, 신호발생기등의실험장비가공통적으로필요하기때문이다. 입력전원 ( 직류전원공급장치 ) 입력데이터 (H/L, 클럭신호등 ) 디지털논리회로 ( 브레드보드, TTL 등을이용하여실험회로구성 ) 출력신호 (DMM, OSC 등이용측정 ) 그림 2-9 디지털실험회로구성 실험 41

10 이론과함께하는디지털회로실험 디지털실험회로구성의예를보다구체적으로살펴보기위해그림 2-10에 3-입력 ND 게이트의입력,, C가 = 1, = 1, C = 1인경우출력단자 Y의출력데이터를측정하기위한실제실험회로의구성을나타내었다. 직류전원공급기출력단자의접지선 (GND) 직류전원공급기출력단자의 + 전압 (+5V) 0V 라인 (GND) 출력 Y 단자 +5V 라인 (+V CC ) 접지선 (GND) 입력 입력 입력 C 그림 입력 ND 게이트실험회로구성 ( 입력 ==C=1 인경우 ) 실험내용이매우간단하므로 1단계의실험회로구성역시간단하다. 그림 2-6과같은 ND 게이트인 TTL 74LS08 1개만필요하며, 그이외의다른전자부품은추가적으로필요하지않다. 2-입력 ND 게이트를 3-입력 ND 게이트로응용하기위한결선, 입력전원과입력데이터를인가하기위한결선이실험회로구성을위해필요한결선의전부이다. 42 실험의 2단계로써입력전원 +5V를직류전원공급장치를이용하여공급한다. 또한입력데이터는직류전원의 +5V 라인을입력데이터 1(=H) 로사용하고, 직류전원의접지선을입력데이터 0(= L) 으로사용하여적절한입력데이터를인가한다.

11 ND OR NOT 게이트실험 02 실험의 3단계로써 ND 게이트의출력단자 Y의전압을디지털멀티미터로측정하여실험이올바르게진행되었는지확인한다. 정확한실험을위해서는반드시관련이론을잘이해하고있어야하며, 실험결과를이론적으로미리예측할수있어야한다. 지금예를들어진행하고있는실험에서출력데이터는 Y=1이다. 따라서출력데이터를확인하여출력 Y=1인지를확인하여야한다. 이론적인결과를정확히이해하지못하는경우는실험이제대로진행되었는지스스로판단할수없고, 이론과실험을연계하는응용력을키울수없으므로소기의실험목적을달성하기어렵다. 실험의 4단계는정상적인실험데이터등을기록하고, 실험을종료하는단계이다. 그러나실험데이터가이론적인결과와차이가나는경우는실험이잘못된원인을규명하여이를수정하고, 실험을처음부터다시진행하여야한다. 그림 2-11에는이와같이 4가지단계로구성된디지털논리회로실험단계를나타내었다. 1 단계 : 실험회로구성 (TTL, 브레드보드등이용 ) 실험회로재확인 입력전원과입력데이터재확인 2 단계 : 입력전원과입력데이터인가 ( 직류전원공급장치등이용 ) 3 단계 : 출력데이터확인 ( 디지털멀티미터, 오실로스코프등이용 ) 출력데이터가이론과일치하지않는경우 4 단계 : 실험종료 ( 출력데이터가이론과일치하는경우 ) 그림 2-11 디지털논리회로실험단계 실험 43

12 이론과함께하는디지털회로실험 디지털실험회로수정단계 디지털논리회로실험을하는데있어한번에실험을성공하지못하는경우가흔히있다. 특히실험회로가복잡해질수록시행착오를거치는경우가일반적이다. 따라서실험을성공적으로수행하기위해서는그림 2-11의네번째단계에서실험결과가이론적인결과와상이하여실험을다시진행해야하는경우에대하여정확히이해하는것이매우중요하다. 실험결과에오류가발생하는첫번째이유는 1단계의실험회로구성에문제점이있기때문이다. 실험회로구성에오류가발생하는원인은실험회로결선을잘못하였거나, 브레드보드의접점이불량하거나, 또는사용하는 TTL 등의부품이불량한경우등이다. 가장일반적인오류는실험회로결선을잘못하는경우이므로실험회로가정확히결선되었는지다시한번꼼꼼하게확인하는것이무엇보다중요하다. 실험의오류를찾아내기위한첫번째노력은실험회로가이론적으로맞는지다시한번검토하고, 육안으로실험회로의결선이정확한지재확인하는것이다. 육안으로실험회로의오류를규명하는이단계에서는브레드보드의접점불량이나 TTL 등부품의불량은찾아낼수없다. 입력전원연결 : 74LS08 의 14 번핀을 +V CC (+5V) 에연결 입력전원연결 : 74LS08 의 7 번핀을 GND(0V) 에연결 GND 라인 +V CC 라인 GND 라인 +V CC 라인 입력데이터인가 : 입력 ==C=1(H) 입력 C 출력 Y 단자접지선 (GND) 그림 2-12 실험회로의결선확인 ( 육안확인 ) 44

13 ND OR NOT 게이트실험 02 그리고실험결과에오류가발생하는두번째이유는입력전원또는입력데이터의오류에있다. 즉, 입력전원이인가되지않거나입력데이터가정확하게인가되지않는경우이다. 실험회로가정확히결선되고, 브레드보드나부품에문제가전혀없는경우라하더라도입력전원이인가되지않는다거나입력데이터가인가되지않으면정상적인실험결과를얻을수없는것은지극히당연하다. 이에대한오류여부를확인하기위해서는디지털멀티미터등을이용하여입력전원과입력데이터가정확하게인가되고있는지직접측정해보는방법이가장좋다. 이과정을통해브레드보드의접점불량이나부품의동작불량등의문제점도찾아낼수있다. 그림 2-13은 +5 V의입력전원이정확히 74LS08에인가되고있는지를디지털멀티미터를이용하여확인하는그림이다. 그림 2-13 (a) 와그림 2-13 (b) 의그림에서 7번핀과 14번핀을직접체크하여접지전압과 +V CC 전압을확인하고있다. 실험회로의오류를찾아내는경우 IC 단자를직접체크하는것이매우중요하다. 왜냐하면브레드보드나회로결선용점퍼선 (jumper wire) 의접촉불량등에의해서오류가발생할수도있기때문이다. +5 V(+V CC ) 의입력전압이 TTL 74LS08에인가되고있는지의여부는 14번핀의전압을직접확인하는것이가장좋은방법이다. DMM 측정전압 DMM 측정전압 (a) 접지전압확인 (7 번핀 ) (b) V CC 전압확인 (14 번핀 ) 그림 2-13 입력전원확인 (DMM 이용 ) 실험 45

14 이론과함께하는디지털회로실험 그림 2-14는 3-입력 ND 게이트의입력데이터가정확히 74LS08에인가되고있는지를디지털멀티미터를이용하여확인하는그림이다. 2-입력 ND 게이트인 74LS08을 3-입력 ND 게이트로응용하는회로가그림 2-6이다. 그림 2-6에서입력 는 1번핀, 입력 는 2번핀, 입력 C는 5번핀이다. 그림 2-14에서보는바와같이입력, 입력, 입력 C 역시직접핀의전압을확인하기위하여각각 1번핀, 2번핀, 5번핀의전압을디지털멀티미터를이용하여직접측정하고있다. 그이유는입력전압의확인과마찬가지로입력데이터가 TTL 74LS08에인가되고있는지의여부는입력단자의전압을직접확인하는것이가장좋은방법이기때문이다. 측정전압 측정전압 측정전압 (a) 입력데이터 확인 (b) 입력데이터 확인 (c) 입력데이터 C 확인 그림 2-14 입력데이터확인 (DMM 이용 ) 46 입력전원과입력데이터가정확히인가되고있는것을확인한상태에서도출력데이터가이론적인결과와다르게나타나는경우는최초입력단에서최종출력단까지 1단씩넘어가면서각단의입력과출력을측정하여이론적인결과와비교하여야한다. 이를위해서는최종출력단의이론적인결과뿐만아니라중간단계에서의이론적인결과도정확히이해하여야한다. 성공적인실험을위해무엇보다먼저이론적인이해가선행되어야하는이유가여기에있다. 그림 2-15는최종출력단의전압을디지털멀티미터를이용하여확인하는그림이다.

15 ND OR NOT 게이트실험 02 DMM 을이용한출력 Y 측정전압 그림 2-15 출력데이터확인 (DMM 이용 ) 2.3 실험부품 부품및장비 규격및수량 NOT 게이트 개 부품 TTL ND 게이트 개 OR 게이트 개 직류전원공급장치 (DC power supply) 장비 디지털멀티미터 (DMM) 브레드보드 (bread board) 기타 jumper wire wire stripper( 또는 nipper) 실험 47

16 이론과함께하는디지털회로실험 2.4 실험방법 실험 1. NOT 게이트실험 7404 Y 그림 2-16 NOT 게이트실험회로 1 TTL 7404 를이용하여그림 2-16 NOT 게이트실험회로를구성하여라. 직류전원공급장치의 GND 단자에연결 입력전원연결 : 74LS04 의 14 번핀을 +V CC (+5V) 에연결 입력전원연결 : 74LS04 의 7 번핀을 GND(0V) 에연결 GND 라인 +5V 라인 직류전원공급장치의 +5V 단자에연결 GND 라인 +5V 라인 입력데이터인가 : 현재입력 =0 상태 ( 접지선에연결 ) 입력 출력 Y 단자접지선 (GND) 그림 2-17 NOT 게이트실험회로구성 48

17 ND OR NOT 게이트실험 02 직류전원공급장치의접지단자 (0V) 직류전원공급장치의 + 전압단자 (+5V) 직류전원공급장치 DMM 이용출력 Y 의전압측정 그림 2-18 NOT 게이트실험회로의입력전원및입력데이터연결 그림 2-16의 NOT 게이트실험회로구성시그림 2-17과그림 2-18을참조하여라. 그림 2-16 NOT 게이트실험회로에표시되지는않았지만 TTL IC의전원단자에그림 2-17과같이직류전원 (+5V) 을연결하여야한다. 즉, 7404의 7번핀 (GND) 을 0V의접지선에연결하고, 14번핀 (V CC ) 을 +5V의입력전원에연결하는것을잊지말아야한다. 이와같이 TTL IC의전원단자에입력전원을연결하는것은실험회로에별도로표시되지않은경우에도반드시주의하여연결하여야한다. 입력 단자에표 2-2에표시된전압을인가하는경우의 Y단자의출력전압을측정하여표 2-2에기재하여라. Y단자의출력전압은소수점한자 리까지측정하여라. 그림 2-17에서입력 가접지선에연결되어있으므로입력 는디지털데이터 0에해당하는 0V가인가된다. 또한입력 를 +5 V선에연결하면디지털데이터 1에해당하는 +5 V가인가된다. 이와같이 1/0 의입력데이터는입력전원을이용하여인가할수있다. 2 그림 2-16 NOT 게이트실험회로의입력 단자에직류전원공급장치를이용하여입력전압을 0~5 V까지가변하면서 Y단자의출력전압이 5 V (= H) 가되는입력전압의범위를측정하여표 2-2 에기재하여라. 또한 입력전압을 0~5 V 까지가변하면서 Y 단자의출력전압이 0 V(= L) 가 되는입력전압의범위를측정하여표 2-2 에기재하여라. 실험 49

18 이론과함께하는디지털회로실험 입력단자 의전압을 0~5V 까지가변하기위한출력단자 TTL IC 의 +5V 입력전원용출력단자 그림 2-19 NOT 게이트실험회로의입력데이터가변 (0~5 V) 실험단계 2의실험회로구성을위해서는입력전원과입력데이터가각각별도의직류전원을사용하여야하므로실험을위해그림 2-19와같이출력이 2개인직류전원공급장치를사용하여야한다 Y 그림 2-20 이중 NOT 게이트실험회로 3 TTL 7404를이용하여그림 2-20 이중 NOT 게이트실험회로를구성하여라. 입력 단자에표 2-2에표시된전압을인가한경우의 Y단자의출력전압을측정하여표 2-2에기재하여라. Y단자의출력전압은소수점한자리까지측정하여라. 50

19 ND OR NOT 게이트실험 02 실험 2. ND 게이트실험 7408 Y 그림 2-21 ND 게이트실험회로 4 TTL 7408을이용하여그림 2-21 ND 게이트실험회로를구성하여라. 입력 단자와 단자에표 2-3에표시된전압을각각인가한경우의 Y 단자의출력전압을측정하여표 2-3에기재하여라. Y단자의출력전압은소수점한자리까지측정하여라. C Y 그림 입력 ND 게이트실험회로 5 TTL 7408을이용하여그림 입력 ND 게이트실험회로를구성하여라. 실험회로구성시그림 2-12를참조하여라. 입력 단자, 단자, C단자에표 2-3에표시된전압을각각인가한경우의 Y단자의출력전압을측정하여표 2-3에기재하여라. 실험 51

20 이론과함께하는디지털회로실험 실험 3. OR 게이트실험 7432 Y 그림 2-23 OR 게이트실험회로 6 TTL 7432를이용하여그림 2-23 OR 게이트실험회로를구성하여라. 입력 단자와 단자에표 2-4에표시된전압을각각인가한경우의 Y 단자의출력전압을측정하여표 2-4에기재하여라. Y단자의출력전압은소수점한자리까지측정하여라. C Y 그림 입력 OR 게이트실험회로 7 TTL 7432를이용하여그림 입력 OR 게이트실험회로를구성하여라. TTL 7432를이용하고, 실험회로구성시그림 2-12를참조하여라. 입력 단자, 단자, C단자에표 2-4에표시된전압을각각인가한경우의 Y단자의출력전압을측정하여표 2-4에기재하여라. 52

21 ND OR NOT 게이트실험 실험결과 실험 1. NOT 게이트실험 표 2-2 NOT 게이트실험데이터 실험단계입력 () 출력 (Y) 참고사항 1 0[V] 5[V] [V] [V] DMM을이용하여소수점한자리까지측정 2 0 ~ [V] 5 [V] ~ 5 [V] 0[V] DC power supply를이용하여입력 단자의전압을가변 3 0[V] 5[V] [V] [V] DMM을이용하여소수점한자리까지측정 실험 53

22 이론과함께하는디지털회로실험 실험 2. ND 게이트실험 표 2-3 ND 게이트실험데이터 실험단계 입력 [V] C 출력 (Y) 참고사항 [V] [V] [V] DMM을이용하여소수점한자리까지측정 [V] [V] [V] [V] [V] [V] DMM을이용하여소수점한자리까지측정 [V] [V] [V] 54

23 ND OR NOT 게이트실험 02 실험 3. OR 게이트실험 표 2-4 OR 게이트실험데이터 실험단계 입력 [V] C 출력 (Y) 참고사항 [V] [V] [V] DMM을이용하여소수점한자리까지측정 [V] [V] [V] [V] [V] [V] DMM을이용하여소수점한자리까지측정 [V] [V] [V] 실험 55

24 이론과함께하는디지털회로실험 2.6 검토사항 실험단계 1의실험결과 ( 표 2-2) 를이용하여 NOT 게이트회로의논리식을나타내고, NOT 게이트회로의동작특성에대하여간단히설명하여라. 실험단계 2의실험결과 ( 표 2-2) 에서측정된입력전압범위를참조하여 NOT 게이트에서디지털데이터 0으로인식하는전압범위가얼마인지답하여라. 실험단계 2의실험결과 ( 표 2-2) 에서측정된입력전압범위를참조하여 NOT 게이트에서디지털데이터 1로인식하는전압범위가얼마인지답하여라. 실험단계 3의실험결과 ( 표 2-2) 를이용하여이중 NOT 게이트회로의논리식을나타내고, 이중 NOT 게이트회로의동작특성에대하여간단히설명하여라. 실험단계 4의실험결과 ( 표 2-3) 를이용하여 2-input ND 게이트회로의논리식을나타내고, 2-input ND 게이트회로의동작특성에대하여간단히설명하여라. 실험단계 5의실험결과 ( 표 2-3) 를이용하여 3-input ND 게이트회로의논리식을나타내고, 3-input ND 게이트회로의동작특성에대하여간단히설명하여라. 실험단계 6의실험결과 ( 표 2-4) 를이용하여 2-input OR 게이트회로의논리식을나타내고, 2-input OR 게이트회로의동작특성에대하여간단히설명하여라. 실험단계 7의실험결과 ( 표 2-4) 를이용하여 3-input OR 게이트회로의논리식을나타내고, 3-input OR 게이트회로의동작특성에대하여간단히설명하여라. 실험시의특이사항및실험에대한종합결론을정리하여라. 56

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 Digital Logic Circuits CHAPTER 3 논리게이트 CONTENTS 3. 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 CHAPTER 3 논리게이트 디지털시스템은트랜지스터 (transistor) 를이용하여구현되는논리게이트들에의해구성된다.

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터 실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터의전면패널에꼽는다. 통상적으로검은색프로브는전면패널의검은단자 (COM) 에꼽으며, 빨간색프로브는빨간색단자에꼽는다.

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로 9 장동기순차회로 동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로분류. v v v 동기순차회로 : 클록펄스에의해서동작하는회로 비동기순차회로

More information

제 호 년 제67차 정기이사회, 고문 자문위원 추대 총동창회 집행부 임원 이사에게 임명장 수여 월 일(일) 년 월 일(일) 제 역대 최고액 모교 위해 더 확충해야 강조 고 문:고달익( 1) 김병찬( 1) 김지훈( 1) 강보성( 2) 홍경식( 2) 현임종( 3) 김한주( 4) 부삼환( 5) 양후림( 5) 문종채( 6) 김봉오( 7) 신상순( 8) 강근수(10)

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

슬라이드 1

슬라이드 1 한경대학교전기전자제어공학과 유동상교수 실험목적 - 회로의주파수응답및필터에대해이해 강의내용 - 주파수응답과필터 - 저주파통과필터 - 고주파통과필터 오늘의실험 - Multisim을이용한시뮬레이션 - 브레드보드에회로구성을통한실험및계측 이득 (Gain) : 입력정현파의진폭에대한출력정현파의진폭의비 gain output amplitude input amplitude

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA>

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA> 전력전자 로봇 자동화공학부 www.dongyang.ac.kr 전기회로기초 - 학습내용 교류전압전류의표현방법 전력및역률 계측기사용법 전력용반도체소자및동작원리 전기회로기초 - 계측기사용법 함수발생기 함수발생기 (function generator) 또는신호발생기 (signal generator) 는디지털회로또는아날로그전자회로에정현파, 구형파, 삼각파등의신호를공급하는실험장비

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

6장 부울 함수의 간소화

6장 부울 함수의 간소화 6 장부울함수의간소화 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 논리회로간소화혹은최적화 부울식의간소화 : term을감소하거나 literal를감소한다. term은게이트의수, literal은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법 논리회로를부울함수로표현한후부울함수를간소화

More information

<C3CA3520B0FAC7D0B1B3BBE7BFEB202E687770>

<C3CA3520B0FAC7D0B1B3BBE7BFEB202E687770> 1. 만화경 만들기 59 2. 물 속에서의 마술 71 3. 비누 탐험 84 4. 꽃보다 아름다운 결정 97 5. 거꾸로 올라가는 물 110 6. 내가 만든 기압계 123 7. 저녁 노을은 맑은 날씨? 136 8. 못생겨도 나는 꽃! 150 9. 단풍잎 색깔 추리 162 10. 고마워요! 지렁이 174 1. 날아라 열기구 188 2. 나 누구게? 198 3.

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

개인용전기자극기의 안전성및성능평가가이드라인

개인용전기자극기의 안전성및성능평가가이드라인 개인용전기자극기의 안전성및성능평가가이드라인 2014. 3 목 차 1 서론 - 1 - - 2 - - 3 - 2 개인용전기자극기개요 - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - 범례 해설: GZJ [통증완화용경피전기신경자극기]: 100개 IPF [재활치료용전동식근육자극기]: 92개 NGX [근육운동용전동식근육자극기]: 28개

More information

- 1 -

- 1 - - 1 - - 2 - 교류전원포트 직류전원포트 함체포트접지포트시험대상기기신호 / 제어포트 ( 포트의설명 ) - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - Ω μ - 9 - - 10 - AAN - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - 소방용품 전자파장해방지기준 전자파내성기준 비고 누전경보기 ( 수신부 ) 적용

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다.

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 2. 다음설명중조합논리회로의특징으로옳지않은것은? < 나 > 가입 출력을갖는게이트의집합으로출력값은

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

Microsoft PowerPoint - ch11_reg.pptx

Microsoft PowerPoint - ch11_reg.pptx 11 장레지스터 레지스터 (egister) 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

온습도 판넬미터(JTH-05) 사양서V1.0

온습도 판넬미터(JTH-05)  사양서V1.0 온습도 조절기 Model:JTH-05 1. 제품 사양. [제품 구분] JTH-05A(입력 전원 AC), JTH-05D(입력 전원 DC) [전원 사양] JTH-05A 입력 전압 출력 전원 소비 전력 JTH-05D AC 90~240V DC 10~36V 12Vdc / Max.170mA Max.2W [본체 사이즈] ~ 온/습도 범위(본체): 사용 [0 ~ 50, 85%RH

More information

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수 2 주차 3 차시수의표현과연산 학습목표 1. 진법과수의구성에대해설명할수있다. 2. 논리회로에대해설명할수있다. 학습내용 1 : 진법과수의구성 - 우리는 10 진수체계안에서살고있다. 10 진수체계는한단위에서 0~9 까지가면한자리왼쪽에 1 을더하여다시 0 이되는방식. 1. 진법과수의구성 * 10진법 : 0~9까지사용하며 10을한자리의기본단위로하는진법 * 2진법 :

More information

제 1 장 집적회로 개요

제 1 장  집적회로 개요 실험 #2-A 반도체다이오드의특성실험 1. 실험목적 다이오드의특성에대해조사한다. 2. 서론 모든반도체다이오드는단향적특성을가지고있다. 순방향저항은매우낮은반면에역방향저항은매우높기때문이다. 다이오드에대한전압대전류의곡선을그려가며구체적으로그특성을조사한다. 3. 관련이론 다이오드내부저항 V D V D V T r D Ideal diode I D I D 다이오드의순방향저항

More information

03_원격제어반_IDAC-2W

03_원격제어반_IDAC-2W IDAC-2W (Intelligent Distributed Automatic Controller) 1. 개요 IDAC-2W 는 HVAC 의공기조화기, 냉온수열원장비, BC 의저소음휀및기타설비장비를제어하기위한제어기기이다. LCD( Liquid Crystal Display) 가장착된이제어기기는장비의다양한제어기능및데이터통신기능을가지며, Key- Pad 를이용하여장비의운전및설정할수있다.

More information

형명및정격 (MCCB) 배선용차단기 (AB - 시리즈 ) 프레임의크기 50AF Type 형명 정격 극수 (Pole) 정격전류 (In) A 정격전압 (Ue) AC (V) DC (V) 정격절연전압 (Ui) V 정격임펄스전압 (Uimp) kv 정격차단전류 (ka) 주1) A

형명및정격 (MCCB) 배선용차단기 (AB - 시리즈 ) 프레임의크기 50AF Type 형명 정격 극수 (Pole) 정격전류 (In) A 정격전압 (Ue) AC (V) DC (V) 정격절연전압 (Ui) V 정격임펄스전압 (Uimp) kv 정격차단전류 (ka) 주1) A 0F 정격 극수 (Pole) C () 정격임펄스전압 (Uimp) k 정격차단전류 (k) 주1) C K SC 821 (Sym) 4/00 IEC0947-2 (lcu) 41 2 DC 순시트립동작특성내구수명 ( 회 ) 기계적전기적 c1 주2) c2 주2) 부속장치 보조접점 X L 부족 UT 외부조작 표면부착형 (D) 핸들 확장형 (E) 단자커버 Long Short

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (H

1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (H 신제품 안내 [HD-SDI 전송장치] 1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (HD : / Full HD: 130m) 다양한 방식으로

More information

Microsoft PowerPoint - Ch12

Microsoft PowerPoint - Ch12 Ch. 12 Operational Amplifier (OP-AMP) 개요 기호및단자 Symbol Invert Noninvert V- 1 8 NC V+ Output Typical Package 개요 이상적인 OP-Amp Z in = ; A v = ; bandwidth = ; Z out = 0 실제적인 OP-Amp Z in = very high (MΩ); A v

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

DIB-100_K(90x120)

DIB-100_K(90x120) Operation Manual 사용설명서 Direct Box * 본 제품을 사용하기 전에 반드시 방송방식 및 전원접압을 확인하여 사용하시기 바랍니다. MADE IN KOREA 2009. 7 124447 사용하시기 전에 사용하시기 전에 본 기기의 성능을 충분히 발휘시키기 위해 본 설명서를 처음부터 끝까지 잘 읽으시고 올바른 사용법으로 오래도록 Inter-M 제품을

More information

Microsoft PowerPoint - CHAP-01 [호환 모드]

Microsoft PowerPoint - CHAP-01 [호환 모드] 컴퓨터구성 Lecture #2 Chapter : Digital Logic Circuits Spring, 203 컴퓨터구성 : Spring, 203: No. - Digital Computer Definition Digital vs. nalog Digital computer is a digital system that performs various computational

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

Microsoft Word - _»ï¿ø G_ LV77 MAIN PCB ¼ö¸®Æ÷ÀÎÆ®.doc

Microsoft Word - _»ï¿ø G_ LV77 MAIN PCB ¼ö¸®Æ÷ÀÎÆ®.doc 수리포인트 1. SYNC 출력신호 PARALLEL 통신에서적색점멸됨메인단자통해 SYNC신호가입력되면 Q3 Base에 L 신호동작전압이인가되어 Collector을통해 U7 9PIN에 H 신호가입력되면 U7 8PIN에서 L 신호가출력된다. SYNC 단자에 18~19V정도의통신신호발생하는데저항R35 불량 ( 단선 ) 으로전압이 0 가걸리면 PARALLEL신호로연결시적색점멸표시됨

More information

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드]

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드] Opertl Ampler A µa7 tegrted rut h eght etg p 주요한단자. ertg put. ertg put. utput. pte pwer upply 5. egte pwer upply b The rrepdee betwee the rled p umber the tegrted rut d the de the pertl mpler. NC : et

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

7장 조합 논리 회로

7장 조합 논리 회로 7 장조합논리회로 7. 조합논리회로해석 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 2 n 개의입력 2 진조합이가능 입력 조합논리회로 출력 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후, 논리회로의동작을해석. 조합회로해석과정 입 / 출력에대한변수의수와변수명을결정한다. n 개의입력변수에대해 2 n 개의 2 진조합에대한각게이트의출력부울함수를표시한다.

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

특징 찾아보기 열쇠 없이 문을 열 수 있어요! 비밀번호 및 RF카드로도 문을 열 수 있습니다. 또한 비밀번호가 외부인에게 알려질 위험에 대비, 통제번호까지 입력해 둘 수 있어 더욱 안심하고 사용할 수 있습니다. 나만의 비밀번호 및 RF카드를 가질 수 있어요! 다수의 가

특징 찾아보기 열쇠 없이 문을 열 수 있어요! 비밀번호 및 RF카드로도 문을 열 수 있습니다. 또한 비밀번호가 외부인에게 알려질 위험에 대비, 통제번호까지 입력해 둘 수 있어 더욱 안심하고 사용할 수 있습니다. 나만의 비밀번호 및 RF카드를 가질 수 있어요! 다수의 가 www.kdnetwork.com 특징 찾아보기 열쇠 없이 문을 열 수 있어요! 비밀번호 및 RF카드로도 문을 열 수 있습니다. 또한 비밀번호가 외부인에게 알려질 위험에 대비, 통제번호까지 입력해 둘 수 있어 더욱 안심하고 사용할 수 있습니다. 나만의 비밀번호 및 RF카드를 가질 수 있어요! 다수의 가능할 삭제할 건전지 사용자를 위한 개별 비밀번호 및 RF카드

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open 1. 연산증폭기 -연산증폭기(operational amplifier) 는증폭기를 IC(integrated circuit, 집적회로 ) 로꾸민것이다. -입력임피던스가크고, 출력임피던스가작으며, 증폭률이아주큰특징을가지는증폭기로집적된것이다. -연산증폭기중에서가장널리이용되고있는 741에는 20개의트랜지스터, 11개의저항, 1개의축전기가크기 3mm 3mm에집적되어있다.

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과 1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과기동전류가 400% 이하로표준모터의 650% 대비상당히낮은기동특성을가지고있어, 압축기운용시기동시간등을감안하여

More information

Microsoft PowerPoint - lec06_2007

Microsoft PowerPoint - lec06_2007 Opertil Ampliier A µa74 itegrted circuit h eight cectig pi 주요한단자. iertig iput. iertig iput. utput 4. pitie pwer upply 5. egtie pwer upply b The crrepdece betwee the circled pi umber the itegrted circuit

More information

농어촌여름휴가페스티벌(1-112)

농어촌여름휴가페스티벌(1-112) 좋아유~보은!여러가지 체험으로자연을누려보세요 보은군 농촌체험산업협의회 맑은물 맑은공기비단강숲마을 영동군 비단강 숲마을 보은군은 전국 어디서나 찾아오기 쉬우며, 비단강 숲마을은 자연 그대로가 마을 곳곳에 녹아 잘 보존된 깨끗한 자연환경과 천년의 신비를 간직 흐르는 곳이다. 푸르른 들녘과 알록달록 익어 가는 과일, 한 속리산과 법주사, 장안면 아흔아홉간집, 서원계

More information

Microsoft PowerPoint - 제06장.ppt [호환 모드]

Microsoft PowerPoint - 제06장.ppt [호환 모드] 6 장부울함수의간소화 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법 논리회로를부울함수로표현한후부울함수를간소화

More information

ePapyrus PDF Document

ePapyrus PDF Document 부스배치도 2011년 11월17일~11월20일 특별후원 사 다중지능이론 교육제품, 학습사이트, 멀티미디어 11/4 9,254명 11/7 22,865명 장치 포함 내역 부스위치 선정 : 계약금 납입순서, 참가, 전년도 참가실적을 기준으로 하며, ~11/6, 11~11/7 9/1~9/30, 11월호 11월호 보도자료 32 여성 월 월 활동 사진 사진 2011년

More information

<4D F736F F F696E74202D20C0FCC0DAC8B8B7CEBDC7C7E8312E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20C0FCC0DAC8B8B7CEBDC7C7E8312E BC8A3C8AF20B8F0B5E55D> 전자회로실험 (PSPICE 사용법 ) 대진대학교전자공학과 2010년 2 학기 Lecture #1 2010. 09. 10 목차 PSPICE 사용법 Q&A 공지사항 2 1 PSPICE의전체과정 1. 회로도그리기 (Schematic) (1) 소자가져오기 (Draw) (2) 결선 (Wire) (3) 기준 node의접지 2.Simulation (1) 조건설정 (Simulation/Setup)

More information

도 1 명세서 도면의 간단한 설명 도 1은 본 발명의 바람직한 실시예에 따른 데이터 송수신 장치의 회로도이다. 도 2는 도 1에 도시된 등화기의 일 실시예를 보여주는 회로도이다. 도 3은 도 1에 도시된 프리엠퍼시스 회로의 일 실시예를 보여주는 회로도이다. 도 4는 본

도 1 명세서 도면의 간단한 설명 도 1은 본 발명의 바람직한 실시예에 따른 데이터 송수신 장치의 회로도이다. 도 2는 도 1에 도시된 등화기의 일 실시예를 보여주는 회로도이다. 도 3은 도 1에 도시된 프리엠퍼시스 회로의 일 실시예를 보여주는 회로도이다. 도 4는 본 (51) Int. Cl. 7 H04B 3/04 (19)대한민국특허청(KR) (12) 등록특허공보(B1) (45) 공고일자 (11) 등록번호 (24) 등록일자 2005년08월24일 10-0509949 2005년08월17일 (21) 출원번호 10-2003-0082348 (65) 공개번호 10-2005-0048423 (22) 출원일자 2003년11월19일 (43)

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information