Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현

Size: px
Start display at page:

Download "Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현"

Transcription

1 Digital Logic Circuits CHAPTER 3 논리게이트 CONTENTS 3. 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현

2 CHAPTER 3 논리게이트 디지털시스템은트랜지스터 (transistor) 를이용하여구현되는논리게이트들에의해구성된다. 이장에서는제장에서설명한기본논리연산들및변형된몇가지연산들을수행하는논리게이트들의동작특성과응용사례에대하여설명하고자한다. 그리고논리게이트들의내부회로를구현하기위한각종트랜지스터회로의구성도와동작원리를분석해본다. 3. 기본논리게이트 디지털회로의설계에서사용되는가장기본적인논리게이트들은.5절에서소개하였던 AND 게이트, OR 게이트및 NOT 게이트이다. 그들의동작특성을보여주는진리표는이미설명하였으므로, 여기서는그게이트들의기호들만다시살펴보면그림 3-과같다. (a) AND 게이트 (b) OR 게이트 (c) NOT 게이트 그림 3- 기본적인논리게이트들 4

3 CHAPTER 3 논리게이트 3.. AND 게이트 AND 게이트는두개혹은그이상의입력들에대하여 AND 연산을수행하고, 그결과 값을출력으로발생하는전자회로이다. 그림 3-2는입력단자 A와 B로그림에서와같은파형 (waveform) 을가진입력신호들이각각들어올때, AND 게이트가어떤파형의출력신호를발생하는지를보여주고있다. 여기서파형이란 과 에해당하는전기적신호 ( 예 : V와 5V) 가시간에따라연속적으로바뀌는모습을그림으로표현한것을말한다. 그림 3-2를보면 AND 게이트는두입력신호들이게이트의각입력단자로들어와서통과하는동안에두신호가모두 에해당하는전압을가지는경우에만출력신호가 이되고, 어느입력으로든 에해당하는신호가들어오는순간에는출력신호가 으로떨어지는것을확인할수있다. 그림 3-2 입력파형들에대한 AND 게이트의출력파형 그림 3-2의좌측과같은파형들이각각입력단자 A와 B로들어오는시간흐름동안에 t 부터 t 사이에는입력신호들이모두 이므로, 출력신호 F 는 이된다. t ~ t 2 에서는 A=, B=이므로출력신호 F=이다. 그러나 t 2 ~ t 3 에서는 A와 B가모두 이므로출력신호 F=이된다. 그이후의시간흐름에서는 t 6 ~ t 7 에서 A와 B가모두 이므로 F=이되고, 그외에는적어도어느한입력이 이므로 F로는 이출력된다. 5

4 디지털논리회로 예제 3- 극장에관객이입장할때마다센서 (sensor) 가작동하여펄스 (pulse) 신호를한개씩발생시키는장치가설치되어있다. 영화가시작되기직전 분동안입장하는관객수만카운트하여디스플레이해주는회로를구성하라. 풀이그림 3-3에서보는것과같이, 관객이입장할때마다생성되는펄스신호들을 2-입력 AND 게이트의한입력단으로연결하고, 입장객수를카운트할시간구간 ( 영화시작전 분 ) 동안만 상태를유지하는카운트신호를 AND 게이트의다른입력으로인가해준다. 그러면카운트신호가 인동안에들어오는펄스들만 AND 게이트를통과하게되며, 그출력을카운터 (counter) 로입력시켜계수한다음에디스플레이하면된다. ( 카운터와디스플레이회로에대해서는제8장과제9장에서자세히설명된다.) 게이트 디스플레이 카운트신호 카운터 분전영화시작 그림 3-3 선택구간동안의신호들만카운트하는회로 AND 게이트의동작은세개혹은그이상의입력신호들에대해서도동일하게수행된다. 예를들어, 3-입력 AND 게이트의경우에는입력신호 A,B,C 가모두 일때만출력 F=이되며, 그들중의어느하나라도 이라면 F=이된다. 이게이트에대한기호와진리표는그림 3-4와같은데, 입력신호가세개이기때문에입력조합의수는 2 3 =8개가된다. 6

5 CHAPTER 3 논리게이트 A B C F (a) 기호 (b) 진리표 그림 입력 AND 게이트의기호와진리표 예제 3-2 자동차운전자가엔진을켠후 5초이내에안전벨트를착용하지않으면경고음 (alarm) 이울리는회로를구성하라. 단, 경고음이울린후에라도안전벨트를착용하면즉시경고음이꺼지도록한다. 풀이엔진스위치가켜지면 을발생하는신호를 3-입력 AND 게이트의첫번째입력으로연결하고, 그신호를지연회로 (delay circuit) 로입력시켜 초후에 로세트되도록한다음에 AND 게이트의두번째입력으로들어가게한다. 그리고안전벨트를착용하지않으면 을출력하고, 착용한즉시 이되는신호를세번째입력으로연결하여그림 3-5(a) 와같이회로를구성하면된다. 그림 3-5(b) 는엔진을켠다음 5초후에안전벨트를착용한경우에대한입출력파형들을보여주고있다. 결과적으로, 경고음은 초동안울리다가꺼진다는것을알수있다. 엔진스위치 (E) ON(), OFF() 지연회로 D(5 초지연 ) A 안전벨트 (S) 미착용 (), 착용 () (a) 3- 입력 AND 게이트를이용한회로구성도 경고음스피커 7

6 디지털논리회로 초 5 초 초 5 초 t 엔진점화 (E) 지연된신호 (D) 안전벨트 (S) 경고음신호 (A) 경고음발생 (b) 입출력파형 그림 3-5 안전벨트경고음발생회로 3..2 OR 게이트 OR 게이트는두개혹은그이상의입력값들에대하여 OR 연산을수행하고, 그결과값 을출력으로발생하는전자회로이다. 그림 3-6은입력 A와 B로그림에서와같은파형을가지는입력신호들이각각들어올때, 2-입력 OR 게이트가어떤출력파형을발생하는지를보여주고있다. 두입력신호들이게이트로들어오는시간흐름동안에두신호의레벨이모두 일때는출력신호가 이된다는것을알수있다. 그러나두입력신호들중의어느하나라도 이들어온다면, 출력신호는 이된다. 그림 3-6 입력파형들에대한 OR 게이트의출력파형 OR 연산도세개혹은그이상의입력들에대하여다중-입력 OR 게이트에의해동일하게수행될수있다. 그림 3-7은세개의입력단자들을가진 OR 게이트가입력파형들에 8

7 CHAPTER 3 논리게이트 대하여어떤출력파형을발생하는지를보여주고있다. 이경우에도세입력값들이모두 일때만출력 F= 이되며, 어느한입력으로든 이들어온다면 F= 이된다는것을확인 할수있다. 그림 입력 OR 게이트의입출력파형 예제 3-3 어떤주택에침입탐지시스템을설치하려고한다. 현관문과두개의창문에침입탐지센서가각각한개씩설치되어있으며, 그들중의어느한곳에서라도침입자가있어센서출력이 이된다면경고음이울리도록시스템을구성하라. 풀이그림 3-8과같이세개의센서들의출력을각각 3-입력 OR 게이트로입력시키고, 그출력을이용하여경고음발생장치를구동시키면된다. 센서 창문 A 센서 창문 B 현관문 센서 경고음발생장치 그림 3-8 주택침입방지시스템을위한 OR 회로 9

8 디지털논리회로 3..3 NOT 게이트인버터 (inverter) 라고도불리는 NOT 게이트는입력으로하나의신호만받으며, 그입력 신호를반전시켜출력을발생하므로, 출력신호 F는그림 3-9와같이발생한다. 즉, 입력신호 A가 일때는 F로 이출력되며, A=일때는 F=이된다. 그림 3-9 입력파형에대한 NOT 게이트의출력파형 예제 3-4 부호비트를가진 2진수에대하여 의보수 를취함으로써반대부호의수로바꾸어주는보수기 (complementer) 의내부회로를구성하라. 풀이어떤 2진수에대하여 의보수를취하기위해서는모든비트들을 은 로, 은 으로반전시켜야한다. 만약데이터의길이가 8비트라면, 보수기는그림 3-과같이 8개의인버터들을이용하여구성할수있다. 그림에는한예로서양수인 을 의보수로표현된음수로변환한결과가표시되어있다. 그림 3- 인버터를이용한 8- 비트보수기의구성도

9 CHAPTER 3 논리게이트 3.2 NAND 게이트와 NOR 게이트 디지털시스템에서는지금까지살펴본기본게이트들의변형인 NAND 게이트와 NOR 게이트도널리사용되고있다. 이게이트들은내부전자회로를비교하면오히려기본게이트들보다더간단하지만, 기능적으로는 AND 게이트와인버터혹은 OR 게이트와인버터를결합한것으로볼수있다. 이절에서는 NAND 게이트와 NOR 게이트의동작특성에대하여살펴보기로한다 NAND 게이트 NAND 게이트는 AND 게이트와반대되는출력신호를발생하는전자회로이다. 그림 3-은 2-입력 NAND 게이트에대한기호와그동작특성을나타내는진리표를보여주고 있다. 즉, 이게이트는입력신호 A와 B 중의어느하나라도 이면출력신호 F=을발생하며, 두입력들이모두 인경우에만 F=이된다. 결과적으로, 이게이트는 AND 게이트의출력단에인버터를연결한것과같은기능을수행한다. A B F (a) 기호 (b) 진리표 그림 3- NAND 게이트의기호와진리표 그림 3-2는그림의좌측과같은파형을가지는신호들이입력 A와 B로각각들어올때 NAND 게이트가어떤파형의출력을발생하는지를보여주고있다. 그림에서보는바와같이, 두입력신호들이게이트로들어오는시간흐름동안에두신호의값들이모두 일때는출력신호가 이된다. 그러나입력신호들중의어느하나라도 인경우에는출력신호가 이된다는것을알수있다.

10 디지털논리회로 그림 3-2 입력파형들에대한 NAND 게이트의출력파형 예제 3-5 프린터에서토너가부족해지거나용지가없는경우에는경고등이켜지게하는회로를구성하라. 풀이토너가충분할때는, 부족하면 을발생하는신호와종이가있는경우에는, 없는경우에는 을발생하는신호를그림 3-3과같이 NAND 게이트의두입력으로각각연결하여회로를구성한다. 그렇게하면둘중의어느한입력이라도 이되는경우에경고음발생신호가 로세트된다. 출력신호 은 +5V를의미하므로, 회로의저항을통하여적절한전류가흐르게되어경고등이켜지게된다. 토너 충분 (), 부족 () R 있음 (), 없음 () 프린터용지 경고등 그림 3-3 프린터소모품부족경고등발생회로 다음절에서자세히설명하겠지만, NAND 게이트는내부전자회로가 AND 게이트보 다더간단하다. 따라서미세한차이지만시간지연도더짧고전력소모도더적기때문 2

11 CHAPTER 3 논리게이트 에, 실제회로구현에서다른게이트와결합하여다양한용도로사용되고있다. 예를들어, 이게이트는그림 3-4(a) 와같이두입력단자들을연결하여하나의입력만받도록회로를구성한다면, 인버터와같은기능을수행할수있다. 또한그림 3-4(b) 와같이 NAND 게이트의출력에 (a) 의회로를직렬로연결한다면, AND 연산을수행할수도있다. 이와같이 NAND 게이트는다른논리연산기능을수행하는게이트로재구성하는것이용이하기때문에만능게이트 (universal gate) 라고도불린다. (a) 인버터로의변환 (b) AND 게이트로의변환 그림 3-4 NAND 게이트의재구성 NOR 게이트 NOR 게이트는 OR 게이트와반대되는출력신호를발생하는전자회로이다. 그림 3-5 는 2-입력 NOR 게이트에대한기호와동작특성을나타내는진리표를보여주고있다. 즉, 이게이트는입력신호 A와 B 중의어느하나라도 이면출력신호 F로 을발생하며, 두입력신호들이모두 인경우에만 F=이된다. 결과적으로, 이게이트는 OR 게이트의출력단에인버터를연결한것과같은기능을수행한다. A B F (a) 기호 (b) 진리표 그림 3-5 NOR 게이트의기호와진리표 그림 3-6은그림에서와같은파형을가지는신호들이입력 A와 B로각각들어올때 NOR 게이트가어떤파형의출력을발생하는지를보여주고있다. 두입력신호들이게이트로들어오는시간흐름동안에두신호들의값이모두 일때는출력신호가 이된다. 그러나두입력신호들중의어느하나라도 인경우에는출력신호가 이된다는것을 3

12 디지털논리회로 확인할수있다. 그림 3-6 입력파형들에대한 NOR 게이트의출력파형 예제 3-6 어떤은행에서사용중인금고는근무시간이아닐때는담당직원과보안요원이모두입회한경우에만열수있다. 따라서그조건이만족되지않은상태에서는 금고사용불가 를알리는적색경고등이켜져있도록해주는회로를구성하라. 풀이근무시간중일때, 근무시간외에는 을발생하는신호를그림 3-7과같이 NOR 게이트의한입력으로접속한다. 그리고담당직원이나보안직원이입회한경우에는, 아니면 이되는두개의신호를 AND 게이트로접속하고, 그출력을 NOR 게이트의다른입력으로연결하여회로를구성한다. 그러면근무시간외에는담당직원과보안요원중의어느한사람이라도없는경우에는 AND 게이트의출력이 이되기때문에 NOR 게이트의두입력이모두 이되므로, 회로의최종출력은 로세트되어경고등이켜진상태가된다. 근무시간중 (), 시간외 () 담당직원있음 (), 없음 () R 보안요원있음 (), 없음 () 경고등 그림 3-7 NOR 게이트를이용한은행금고경고등제어회로 4

13 CHAPTER 3 논리게이트 NOR 게이트도기호상으로는 NOR 게이트의출력단에인버터가추가로접속된형태이지만, 내부전자회로는 OR 게이트보다더간단하다. 따라서시간지연도더짧고전력소모도더적기때문에, 실제회로구현에서다양한용도로사용되고있다. 이게이트도그림 3-8(a) 와같이두입력단자들을연결하여하나의입력만받도록회로를구성한다면, 인버터와같은기능을수행할수있다. 또한그림의 (b) 와같이 NOR 게이트의출력에 (a) 의회로를직렬로연결한다면, OR 연산을수행할수있다. 이와같이 NOR 게이트도다른논리연산기능을수행하는게이트로재구성하는것이용이하기때문에, NAND 게이트와마찬가지로만능게이트라고불린다. (a) 인버터로의변환 (b) OR 게이트로의변환 그림 3-8 NOR 게이트의재구성 3.3 Exclusive-OR 게이트 다양한응용들을위한디지털시스템을쉽게구성할수있도록하기위하여, 지금까지설명한기본적인논리게이트들외에도몇가지변형된게이트들이구성되어있다. 그대표적인게이트가 exclusive-or 게이트 ( 익스크루시브-OR 게이트라고읽음 ; 이하 XOR 게이트라함 ) 이다. XOR 연산은몇개의기본게이트들을이용하여구현되기도하지만 ( 제4장에서설명 ), 단일회로로구현할수도있기때문에그림 3-9(a) 와같이하나의기호로표시하고있다. 앞에서설명한게이트들과는달리, 항상두개의입력만가지는 XOR 게이트는두입력의값이같을때는출력으로 을발생하고, 서로다를때는 을발생한다. 즉, 그림 3-9(b) 의진리표에서보는바와같이, 입력 A와 B가모두 이거나 A와 B가모두 인경우에는출력 F=이된다. 그러나 A=, B= 혹은 A=, B=인경우에는 F=이된다. 따라서이게이트는두값이서로같은지혹은다른지를비교하는기능을쉽게구현할수있게해준다. 5

14 디지털논리회로 A B F (a) 기호 (b) 진리표 그림 3-9 XOR 게이트의기호와진리표 그림 3-2은그림에서와같은파형을가지는신호들이입력 A와 B로각각들어올때 XOR 게이트가어떤파형의출력을발생하는지를보여주고있다. 그림의결과에서보는바와같이, 두입력신호들이게이트로들어오는시간흐름동안에모두 이거나모두 일때는출력신호가 이된다. 그러나두입력신호들이서로다른값을가지는경우에는출력신호가 이된다는것을확인할수있다. 그림 3-2 입력파형들에대한 XOR 게이트의출력파형 예제 3-7 제2장에서설명하였던 2진덧셈규칙을다시쓰면아래표와같다. 합 (S) 과올림수 (C) 를생성하는회로를구성하라. A B S C 6

15 CHAPTER 3 논리게이트 풀이합 (S) 은두비트가같으면, 다르면 이된다. 그리고올림수 (C) 는두비트가모두 일때만 이된다. 따라서합은두입력비트들간에 XOR 연산, 올림수는 AND 연산을각각수행하면얻을수있으므로, 아래와같은회로를구성하면된다. A B 합 (S) 올림수 (C) 그림 진수덧셈을위한회로 XOR 게이트의다른응용예로는비교기 (comparator), 오류검출을위한패리티발생기 (parity generator) 및패리티검사기 (parity checker) 등으로매우다양한데, 그회로들의 구성에대해서는제 6 장에서자세하게설명할것이다. 유사한기능을가지는변형된게이트로서그림 3-22과같은 exclusive-nor 게이트 ( 이하 XNOR 게이트라함 ) 가있다. 그림 3-22(a) 에서보는바와같이이게이트의기호는위의 XOR 게이트와유사하지만, 출력단에버블 (bubble: 작은원 ) 이추가되는것이다르다. 버블표시는 XNOR 게이트의출력값이 XOR 게이트의반대가된다는것을의미한다. 즉, 그림 3-22(b) 의진리표에서와같이, 두입력값이같은경우에는출력 F=을발생하고, 서로다른경우 (A=, B= 혹은 A=, B=) 에는 F=을발생한다. A B F (a) 기호 (b) 진리표 그림 3-22 XNOR 게이트의기호와진리표 7

16 디지털논리회로 그림 3-23은좌측과같은파형을가지는신호들이입력 A와 B로각각들어올때 XNOR 게이트가어떤출력파형을발생하는지를보여주고있다. 두입력파형들이게이트로들어오는시간흐름동안에두입력신호들이모두 이거나모두 일때는출력신호가 이된다. 그러나두입력신호들이서로다른값을가지는경우에는출력신호가 이된다는것을확인할수있다. 그림 3-23 입력파형들에대한 XNOR 게이트의출력파형 예제 비트길이의두개의 2진수들 (A = a a, B = b b ) 간에크기를비교하고, 같은경우에는 을출력하는회로를구성하라. 풀이 2진수들이같은크기라는것을판단하는조건은동일한자릿수를가지는비트들의값이서로같아야한다 ( 즉, a =b 및 a =b ). 따라서먼저 XNOR 연산을이용하여두비트씩을비교한다. 만약두 XNOR 연산들의결과가모두 이라면, 2진수 A와 B의크기가같다는것을의미한다. 따라서그림 3-24와같이두개의 XNOR 게이트들을이용하여두비트들을비교한다음에, 그결과들을 AND 게이트로입력시킨다. 그러면두수가동일한경우에는회로의최종결과로 이출력될것이며, 어느한비트라도다르다면, 이출력된다. 8

17 CHAPTER 3 논리게이트 a b F = (A=B) (A ) a b 그림 진수들간의크기를비교하는회로 3.4 논리게이트의구현 지금까지설명한논리게이트들은전자회로소자 (electronic component) 들을이용하여구현된다. 여기서전자회로소자란트랜지스터 (transistor), 다이오드 (diode) 및저항 (resistor) 등을말하며, 그들을적절히결합하여해당논리연산을수행하는게이트회로를반도체칩상에제조하게된다. 그들중에서핵심소자인트랜지스터는반도체회로의제조공정에따라몇가지로분류될수있으며, 각각은전력소모량, 공간및속도측면에서장단점을가지고있다. 논리게이트들은구현에사용되는트랜지스터및부품들의종류에따라아래와같은계열 (family) 로분류된다. RTL(Resistor-Transistor Logic) DTL(Diode-Transistor Logic) TTL(Transistor-Transistor Logic) MOSFET(Metal-Oxide Semiconductor Field-Effect Transistor) CMOS(Complementary Metal-Oxide Semiconductor) 이와같은구현방법들을이용하여게이트회로를설계하는것은전자공학및반도체공학에관한세부적인지식이필요하며, 이책의범위를벗어난다. 따라서이절에서는논리게이트들의동작원리를이해하는데필요한수준에서간략히설명하고자한다. 9

18 디지털논리회로 3.4. RTL 및 DTL 게이트회로 논리게이트들은.4절에서설명한스위치회로로구현될수도있다. 그러나반도체기술이개발된이후에는기계장치인스위치를전자부품인트랜지스터로대체할수있게됨에따라, 게이트회로의속도가높아지고크기도대폭축소되었다. RTL 계열과 DTL 계열은반도체부품이개발된초기의논리연산회로구현에사용된기술로서, 현재는거의사용되지않고있지만회로의구성과동작원리를이해하는데도움이되기때문에먼저살펴보기로한다. RTL 및 DTL 게이트의회로를분석하기위해서는먼저 2극트랜지스터 (bipolar transistor; 이하트랜지스터라고함 ) 의동작원리를이해해야한다. 이트랜지스터는내부구성요소인다이오드회로의극성에따라 NPN 형과 PNP 형으로분류되는데, 반도체재료인실리콘 (silicon) 을이용하면 NPN 트랜지스터가된다. 그림 3-25(a) 는 NPN 트랜지스터의그래픽기호를보여주고있다. 이트랜지스터는세개의단자들을가지고있는데, 이들은각각베이스 (base: B), 콜렉터 (collector: C), 에미터 (emitter: E) 라고부른다. 그림 3-25(b) 는 NPN 트랜지스터의베이스단자와콜렉터단자에각각저항 R B 와 R C 가접속된스위칭회로를보여주고있다. 각저항은내부회로에흐르는전류의크기를조절하기위하여삽입된다. 회로에서보는바와같이콜렉터는 Vcc(+5V) 와접속되어전원공급통로가되며, 에미터는접지 (V) 와연결된다. 트랜지스터의스위칭동작은베이스단자로인가되는입력전압 Vi에의해결정된다. Vi에의해베이스와에미터간의전위차인 V BE 가인가되는데, 그값이일정기준전압 (threshold voltage; 일반적으로.6V) 이상이되면실리콘트랜지스터의특성에따라베이스전류 (I B ) 가급속히증가하게된다. 만약 Vi가논리적 을나타내는 +5V가된다면, 트랜지스터는포화 (saturation) 영역에들어가게되어콜렉터와에미터사이에전류가 I C =Vcc/R C 크기로흐르게된다. 이것은사실상트랜지스터의콜렉터와에미터가서로접속되어전도상태 (conduction state) 가된것을의미하며, 회로에서스위치가닫힌상태에해당한다. 결과적으로, 콜렉터가접지와연결된것과같은상태가되므로출력전압 Vo는 V가된다. 그런데만약 Vi=V, 즉 V BE 가 V 혹은그에근접한값이되면, 트랜지스터는차단상태 (cutoff state) 가되어 I C 는흐르지못한다. 이것은스위치가열려있는상태에해당하며, 이경우에는 Vo=Vcc가된다. 트랜지스터는베이스전류에따라다양한크기의증폭기능을가지지만, 논리연산회 2

19 CHAPTER 3 논리게이트 로에서사용될때는입력전압으로 논리적 을나타내는 V 혹은 논리적 을나타내는 +5V 만인가되기때문에전도 ( 포화 ) 상태혹은차단상태에서만동작하게된다. 콜렉터 (C) V CC (+5V) R C 베이스 (B) R B V O 에미터 (E) V i V BE I C (a) 기호 (b) 스위칭회로 그림 3-25 NPN 트랜지스터및스위칭회로 이제그림 3-25(b) 회로의전체동작을논리적입력에대한연산동작관점에서다시살펴보기로한다. 만약입력전압이 V라면트랜지스터는차단상태가되어출력전압은 +5V가된다. 그리고만약입력전압이 +5V라면트랜지스터는전도상태가되어출력전압은 V가된다. 결과적으로, 이회로는입력전압의레벨을반전시켜주는인버터 (NOT 게이트 ) 로서동작하게되는것이다. 그리고이것은저항 (resistor) 과트랜지스터를이용하여구성되었기때문에 RTL 회로라고부른다. RTL 회로는그림 3-26과같이두개의트랜지스터들 (Q, Q 2 ) 을직렬로접속하고, 각트랜지스터의베이스단자로는서로다른입력신호 A와 B가인가되도록구성할수도있다. 이경우에만약두입력들로 V가인가된다면, 두트랜지스터는모두차단상태가되어 I C 는흐르지못하며, 결과적으로출력 F=Vcc(+5V) 가된다. 그리고입력 A로 5V가인가되고입력 B는 V라면, Q 은전도상태가되지만 Q 2 는차단상태가되어전류가흐르지못하므로, 이경우에도 F=5V가된다. 그러한상태는입력 A로 V, B로 5V가인가되는경우에도같은결과를얻게된다. 2

20 디지털논리회로 그러나만약두입력으로모두 5V가인가되는경우에는 Q 및 Q 2 가모두전도상태가되어 I C 가흐르게되므로, 출력 F=V가된다. 이와같은동작과정은그림 3-26(b) 에정리되어있는데, 이것은 2-입력 NAND 게이트의동작특성을보여주는진리표에해당한다. 즉, 그림 3-26(a) 는 NAND 게이트를 RTL로구현한회로이다. V CC R C A R A Q F A B F V V 5V V 5V 5V B R B I C Q 2 5V 5V V 5V 5V V (a) NAND 게이트회로 (b) 진리표 그림 3-26 NAND 게이트의 RTL 구현및진리표 그리고이회로의출력단에그림 3-25(b) 의인버터회로를연결한다면, AND 게이트회로가된다. 앞에서는논리적으로 AND 게이트의출력에인버터를접속하여 NAND 게이트를구성한다고설명하였지만, 여기서확인할수있는바와같이실제로는 NAND 게이트에인버터를추가하여 AND 게이트를구성하는것이다. 즉, NAND 게이트의내부회로가더간단하며동작시간도더짧다는것을알수있다. 그러한이유때문에 NAND 게이트를기본게이트라고도부르는것이다. 그림 3-26(a) 의회로에세번째트랜지스터를 Q 2 아래에직렬로추가접속한다면, 3-입력 NAND 게이트가된다. 그림 3-27(a) 는두개의트랜지스터들을병렬로접속한 RTL 구현을보여주고있다. 이회로의경우에는입력전압 A와 B가모두 V라면두트랜지스터들이차단상태가되기때문에, 출력 F=Vcc(5V) 가된다. 그러나만약 A와 B 중에서어느하나라도 5V가들어온다면, 해당트랜지스터는전도상태가되어모든전류는그트랜지스터의에미터로흐르게되 22

21 CHAPTER 3 논리게이트 므로, 출력전압은 V가된다. 또한두입력이모두 5V인경우에도같은결과가나타난다. 즉, 그림 3-27(a) 의회로는입력신호 A와 B 중의어느하나라도 5V라면출력이 이되는 2-입력 NOR 게이트를구현한것이며, 그림 3-27(b) 는그회로의동작특성을보여주는진리표이다. 그리고그림 3-27(a) 회로에트랜지스터를한개더병렬로접속한다면, 3-입력 NOR 게이트가된다. 또한앞에서설명한 NAND 게이트의경우와마찬가지로, 회로의출력단에그림 3-25(b) 의인버터회로를접속한다면 OR 게이트회로를구성할수있다. V CC R C A B F R A A Q R B B Q 2 F V V 5V 5V V 5V V 5V 5V V V V (a) NOR 게이트회로 (b) 진리표 그림 3-27 OR 게이트의 RTL 구현및진리표 다이오드 (diode) 와트랜지스터로구성되는 DTL 회로는 RTL 회로와마찬가지로실제게이트구현에사용되지는않지만, 회로동작의이해를돕기위하여간략히살펴보기로한다. 그림 3-28은 DTL 방식으로구성된 2-입력 NAND 게이트회로를보여주고있다. 이회로에서는각입력신호가다이오드와접속되어있다. 다이오드의그래픽기호는그림 3-28(a) 와같으며, 양극에해당하는애노드 (anode) 와음극에해당하는캐소드 (cathode) 간에 +5V의정방향전압이인가된다면전류가흐르게되어스위치를닫은것과같은전도상태가된다. 그러나만약 V 혹은역방향전압이걸린다면, 전류가흐르지못하여스위치가열린것과같은차단상태가된다. 그림 3-28(b) 의회로를보면각입력단은다이오드의캐소드와접속되어, 두입력들중의어느하나라도 V가되면 Vcc(+5V) 와입력단자간에정방향의전위차가발생하여전류가흐르게된다. 따라서트랜지스터 Q 의베이스로인가되는전압은 V가되며, 결과적 23

22 디지털논리회로 으로트랜지스터 Q 은 V BE 가기준전압에미달하게되므로차단상태가된다. 따라서출력전압 F는 논리적 의상태를나타내는 5V가된다. 그러나만약두입력으로동시에 5V가인가된다면, Vcc와다이오드의캐소드간에는전위차가없기때문에전류가흐르지못하게된다. 그러한경우에는 Vcc가 Q 의베이스단자로인가되어 Q 이전도상태가되므로, F=이된다. 즉, 이회로는 2-입력 NAND 게이트의동작을수행하게되는것이다. 트랜지스터의베이스에접속된두개의다이오드들은입력단의잡음 (noise) 신호로인한오동작을방지하기위하여삽입된다. V CC R D R C F 애노드 (A) 캐소드 (C) A Q V AC B R B (a) 다이오드의그래픽기호 (b) NAND 게이트회로 그림 3-28 다이오드의기호및 NAND 게이트의 DTL 구현 그림 3-28(b) 의회로에서입력단에같은방법으로다이오드를한개더추가한다면 3-입력 NAND 게이트를구성할수있다. 그리고이회로의출력단에그림 3-25(b) 의인버터회로를접속하면 AND 게이트회로가된다. 또한회로입력단의다이오드들을모두반대방향으로위치시켜입력신호 A와 B가각각다이오드의애노드와접속되도록하고, Vcc와다이오드사이의연결을제거하여회로를재구성한다면, 이회로는 NOR 게이트가된다. 즉, 입력들중의어느하나라도 5V가된다면, 다이오드를통하여전류가 Q 의베이스로흘러 Q 이전도상태가되므로 F=이되는것이다. 그러나만약 A=B=이라면, Q 2 는차단상태가되어 F=5V가된다. 24

23 CHAPTER 3 논리게이트 TTL 게이트회로 논리게이트회로의안정성을높이기위하여 RTL 및 DTL 회로를개선한것이 TTL 회로이다. TTL 게이트는소규모반도체 IC 칩으로제조되는대표적인디지털부품이며, 약간의회로수정을통하여속도, 전력소모량및가격측면에서다양한유형으로제조되고있다. TTL 게이트는 DTL의입력단다이오드들을트랜지스터로대체한것으로서, 기본적인회로는그림 3-29와같다. 그림 3-29의 2-입력 TTL NAND 게이트회로를보면, 입력신호들이연결되는 NPN 트랜지스터 Q 은입력단자수만큼의에미터들을가지고있다. 그리고 Q 의콜렉터가 Q 2 의베이스로접속되며, Q 2 의콜렉터전압이회로의출력이된다. 만약입력신호들중에서어느하나라도 V가된다면, Vcc(+5V) 와그에미터간에는순방향회로가구성되어전류가흐르게된다. 따라서 Q 2 는 V BE 가기준전압에미달하게되므로차단상태가되어, 출력 F=5V가된다. 그러나만약입력 A와 B로동시에 5V가인가된다면, Q 의베이스와에미터들사이에는전류가흐르지못한다. 그렇게되면 Q 의베이스와콜렉터접합부분이순방향바이어스 (forward-biased) 상태가되어, Vcc가 Q 2 의베이스전압으로인가된다. 그렇게되면 Q 2 는포화상태가되어콜렉터와에미터간에전류가흐르므로, 출력 F=V가되는 NAND 연산이이루어지는것이다. V CC R B R C F A B Q Q 2 그림 입력 TTL NAND 게이트회로 25

24 디지털논리회로 그림 3-29의회로에서입력단트랜지스터인 Q 의에미터들의수를추가하면다중-입력 NAND 게이트를만들수있다. 그리고이회로의경우에도출력단에그림 3-25(b) 와같은인버터회로를접속하면 AND 게이트가된다. 앞에서설명한바와같이 TTL 게이트는널리사용되고있기때문에사용환경및응용에따라적절히선택하여사용할수있도록다양한특징을가진회로들이개발되어있다. < 표 3-> 은 TTL 게이트의유형들과몇가지특성들을보여주고있다. 기본적인트랜지스터들로구성되는회로를표준 TTL 게이트라고부르는데, 이유형의게이트회로들을집적시킨 IC 칩의번호는 74 로시작된다. 예를들어, 네개의 NAND 게이트들이집적되어있는 IC 칩의번호는 74이고, AND 게이트와 OR 게이트칩의번호는각각 748과 7432이다. TTL 게이트는반도체칩에집적시킬때뒤에서설명할다른유형들에비하여면적을많이차지하는편이기때문에주로소규모인 SSI 칩으로제조된다. 일반적으로네개의게이트들이하나의 IC 칩에포함되는경우에전력소모량은 mw이며, 게이트응답시간에해당하는전파지연 (propagation delay) 은 9ns 정도이다. 모바일기기와같이전력소모량을최소화시켜야하는응용을위하여저전력 (low-power) TTL 칩도개발되었는데, 이유형의칩은 mw의전력만소모하는대신에, 전파지연은 33ns로길어져속도가느려지는단점을가지고있다. 그러한칩의번호에는 74L과같이숫자들사이에 L 이추가된다. 반면에, 속도를높인고속형 TTL 칩 (74H) 의경우에는전파지연이 6ns로짧아진대신에, 전력소모량은 22mW로증가한다. 다음단계의개선된유형은쇼트키 (schottky) TTL 게이트이다. 이회로는속도를더높이기위하여포화상태까지도달하지않고도 과 의상태전이를할수있는트랜지스터를사용한다. 그결과로서, < 표 3-> 에서보는바와같이전파지연이 3ns로크게줄어들었다. 그러나그칩의전력소모량은상당한높은편이기때문에, 저전력쇼트키 (low-power schottky) TTL 및고급쇼트키 (advanced schottky) TTL과같은개선된회로들이계속하여개발되었다. 그들중에서도가장탁월한성능을가지는유형은신속 (fast) 함을특징으로하는 F 형칩으로서, 전력소모량과속도가각각 4mW 및 3ns이다. 26

25 CHAPTER 3 논리게이트 < 표 3-> TTL 게이트의유형과특성들 ( 예 : NAND 게이트 ) TTL 유형칩번호표기전력소모량 [mw] 전파지연 [ns] 표준형 74 9 저전력형 74L 33 고속형 74H 22 6 쇼트키형 74S 9 3 저전력쇼트키 74LS 2 9 고급쇼트키 74AS 8.7 신속형 74F MOS 게이트회로 전자 (electron) 와정공 (hole) 에의해전류가흐르는 2극트랜지스터와는달리, 그둘중의하나에의해전류흐름이가능한전계효과트랜지스터 (field-effect transistor: 이하 FET 라고함 ) 를이용하여게이트회로를구현할수도있다. FET는 JFET(junction FET) 와 MOSFET(metal-oxide semiconductor FET) 로구분되는데, JFET는주로선형회로에사용되며, MOSFET가디지털게이트회로의스위칭소자로사용된다. MOSFET는반도체칩상에제조될때차지하는면적이 TTL 회로에비하여 2~3% 정도밖에되지않기때문에고밀도집적이가능하여, VLSI 칩을위해주로사용되고있다. MOSFET로는 NMOS라고부르는 n-채널트랜지스터와 PMOS라고부르는 p-채널트랜지스터가있는데, 동작전압의극성이서로반대이다. 이트랜지스터는드레인 (drain), 소스 (source) 및게이트 (gate) 라는세개의단자들을가지고있으며, 그래픽기호는그림 3-3(a) 와같다. NMOS 트랜지스터는게이트와소스간의전압 V GS 로기준값이상의양전압 (positive voltage) 이인가된다면전도상태가되어, 드레인으로부터소스로전류가흐르게된다. 그러나만약 V GS =V라면, 트랜지스터는차단상태가되어전류가흐르지못한다. 그림 3-3(b) 는하나의 NMOS 트랜지스터를이용한간단한스위칭회로를보여주고있다. 전원 V DD (+5V) 와드레인사이에는전류의크기를조절하기위한부하저항 (load resistor) R D 가접속된다. 만약게이트에인가되는입력전압 Vi가 V라면, 트랜지스터는차단상태가되어출력전압 Vo는 +5V가된다. 그러나만약 Vi=+5V가된다면, 트랜지 27

26 디지털논리회로 스터는전도상태가되어 V DD 와접지사이에전류 I DS 가흐르게되므로출력전압 Vo=V 가된다. 즉, 이회로는입력신호가 논리적 이라면출력은 논리적 이되는인버터회로 가되는것이다. V DD 드레인 (D) R D V o 게이트 (G) V i I DS 소스 (S) (a) 그래픽기호 (b) 인버터회로 그림 3-3 NMOS 트랜지스터의기호와 NMOS 인버터회로 그림 3-3(a) 는두개의 NMOS 트랜지스터들을직렬로접속한회로를보여주고있다. 이회로에서는두개의입력 A와 B 중에서어느하나라도 V가된다면, 그입력을받은트랜지스터는차단상태가되기때문에 V DD 와접지사이에는전류가흐르지못하게되어출력 F=V DD 가된다. 그러나만약 A와 B로동시에 +5V가인가된다면, 두트랜지스터모두전도상태가되기때문에 V DD 와접지사이에전류가흐르게되므로, 출력 F=V가된다. 즉, 이회로는 2-입력 NAND 게이트를 NMOS로구현한결과를보여주고있다. 그림 3-3(b) 는두개의 NMOS 트랜지스터들을병렬로접속하여 NOR 게이트를구현한회로를보여주고있다. 이회로에서는두개의입력 A와 B 중에서어느하나라도 +5V 가인가된다면, 그신호를받는트랜지스터는전도상태가되기때문에 V DD 와접지사이에전류가흐르게되어출력 F는 V가된다. 그러나만약 A=B=V라면, 두트랜지스터는모두차단상태가되어출력 F=+5V가된다. 즉, 이 NMOS 회로는 2-입력 NOR 연산을수행하게되는것이다. 28

27 CHAPTER 3 논리게이트 V DD V DD R D R D F F A A B B (a) NAND 게이트회로 (b) NOR 게이트회로 그림 3-3 NAND 게이트및 NOR 게이트의 NMOS 구현 그런데 NMOS 트랜지스터의드레인과게이트를서로접속하고 V DD 를공통으로인가한다면, 그트랜지스터는항상전도상태로있으며일정저항값을가지는수동적소자가되는데, 이것을 NMOS 저항이라고부른다. 따라서게이트회로에서부하저항 R D 대신에그와같이접속한트랜지스터를사용하는경우가많은데, 그이유는반도체칩의제조과정에서 NMOS 트랜지스터가저항보다더적은면적을차지하고만들기도쉽기때문이다. 한예로서, NMOS NOR 게이트의출력단에인버터를접속하여 OR 게이트를구성한그림 3-32(a) 회로를살펴보기로하자. 이회로에서는 V DD 와구동트랜지스터사이에 NMOS 저항을연결하여부하저항으로사용하고있다. 즉, 이회로의좌측부분은그림 3-3(b) 의 NOR 게이트회로를 NMOS 저항을이용하여재구성한것이고, 우측부분은그림 3-3(b) 의인버터회로를재구성한것이다. 그와유사한다른예로서, 그림 3-32(b) 는 XOR 게이트를 NMOS 트랜지스터들을이용하여구현한회로를보여주고있다. 이회로에서는입력신호 A와 B뿐아니라그들을반전시킨 A 및 B 신호의사용도가능하다고가정하고있다. 만약두입력값들이동시에 V(A=B=) 라면, 아래편좌측의두 NMOS 트랜지스터들은차단되지만우측의두트랜지스터들은모두전도상태가되므로출력 F=이된다. 그리고 A=B=+5V인경우에는좌측의두트랜지스터들 (Q 2, Q 3 ) 이모두전도상태가되어, 우측트랜지스터들 (Q 4, Q 5 ) 의상태와상관없이 F=이된다. 그러나만약입력 A와 B가서로다른값을가진다면 29

28 디지털논리회로 (A=V, B=5V, 혹은 A=5V, B=V), 아래편의직렬로연결된두트랜지스터들중의하나 (Q 2 혹은 Q 3, Q 4 혹은 Q 5 ) 는차단상태가되기때문에출력 F=+5V가된다. 결과적으로, 두입력값들이같을때는 논리적 을발생하고, 서로다를때는 논리적 을발생하는 XOR 게이트가구현된것이다. V DD V DD Q F F A Q 2 A Q 4 A B B Q 3 B Q 5 (a) OR 게이트회로 (b) XOR 게이트회로 그림 3-32 NMOS 저항을이용한 NMOS 게이트회로들 CMOS 게이트회로 앞에서설명한바와같이 MOSFET의유형으로는 NMOS뿐아니라 p-채널트랜지스터인 PMOS도있다. PMOS는게이트의전압이 V가되면전도상태가되고, +5V가인가되면차단상태가된다. 즉, NMOS와는반대극성의전압에대하여응답하는특성을가지고있다. 그러한동작특성때문에 PMOS 트랜지스터의그래픽기호는그림 3-3(a) 의게이트단자에버블 (o) 을표시한다. 그런데이와같이서로반대되는동작특성을가지는 NMOS 및 PMOS 트랜지스터들을함께이용하여게이트회로를구성할수도있는데, 이것을 CMOS(complementary MOS) 게이트라고한다. 그림 3-33(a) 는 PMOS 와 NMOS 트랜지스터들을직렬로연결하여구성한인버터회로 를보여주고있다. 이회로는 PMOS 를 V DD (+5V) 와접속하고, 그아래에 NMOS 를연결 3

29 CHAPTER 3 논리게이트 한형태이다. 그리고두트랜지스터의게이트들은서로접속되어동시에입력신호가인가되며, 아래쪽트랜지스터의드레인전압이회로의출력이된다. 만약입력신호 Vi = V 라면, 위의 PMOS는전도상태가되고아래의 NMOS는차단상태가된다. 그러한동작을개념적인스위치회로로나타낸것이그림 3-33(b) 이다. 이경우에는그림과같이출력단자가 V DD 와접속된것과같은상태가되므로 Vo=V DD 가된다. 그러나만약 Vi=+5V라면, PMOS는차단상태가되고 NMOS는전도상태가된다. 그림 3-33(c) 는그경우에대한동작을스위치회로로표시한것이다. 이때는그림과같이출력단자가접지와접속된것과같은상태가되어 Vo=V가된다. 결과적으로, 그림 3-33(a) 의회로는 CMOS로구현한인버터 (NOT 게이트 ) 인것이다. V DD V DD V DD Vi V o Vi= V o =V DD Vi=5V V o =V (a) 인버터회로 (b) Vi = V 일때 (c) Vi = +5V 일때 그림 3-33 CMOS 인버터회로및스위칭개념도 CMOS의동작특성을이용하여 2-입력 NAND 게이트를구현하면그림 3-34(a) 와같아진다. 이회로에서는먼저두개의 PMOS 트랜지스터들을병렬로위치시킨다음에 V DD 를접속하며, 그아래에두개의 NMOS 트랜지스터들을직렬로접속한다. PMOS인 Q 의게이트는 NMOS인 Q 3 의게이트와접속되어입력 A를동시에받으며, Q 2 의게이트는 Q 4 의게이트와접속되어입력 B를받는다. 만약입력 A와 B 모두혹은그둘중의어느하나라도 V라면, Q 과 Q 2 중에서적어도하나는전도상태가되지만 Q 3 혹은 Q 4 가차단되기때문에, 출력 F=V DD (+5V) 가된다. 그러나만약 A=B=+5V라면, Q 과 Q 2 는모두차단상태가되고 Q 3 과 Q 4 가모두전도상태가되기때문에, 출력 F는접지된것과같아져 V 가된다. 그림 3-34(b) 의진리표는그와같은동작특성들을보여주고있다. 이진리표에서 3

30 디지털논리회로 on 은해당트랜지스터가전도상태라는것을나타내며, off 는차단상태를나타낸다. 결 과적으로, 이회로는 NAND 연산을수행한다는것을확인할수있다. V DD Q Q 2 A B Q Q 2 Q 3 Q 4 F F V V on on off off 5V A Q 3 V 5V 5V V on off off on off on on off 5V 5V B Q 4 5V 5V off off on on V (a) NAND 게이트회로 (b) 진리표 그림 3-34 CMOS NAND 게이트회로및진리표 그림 3-35(a) 는 CMOS NOR 게이트회로를보여주고있다. CMOS NAND 게이트회로와는반대로, 이회로에서는위쪽의 PMOS 트랜지스터들이직렬로접속되고아래의 NMOS들은병렬로접속된다. 이회로에서도 Q 과 Q 3 의게이트들은서로접속되어입력 A를동시에받으며, Q 2 와 Q 4 의게이트들은접속되어입력 B의단자가된다. 만약입력 A 와 B 모두혹은그둘중의어느하나로 +5V가인가된다면, Q 과 Q 2 중의하나는차단상태가되어 Q 과 Q 2 와의접속은단절되지만, Q 3 와 Q 4 는모두전도상태가되기때문에출력단자는접지와접속되는상태가되어 F=이된다. 그러나만약 A=B=V가된다면 Q 과 Q 2 는모두전도상태가되고, Q 3 와 Q 4 는모두차단상태가되기때문에출력 F=V DD 가된다. 이동작특성들을진리표로정리한결과는그림 3-35(b) 와같다. 결과적으로, 이회로는 NOR 연산을수행한다는것을확인할수있다. 32

31 CHAPTER 3 논리게이트 V DD A Q A B Q Q 2 Q 3 Q 4 F V V on on off off 5V B Q 2 F V 5V 5V V 5V 5V on off off on off on on off off off on on V V V Q 3 Q 4 (a) NOR 게이트회로 (b) 진리표 그림 3-35 CMOS NOR 게이트회로및진리표 CMOS로구현한 NAND 게이트및 NOR 게이트의출력단에각각그림 3-33(a) 의인버터회로를연결하면, AND 게이트와 OR 게이트가된다. 그리고그림 3-34(a) 및그림 3-35(a) 의회로에 PMOS 트랜지스터와 NMOS 트랜지스터를동일한방법으로하나씩더접속한다면, 각각 3-입력 NAND 게이트및 3-입력 NOR 게이트를구성할수있다. 3.5 논리게이트 IC 칩을이용한회로구현 제장에서설명한바와같이논리게이트는반도체 IC 칩으로제조된다. 그런데 IC 칩에는많은수의트랜지스터들을집적시킬수있기때문에, 일반적으로하나의 IC 칩내에여러개의게이트들을포함시킨다. 앞에서설명한기본적인논리게이트들은각각 개이하의트랜지스터들을이용하여구성할수있다. 따라서논리게이트 IC 칩 ( 이하 IC 칩이라함 ) 은제장에서설명한 IC 분류에서 SSI에속한다. 일반적으로하나의 SSI급 IC 칩에는 4~6개정도의게이트들을넣는다. 그리고각 IC 칩은부여된고유의번호를통하여구분할수있다. 33

32 디지털논리회로 이장에서공부한논리게이트들을포함하고있는 IC 칩들은그림 3-36과같다. 먼저, 그림의 (a) 와같이 748이라는번호가부여된 IC 칩에는네개의 AND 게이트들이포함되어있다. 그리고내부회로로전원을공급하기위한 Vcc(+5V) 및접지 (ground: GND) 핀이필요하다. 따라서 748 칩은네개의 2-입력 AND 게이트들의입출력핀들과두개의전원핀들을합하여모두 4개의핀을가진 DIP형 IC 칩으로제조된다. 그림에서보는바와같이 IC 칩의상단부 (top) 에는반원모양이파여져있으며, 그좌측아래의첫번째핀이 번으로지정된다. 그리고반시계방향으로 번부터 4번까지의핀번호가지정된다. (a) 748(AND 게이트 ) (b) 7432(OR 게이트 ) (c) 74(NAND 게이트 ) (d) 742(NOR 게이트 ) 그림 3-36 논리게이트 IC 칩들의내부구성및핀번호 34

33 CHAPTER 3 논리게이트 그림 3-36 의 (b), (c) 및 (d) 는각각 7432(OR 게이트 ) 칩, 74(NAND 게이트 ) 칩, 그리 고 742(NOR 게이트 ) 칩의내부구성과핀번호들을보여주고있다. 이들은모두 748 칩과 마찬가지로해당게이트들이네개씩포함되어있으며, 핀번호도같은방법으로지정된다. 그림 3-37 의 744 칩은여섯개의 NOT 게이트들을포함하고있는 IC 칩으로서, hex inverter 칩이라고부른다. NOT 게이트는입력핀과출력핀이각각한개씩만필요하기 때문에, 그림과같이 4 핀칩에여섯개를넣을수있다. 그림 칩의내부구성과핀번호 이와같이각종논리게이트들을포함하고있는 IC 칩들을이용하여논리회로를구현하 는방법은필요한칩의핀들을리드선 (lead wire) 을이용하여서로연결해주면된다. < 예 제 3-9> 는그러한구현을위한한가지예를보여주고있다. 35

34 디지털논리회로 예제 3-9 아래와같은논리회로를 IC 칩들을이용하여구현하는방법을제시하라. 그림 3-38 < 예제 3-9> 를위한논리회로 풀이그림 3-38의회로는두개의 AND 게이트들과한개씩의 OR 게이트및 NAND 게이트로구성되어있으므로, 748, 7432 및 74 칩이필요하다. 748에는네개의 AND 게이트들이포함되어있지만, 회로구현에는두개만필요하므로그들중에서두개를선택하여사용하면된다. 그리고 OR 게이트와 NAND 게이트는한개씩만필요하므로, 7432와 74 칩에서각각한개만사용하면된다. 회로구현은그세개의 IC 칩들을그림 3-39와같이적절히배열하고, 사용할게이트들의입력및출력핀들을그림 3-36의내부구성을참고하여서로연결해주면된다. 각칩에서실제사용할게이트들은임의로선택하면되지만, 칩들간에연결되는리드선들의길이가가능한한최소화될수있도록하는것이바람직하다. 이예에서는 748 칩의우측에위치한두개의 AND 게이트들이선택되었으며, 7432 칩에서는좌측아래에위치한 OR 게이트, 그리고 74 칩에서는좌측상단에위치한 NAND 게이트가각각사용되었다. 결과적으로, 입력신호 A와 B는 748 칩의 2번핀과 3번핀으로들어가며, C와 D는각각 9번핀과 번핀으로들어간다. 그리고회로의출력 F는 74 칩의 3번핀을통해나가게된다. 각칩에서 4번핀으로는전원 (+5V) 이공급되고, 7번핀은접지 (GND) 로접속된다. 36

35 CHAPTER 3 논리게이트 그림 3-39 IC 칩들을이용한그림 3-38 회로의구현방법 마지막으로, XOR 게이트와 XNOR 게이트를위한 IC 칩들을살펴보기로하자. 먼저 XOR 게이트는그림 3-4(a) 와같이 7486으로번호가붙여진칩에네개씩이들어있다. 이칩도전원공급을위한 Vcc(+5V) 및 GND 핀을포함하여 4개의핀을가진다. 그림 3-4(b) 는네개의 XNOR 게이트들을포함하고있는 칩을보여주고있다. 이칩의 XNOR 게이트들은더많은부하전류 (load current) 를공급할수있도록하기위하여 open collector 형이라는특수구조를가지고있으며, 그것을나타내기위하여각게이트의출력에별표 (*) 가표시되어있다. 이칩은핀번호가지금까지설명한칩들과는약간다르게할당되어있다. 즉, 하단의두게이트들은 5번및 6번핀과 8번및 9번핀이각게이트의입력핀으로사용되고, 4번과 번핀은각각출력핀으로사용된다. 37

36 디지털논리회로 (a) 7486(XOR 게이트 ) (b) 74266(XNOR 게이트 ) 그림 3-4 XOR 게이트및 XNOR 게이트칩들의내부구성및핀번호 예제 3- XOR 게이트칩을이용하여 4- 비트데이터에대한짝수패리티비트를생성하는회로를구현 하라. 풀이네개의데이터비트들을각각 b, b 2, b 3, b 4 라하고, 짝수패리티비트를 P E 라고한다면, P E 는그림 3-4(a) 와같이데이터비트들간에 XOR 연산을연속적으로수행함으로써생성할수있다 ( 그원리에대해서는제6장에서자세히설명함 ). 그런데이회로는 7486 칩의핀들을그림 3-4(b) 와같이접속하면구성할수있기때문에, 한개의칩만사용하여전체회로구현이가능하다. (a) P E 비트생성을위한회로 38

37 CHAPTER 3 논리게이트 (b) 7486 칩을이용한구현 그림 3-4 짝수패리티비트생성을위한회로의구현 39

38 디지털논리회로 기본문제 3. 2-입력 AND 게이트에대한설명으로적합하지않는것은? 가. 한입력이 이라면, 출력은항상 이다. 나. 두입력이모두 일때만출력이 이된다. 다. 두입력단자를묶으면, 인버터가된다. 라. 출력에인버터를접속하면 NAND 게이트가된다 입력 OR 게이트에대한설명으로적합하지않는것은? 가. 두입력이모두 이라면, 출력은 이된다. 나. 한입력이 이면, 출력은 이된다. 다. 두입력들중의하나만 이라면, 출력은 이된다. 라. 출력에인버터를접속하면 NOR 게이트가된다. 3.3 NAND 게이트가기본게이트혹은만능게이트라고불리는이유에해당하지않는것은? 가. 내부회로가가장간단하다. 나. 다른게이트들을구성하는데사용될수있다. 다. 속도는느린편이다. 라. 전력소모가상대적으로적다. 3.4 NAND 게이트의출력이 이되는조건은아래의어느것인가? 가. 모든입력이 이다. 나. 어느한입력이 이다. 다. 어느한입력이 이다. 라. 모든입력이 이다. 4

39 CHAPTER 3 논리게이트 3.5 입력이모두 일때만출력이 이고, 그외는 인게이트는? ( 단, 정논리인경우임 ) ) [ 정보처리산업기사 : 22 년제 3 회 ] 가. AND 나. OR 나. NAND 라. NOR 3.6 NOR 게이트의출력이항상 이되기위한기본적인조건은아래의어느것인가? 가. 모든입력이 이다. 나. 어느한입력이 이다. 다. 어느한입력이 이다. 라. 모든입력이 이다. 3.7 AND 게이트의두입력신호가각각인버터를통과한다음에입력되도록한다면, 그회로의기능은아래의어느게이트와같아지는가? 가. NAND 게이트다. OR 게이트 나. NOR 게이트라. XOR 게이트 3.8 NOR 게이트의두입력신호가각각인버터를통과한다음에입력되도록한다면, 그회로의기능은아래의어느게이트와같아지는가? 가. AND 게이트다. OR 게이트 나. NOR 게이트라. XOR 게이트 3.9 두비트의값이같은지비교하는데적합한게이트는어느것인가? 가. AND 게이트다. OR 게이트 나. NOR 게이트라. XOR 게이트 3. 다음중에서기능이다른연산자는? [ 정보처리산업기사 : 24 년제 3 회 ] 가. Complement 나. OR 다. AND 라. EX-OR 2) ) 정논리 (positive logic) 란 V를, +5V를 로취급하는일반적인논리회로를말한다. 반면에부논리 (negative logic) 는 V를, +5V를 으로취급하는논리회로를말한다. 2) 이책에서는 XOR이라함. 4

40 디지털논리회로 3. 2-입력게이트를이용하여인버터를구현하는방법이아닌것은? 가. NAND 게이트의두입력단자를연결한다. 나. NOR 게이트의한입력단자를 으로고정시킨다. 다. XOR 게이트의한입력단자를 으로고정시킨다. 라. XNOR 게이트의한입력단자를 으로고정시킨다. 3.2 의보수를구하는데유용하게사용될수있는게이트는어느것인가? 가. AND 게이트다. OR 게이트 나. NOT 게이트라. XOR 게이트 3.3 아래의게이트구현기술들중에서전력소모량이가장낮은것은? 가. RTL 다. NMOS 나. TTL 라. CMOS 정답 3. 다 3.2 나 3.3 다 3.4 라 3.5 나 3.6 나 3.7 나 3.8 가 3.9 라 3. 가 3. 다 3.2 나 3.3 라 42

41 CHAPTER 3 논리게이트 연습문제 3. 그림 3-42 와같은입력파형들이두개의입력 A 와 B 를가진아래와같은게이트들로 인가될때발생되는출력파형을각각구하라. () AND 게이트 (2) OR 게이트 그림 3-42 문제 3. 을위한입력파형들 3.2 세개의인버터 (NOT 게이트 ) 가직렬로접속되어있다. 첫번째인버터의입력을 A, 그출력을 B라고하자. 직렬접속이므로신호 B는두번째인버터의입력으로연결되며, 그인버터의출력은 C라고하자. 그다음으로, 신호 C는세번째인버터로입력되는데, 그인버터의출력을 D라고하자. 입력 A로그림 3-43과같은파형을가진신호가인가된다고할때, B, C 및 D의파형을각각구하라. 그림 3-43 문제 3.2 를위한입력파형 3.3 두개의입력 A와 B를가진아래와같은게이트들로그림 3-44와같은입력파형들이인가될때발생되는출력파형을각각구하라. () NAND 게이트 (2) NOR 게이트 그림 3-44 문제 3.3 과 3.4 를위한입력파형들 43

42 디지털논리회로 3.4 앞의그림 3-44와같은입력파형들이아래의게이트들로인가되는경우에발생되는출력파형을각각구하라. () XOR 게이트 (2) XNOR 게이트 3.5 세개의입력 (A, B, C) 을가진아래와같은게이트들로그림 3-45와같은파형의신호들이인가될때발생되는출력파형을각각구하라. () 3-입력 AND 게이트 (2) 3-입력 OR 게이트 (3) 3-입력 NAND 게이트 (4) 3-입력 NOR 게이트 그림 3-45 문제 3.5 와 3.6 을위한입력파형들 3.6 위의그림 3-45와같은입력파형들이그림 3-46의회로로입력되는경우에출력 F의파형을구하라. 그림 3-46 문제 3.6 을위한조합회로 44

43 CHAPTER 3 논리게이트 3.7 아래의물음에답하라. () 어떤의사결정회의에서두위원 (A, B) 이각안건에대한의견을표명하기위한스위치를한개씩가지고있다. 각위원은안건에찬성한다면스위치를누르고, 반대한다면스위치를누르지않는다고하자. 두위원의의견이같은경우에만출력으로 을발생하여 의견일치 를나타내는램프가켜지도록해주는장치를어떤한개의논리게이트만이용하여구성하라. 단, 스위치는누르면 을발생하고, 누르지않으면 을발생한다. (2) 두위원의의견이같더라도, 위원장인 C가 확인 스위치를눌러야램프가켜지도록장치를보완한다면, 전체회로를어떻게구성하면되겠는가? 단, 확인스위치도누르면 을발생하고, 누르지않으면 을발생한다. 3.8 그림 3-8과같은침입탐지시스템이설치된주택을증축하여방을한개더만들었다. 그방에는창문이두개 (C, D) 가있는데, 그창문들에설치된센서들의출력은초기값이 이며, 침입이발생한경우에는 이된다고가정한다. 집안어느곳에서든침입이발생하면경고음이울리도록, 원래의회로를수정하라. 단, 모든논리게이트들은입력단자의수가두개인것을사용하라. 3.9 전조등 (headlight) 을켜고자동차를운행한후에엔진을끄면전조등도자동적으로꺼지게하는회로를구성하고자한다. 즉, 엔진점화스위치 (ignition switch) 가 off 되었을때전조등스위치가 on인경우에는전조등을 off시키기위한구동신호 (+5V) 를발생하는회로를구성하라. 3. 그림 3-25(b) 와그림 3-26(a) 의회로를결합하여 RTL AND 게이트회로를구성하라. 3. 그림 3-28(b) 의회로를변형하여 DTL NOR 게이트회로를구현하라. 3.2 그림 3-32(a) 의회로를 3- 입력 OR 게이트로변형하라. 3.3 그림 3-34(a) 의회로를 3- 입력 NAND 게이트로변형하라. 45

44 디지털논리회로 3.4 그림 3-36 및 3-37의 IC 칩들을이용하여그림 3-47과같은회로의동작을실험할수있도록구현하라. 그림 3-47 문제 3.4 를위한회로 비트길이의 ASCII 코드에대한짝수패리티비트 (P E ) 를생성하는회로를 XOR 게이트 (7486) 칩들을이용하여구현하는방법을제시하라. [ 힌트 : 그림 3-4 참조 ] 46

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA>

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA> 전력전자 로봇 자동화공학부 www.dongyang.ac.kr 전기회로기초 - 학습내용 교류전압전류의표현방법 전력및역률 계측기사용법 전력용반도체소자및동작원리 전기회로기초 - 계측기사용법 함수발생기 함수발생기 (function generator) 또는신호발생기 (signal generator) 는디지털회로또는아날로그전자회로에정현파, 구형파, 삼각파등의신호를공급하는실험장비

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

Microsoft PowerPoint - Chapter4&6(강의용)

Microsoft PowerPoint - Chapter4&6(강의용) h. 4 반도체소자 반도체 : 상온에서도체와부도체의중간쯤에해당하는전기전도도를가지는물질 불순물첨가 (doping) 또는결함으로인해서전기전도도가매우크게변함. 주기율표에서 4 족, 3-5 족, 2-6 족화합물 (Si, Ge, GaAs, AlAs etc. ) c = 6.708 Å 1 원자가규칙적정렬을하는고체에서전자의상태 : 에너지밴드 E U E g a E V a 0

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

제 1 장 집적회로 개요

제 1 장  집적회로 개요 실험 #2-A 반도체다이오드의특성실험 1. 실험목적 다이오드의특성에대해조사한다. 2. 서론 모든반도체다이오드는단향적특성을가지고있다. 순방향저항은매우낮은반면에역방향저항은매우높기때문이다. 다이오드에대한전압대전류의곡선을그려가며구체적으로그특성을조사한다. 3. 관련이론 다이오드내부저항 V D V D V T r D Ideal diode I D I D 다이오드의순방향저항

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

Microsoft PowerPoint - 3. BJT

Microsoft PowerPoint - 3. BJT BJT (Bipolar Junction Transistor) BJT 의구조및동작모드 BJT 의구조및동작모드 실제 BJT 는그림 3-1(a) 와같이이미터영역과컬렉터영역의기하학적구조가다르며, 세영역의도핑농도도각기다르게만들어진다. 도핑농도 : ( 이미터 )>( 베이스 )>( 컬렉터 ) 이미터 : 전류운반캐리어 ( 전자또는정공 ) 를제공 컬렉터 : 베이스영역을지나온캐리어가모이는영역

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류 Section 03 트랜지스터를이용한스위칭동작 15/45 스위치 ON/OFF 의전기적특성 트랜지스터와기계적인스위치를이용한 LED ON/OFF 동작비교 LED 를켜기위한회로 ([ 그림 5-6]) Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스»

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

Microsoft PowerPoint 상 교류 회로

Microsoft PowerPoint 상 교류 회로 3상교류회로 11.1. 3 상교류의발생 평등자계중에놓인회전자철심에기계적으로 120 씩차이가나게감은코일 aa, bb,cc 를배치하고각속도의속도로회전하면각코일의양단에는다음식으로표현되는기전력이발생하게된다. 11.1. 3 상교류의발생 여기서 e a, e b, e c 는각각코일aa, bb, cc 양단에서얻어지는전압의순시치식이며, 각각을상 (phase) 이라한다. 이와같이전압의크기는같고위상이

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open 1. 연산증폭기 -연산증폭기(operational amplifier) 는증폭기를 IC(integrated circuit, 집적회로 ) 로꾸민것이다. -입력임피던스가크고, 출력임피던스가작으며, 증폭률이아주큰특징을가지는증폭기로집적된것이다. -연산증폭기중에서가장널리이용되고있는 741에는 20개의트랜지스터, 11개의저항, 1개의축전기가크기 3mm 3mm에집적되어있다.

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

슬라이드 1

슬라이드 1 첨부 4 리모콘별 TV 셋팅방법 2011. 1 전략서비스본부서비스지원팀 1 경제형 2002년개발쌍방향단순-2007년개발경제형 /PVR-2007년개발 2002 년 02 월 ~ 2003 년 08 월 85 만 (40 만은 TV 설정불가 ) 선호채널 도움말 만가능 1) TV 전원을키고 2) 0( 숫자 )+ 음소거동시에누름 -> LED ON 3) 리모콘 LED 주황색불확인후제조사코드입력

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1 장수의체계. 진수. 진수 3. 8진수와 6진수 4. 진법변환 5. 진정수연산과보수 6. 진부동소수점수의표현 진수 진수표현법 v 기수가 인수 v,,, 3, 4, 5, 6, 7, 8, 9 사용 9345.35 = 9 3 4 5 3. 5. = 9 3 3 4 5 3-5 - v 고대로마의기수법에는 5 진법을사용 v 진법의아라비아숫자는인도에서기원전 세기에발명 진법을나타내는기본수를기수

More information

Slide 1

Slide 1 Linear Technology Corporation Power Seminar LDO 2016. 10. 12. LTC Korea 영업강전도부장 010-8168-6852 jdkang@linear.com 기술박종만차장 010-2390-2843 jmpark@linear.com LDO 목차 1) LDO feedback 동작원리, 2) LDO 종류 3) LDO 특성

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

2001/1학기 공학 물리 중간고사

2001/1학기 공학 물리 중간고사 2011/2 학기물리전자기말고사담당교수 : 김삼동 성명 학번 분반 e = 1.6 10-19 C, ε ox = 3.9, ε Si = 11.7,ε o = 8.85 10-14 F/cm 2, kt (300 K) = 0.0259 ev,, n i (Si, 300 K) =1.5x10 10 /cm 3 1. PN diode의 I-V 특성은아래의그림과같은거동을보인 (I) 다.

More information

<3235B0AD20BCF6BFADC0C720B1D8C7D120C2FC20B0C5C1FE20322E687770>

<3235B0AD20BCF6BFADC0C720B1D8C7D120C2FC20B0C5C1FE20322E687770> 25 강. 수열의극한참거짓 2 두수열 { }, {b n } 의극한에대한 < 보기 > 의설명중옳은것을모두고르면? Ⅰ. < b n 이고 lim = 이면 lim b n =이다. Ⅱ. 두수열 { }, {b n } 이수렴할때 < b n 이면 lim < lim b n 이다. Ⅲ. lim b n =0이면 lim =0또는 lim b n =0이다. Ⅰ 2Ⅱ 3Ⅲ 4Ⅰ,Ⅱ 5Ⅰ,Ⅲ

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

가. 도트매트릭스제어하기 단일 LED와는다르게도트매트릭스를구동시는구동전류가프로세서에서출력되는전류보다사용량을더많이필요하기때문에 TTL 계열의 IC로구동시키기에는무리가따른다. 이러한문제를해결하기위해서사용전압, 전류정격이높은달링턴트랜지스터가어레이형태로들어있는 ULN2803을

가. 도트매트릭스제어하기 단일 LED와는다르게도트매트릭스를구동시는구동전류가프로세서에서출력되는전류보다사용량을더많이필요하기때문에 TTL 계열의 IC로구동시키기에는무리가따른다. 이러한문제를해결하기위해서사용전압, 전류정격이높은달링턴트랜지스터가어레이형태로들어있는 ULN2803을 CHAPTER 5 도트매트릭스제어하기 가. 도트매트릭스제어하기 가. 도트매트릭스제어하기 단일 LED와는다르게도트매트릭스를구동시는구동전류가프로세서에서출력되는전류보다사용량을더많이필요하기때문에 TTL 계열의 IC로구동시키기에는무리가따른다. 이러한문제를해결하기위해서사용전압, 전류정격이높은달링턴트랜지스터가어레이형태로들어있는 ULN2803을이용한다. 이 IC에는 8개의

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Heinrich Rudolf Hertz (1857 1894) proved the existence of the electromagnetic waves theorized by James Clerk Maxwell's electromagnetic theory of light. Guglielmo Marconi (1874 1937) 1909 Nobel Prize in

More information

7장 조합 논리 회로

7장 조합 논리 회로 7 장조합논리회로 7. 조합논리회로해석 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 2 n 개의입력 2 진조합이가능 입력 조합논리회로 출력 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후, 논리회로의동작을해석. 조합회로해석과정 입 / 출력에대한변수의수와변수명을결정한다. n 개의입력변수에대해 2 n 개의 2 진조합에대한각게이트의출력부울함수를표시한다.

More information

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 CHAPTER 9 둘중하나선택하기 관계연산자 두개의피연산자를비교하는연산자 결과값은참 (1) 아니면거짓 (0) x == y x 와 y 의값이같은지비교한다. 관계연산자 연산자 의미 x == y x와 y가같은가? x!= y

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

슬라이드 1

슬라이드 1 임베디드시스템개론 : Arduino 활용 Lecture #9: Motor 제어 2012. 5. 18 by 김영주 강의목차 소형모터개요 트랜지스터를이용한 DC 모터제어 Motor Driver IC를이용한 DC 모터제어 Servo 모터제어 2 3 1. 소형모터 (Motor) 소형모터 (1) 소형모터 전기에너지를회전운동으로변환하는장치모터소형화로다양하게응용되고있음

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드]

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드] Opertl Ampler A µa7 tegrted rut h eght etg p 주요한단자. ertg put. ertg put. utput. pte pwer upply 5. egte pwer upply b The rrepdee betwee the rled p umber the tegrted rut d the de the pertl mpler. NC : et

More information

<4D F736F F F696E74202D20322EB3EDB8AEC7A5C7F6B0FA20B3EDB8AEBCF6C7D02E >

<4D F736F F F696E74202D20322EB3EDB8AEC7A5C7F6B0FA20B3EDB8AEBCF6C7D02E > 논리수학과표현 논리의표현 진법과숫자표현 2 진수와 10 진수 문자의표현 dolicom@naver.com http://blog.naver.com/dolicom 숫자와문자의표현방식 숫자의표현 2 진수보수체계 정수형 Floating Point - 실수형 ASCII 코드 미국의컴퓨터문자표현 ( 1 바이트 ) KS-5601 한국어지원을위한완성형표준안 (2 바이트가한글자

More information

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터 실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터의전면패널에꼽는다. 통상적으로검은색프로브는전면패널의검은단자 (COM) 에꼽으며, 빨간색프로브는빨간색단자에꼽는다.

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

Microsoft PowerPoint - lec06_2007

Microsoft PowerPoint - lec06_2007 Opertil Ampliier A µa74 itegrted circuit h eight cectig pi 주요한단자. iertig iput. iertig iput. utput 4. pitie pwer upply 5. egtie pwer upply b The crrepdece betwee the circled pi umber the itegrted circuit

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

Microsoft PowerPoint - es-arduino-lecture-09

Microsoft PowerPoint - es-arduino-lecture-09 임베디드시스템개론 : Arduino 활용 Lecture #9: Motor 제어 2012. 5. 13 by 김영주 강의목차 소형모터개요 트랜지스터를이용한 DC 모터제어 Motor Driver IC를이용한 DC 모터제어 Servo 모터제어 2 3 1. 소형모터 (Motor) 소형모터 (1) 소형모터 전기에너지를회전운동으로변환하는장치모터소형화로다양하게응용되고있음

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

6장 부울 함수의 간소화

6장 부울 함수의 간소화 6 장부울함수의간소화 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 논리회로간소화혹은최적화 부울식의간소화 : term을감소하거나 literal를감소한다. term은게이트의수, literal은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법 논리회로를부울함수로표현한후부울함수를간소화

More information

Microsoft PowerPoint - Ch3

Microsoft PowerPoint - Ch3 Ch. 3 Special Purpose Diodes 3-4. 광학다이오드 (Optical diodes) 광학다이오드 광방출다이오드 (LED) : 빛을방출하는다이오드 광다이오드 (Photodiode) : 빛을검출하는다이오드 광방출다이오드 (LED: light emitting diode) 전계발광 (electroluminescence): 순방향바이어스 : n영역의자유전자

More information

Chap 6: Graphs

Chap 6: Graphs 그래프표현법 인접행렬 (Adjacency Matrix) 인접리스트 (Adjacency List) 인접다중리스트 (Adjacency Multilist) 6 장. 그래프 (Page ) 인접행렬 (Adjacency Matrix) n 개의 vertex 를갖는그래프 G 의인접행렬의구성 A[n][n] (u, v) E(G) 이면, A[u][v] = Otherwise, A[u][v]

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

Microsoft Word MetOne237Bmanual

Microsoft Word MetOne237Bmanual Air Particle Counter 의사용법 Met One 237 Air Particle Counter 조선계측기교역 Tel : 02-2268-4344 Fax : 02-2275-5348 htt//:www.chosun21.co.kr 1. 장비구성 : A. Particle Counter : 본장비는 0.3um 부터측정이가능한 Met One 237B와 0.5 um부터가능한

More information

6_D5Y,D5W.indd

6_D5Y,D5W.indd 5Y/5W Series 판넬취부형 5 igit 디스플레이유닛 기존제품 (Y, W) 에기능을업그레이드한디스플레이유닛 특징 다양한입력사양에대응 : Stic 병렬 (Parallel) 입력, ynamic 병렬 (Parallel) 입력, /5 it, 직렬 (Serial)(6/0/5 it) 입력방식소수점, Minus 부호표시방식선택기능 : 에의한표시방식과외부 P 단자와

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators Crystal-Controlled Oscillators 수정발진기 (Crystal-Controlled Oscillators): 안정되고정확한발진기 압전효과 (Piezoelectric effects): 기계적충격에의해서진동하는주파수에서전압을발생 교류전압이인가하면주파수로진동 압전효과물질 : 수정 - 매우높은 Q 값 ( 수천 )

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

AVSHH100B10 IM.~20.

AVSHH100B10 IM.~20. 30cm 30cm 50cm 30cm ø ø 800 932.0 385 1270 1154 416 440 5mm A R H 90 O K1 K2 K3 K4 SW03 K5 K6 K7 K8 SW04 K5 K6 K7 K8 SW04 K5 K6 K7 K8 SW04 전기배선 작업 계속 전원연결 구성도 1. 단상 전원연결 16쪽 1-1 시스템 구성도 참조 단상 AC220V

More information

Microsoft PowerPoint - Java7.pptx

Microsoft PowerPoint - Java7.pptx HPC & OT Lab. 1 HPC & OT Lab. 2 실습 7 주차 Jin-Ho, Jang M.S. Hanyang Univ. HPC&OT Lab. jinhoyo@nate.com HPC & OT Lab. 3 Component Structure 객체 (object) 생성개념을이해한다. 외부클래스에대한접근방법을이해한다. 접근제어자 (public & private)

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin 4.3 Rail to Rail Input Output(RRIO) 방식의장점 최근들어, 저전압용으로개발되는 Op Amp. 는거의다 RRIO 방식을채택하고있는데, 이 RRIO 방식을단순히출력진동폭을최대화할수있는구조라는정도로받아들이고넘어가기에는좀찝찝해서이절을준비했다. 왜그런지를이해하기위해서는트랜지스터수준에서의회로설명이필요한데, 트랜지스터에관한설명은최대한억제한채,

More information

KAERI/AR-636/2002 : 技術現況分析報告書 : 방사선 계측기술 및 중성자 계측기 기술 개발 현황

KAERI/AR-636/2002 : 技術現況分析報告書 : 방사선 계측기술 및 중성자 계측기 기술 개발 현황 KAERI Radiation Gas ions - electrons + Gas-filled Detector Power Supply V Voltmeter Log(Pulse Height) Ionisation Chamber Proportional Counter Geiger-Müller Counter High initial Ionisation Low

More information

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다 디지털논리회로이론, 실습, 시뮬레이션 : 카운터 기출문제풀이 ehanbitet - 1 - 1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다카운터

More information

Computer Architecture

Computer Architecture 정수의산술연산과부동소수점연산 정수의산술연산부동소수점수의표현부동소수점산술연산 이자료는김종현저 - 컴퓨터구조론 ( 생능출판사 ) 의내용을편집한것입니다. 3.5 정수의산술연산 기본적인산술연산들 2 2 3.5.1 덧셈 2 의보수로표현된수들의덧셈방법 두수를더하고, 만약올림수가발생하면버림 3 3 병렬가산기 (parallel adder) 덧셈을수행하는하드웨어모듈 4- 비트병렬가산기와상태비트제어회로

More information

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수 2 주차 3 차시수의표현과연산 학습목표 1. 진법과수의구성에대해설명할수있다. 2. 논리회로에대해설명할수있다. 학습내용 1 : 진법과수의구성 - 우리는 10 진수체계안에서살고있다. 10 진수체계는한단위에서 0~9 까지가면한자리왼쪽에 1 을더하여다시 0 이되는방식. 1. 진법과수의구성 * 10진법 : 0~9까지사용하며 10을한자리의기본단위로하는진법 * 2진법 :

More information

스마트주택용분전반_160331

스마트주택용분전반_160331 Smart Distribution Board / Home Distribution Board Moving Forward into the World 02_03 World Class Brand 04_05 Smart Distribution Board / Home Distribution Board C o n t e n t s Smart Distribution Board

More information

5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No

5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No 5 장부울대수 5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No Open Swith 논리 1 True On High Yes Closed swith

More information

Microsoft PowerPoint - 부호기와 복호기.PPT

Microsoft PowerPoint - 부호기와 복호기.PPT 논리회로실험부호기와복호기 2005. 5. 3. 부호기와복호기란? 이론실험내용 개요 Encoder & Decoder 서로다른부호간의변환에사용되는것으로디지털신호를압축하거나전송시깨지지않도록바꾸는등여러가지목적에의해부호화라는장치와부호화되어전송되어온신호를다시원래의디지털신호로복호하는장치들을말한다. CODEC(enCOder DECoder) 이라고도한다. 기타 10진 to

More information

5 장부울대수

5 장부울대수 5 장부울대수 5.1 부울대수 ã 부울대수 (boolen lgebr) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No Open Swith 논리 1 True On High Yes Closed

More information