Microsoft PowerPoint - Chap.11(완성)

Size: px
Start display at page:

Download "Microsoft PowerPoint - Chap.11(완성)"

Transcription

1 Digital Fundamentals Tenth Edition Floyd Chapter Pearson Education, Upper 2008 Pearson Saddle River, Education NJ All Rights Reserved 11 장. 집적회로기술 학습목표 데이터시트에제시된파라미터 ( 공급전압, 논리레벨, 잡음여유, 전력소모, 전파지연, 속도 - 전력곱 ) 등을정의하고, 이를설명한다. 특정소자에대한정보를얻기위해데이터시트를사용한다. 게이트의팬 - 아웃을정의하고설명한다. 부품레벨에서 TTL 과 CMOS 의기본동작원리를설명한다. TTL 의출력구조인토템폴출력과개방컬렉터출력구조를비교하고, 사용할때의제한사항에대해설명한다. 와이어드 -OR 구조를설명한다. 3- 상태회로의동작을설명한다. 사용하지않는게이트의입력을처리하는방법을설명한다. TTL 과 CMOS 패밀리의성능을비교한다. 정전기로부터 CMOS 소자를보호하는방법을설명한다. ECL, PMOS, NMOS 회로를설명한다 1

2 디지털 IC 의파라미터 디지털 IC 제조회사의데이타시트에명시된용어를이해하고, 이를활용하는방법은중요. 공급전압, 논리레벨, 잡음여유, 전력소모, 전파지연, 속도 - 전력곱, 팬 - 아웃등을정의되어있음. DC 공급전압 TTL(transistor-transistor logic) 소자 : +5V CMOS (complementary metal-oxide semiconductor) 소자 : 소자의종류에따라각기다른공급전압 (+5V, +3.3V, 2.5V 와 1.2V) 으로동작 전원및전류규격 < 두논리상태에서의전류와전압 > 2

3 V JH (min) : High-Level Input Voltage 입력에서논리 1 에필요한전압레벨. 이레벨이하의전압은논리회로에서 HIGH 로받아들이지않음. V JL (max) : Low-Level Input Voltage 입력에서논리 0 에필요한전압레벨. 이레벨이상의전압은 LOW 로받아들이지않음. V OH (min) : High-Level Output Voltage 논리회로출력에서논리 1 을나타내는전압레벨. V OL (max) : Low-Level Output Voltage 논리회로출력에서논리 0 을나타내는전압레벨. I JH : High-Level Input Current 높은레벨의전압이입력으로인가되었을때입력으로흐르는전류. I JL : Low-Level Input Current 낮은레벨의전압이입력으로인가되었을때입력으로흐르는전류. I OH : High-Level Output Current 특정부하조건하에서논리 1 상태에있는출력으로부터흐르는전류. I OL : Low-Level Output Current 특정부하조건하에서논리 0 상태에있는출력으로부터흐르는전류. CMOS 와 TTL 논리레벨 3

4 잡음내성 (Noise Immunity) 전기와자계의산란은논리회로사이의연결선에전압을유기시킬수있다. 이런원하지않는신호를잡음이라하며, 때때로입력단에서 V IH (min) 이하또는 V IL (max) 이상의전압을발생시켜, 예측할수없는동작을일으킬수있다. 잡음내성 : 입력단에서회로가잡음전압을보상할수있는능력 잡음여유 (Noise Margin) 회로에서잡음내성의척도 High 상태잡음여유 (V NH ) : VNH = V OH (min) - V IH (min) Low 상태잡음여유 (V NL ) : V NL = V IL (max) - V OL (max) DC 잡음여유 4

5 전력소모 모든 IC 들을동작시키려면어느정도의전력이필요하다. IC 가필요로하는전력량 : V CC 전원에서공급되는전류 I CC 에의해결정. 실질전력량 : I CC V CC 값 많은 IC 에서의전원으로부터의전류공급계산방식은 IC 내회로의논리상태에따른다. 일반적으로 ICCH 와 ICCL 은다른값을가지므로, 평균전류값은 I CC (avg) = I CCH + I CCL / 2 이고, 다음과같이평균전력공급을계산할때사용될수있다. P D (avg) = I CC (avg) V CC 전력 - 속도곱 일반적으로전파지연이좀더짧고 ( 고속 ) 전력소비가더적은 ( 저전력 ) 게이트가바람직 모든 IC 의성능측정과비교기준은게이트의전파지연과 speed-power product 임. 예 ) IC 군의평균전파지연시간이 10 ns이고, 평균소비전력이 5 mw일때의속도 - 전력곱은 10 ns 5 mw = watt_second = 50 picojoules (pj) * 전파지연이ns이고전력이mW일때, 속도 - 전력곱은 picojoule 이다. 기본적으로 TTL 회로의전력소모는허용동작주파수범위내에서는일정하다. CMOS 의전력소모는주파수에따라다르다. CMOS 의전력소모는정적 (DC) 상태에서는매우낮지만, 주파수가높아질수록증가한다. 5

6 전파지연 논리신호는항상회로를통과할때지연이발생한다. 두가지전파지연시간은다음과같다. t PHL : 논리 0 에서 1 상태로전이할때의지연시간 (LOW to HIGH) t PLH : 논리 1 에서 0 상태로전이할때의지연시간 (HIGH to LOW) 전파지연시간의예 부하와팬 - 아웃 구동게이트 : 출력게이트 부하게이트 : 구동게이트에연결되어있는게이트 전류의유입및유출 전류의유출 (sourcing) : TTL 구동게이트의출력이 HIGH 상태일때부하게이트로입력되는전류 (I IH ) 전류의유입 (sinking) : TTL 구동게이트의출력이 HIGH 상태일때구동게이트로유입되는전류 (I IL ) 6

7 TTL 부하 (HIGH 상태 ) 부하가증가하면, 구동게이트에서공급해야하는전류가증가하게되어구동게이트의전압강하로나타나게됨. 출력 V OH 가낮아지고, V OH 가 V OH (min) 보다낮아지게되어 HIGH 의잡음여유가감소하게됨. 또한총공급전류가증가하면구동게이트의전력소모도증가함. TTL 부하 (LOW 상태 ) 부하가증가하면, 구동게이트로유입되는전류가증가하게되어구동게이트의전압강하가증가함. V OL 을증가하게되고, V OL 은 V OL (max) 보다더높아지게되어 LOW- 레벨의잡음여유가감소함. 7

8 팬 - 아웃 TTL 부하의영향으로인해구동할수있는게이트수가제한됨. 팬 - 아웃 : 출력이신뢰도를유지하며구동할수있는표준논리입력의최대수또는얼마나많은입력이하나의 IC 에의해구동될수있는가를나타내는척도. 팬 - 아웃은구동게이트의전류구동량 [I OL (max), I OH (max)) 와각입력전류 [I IL (max),i IH (max)) 이요구하는양에의해결정 Fan-Out (LOW) = I OL (max)/i IL (max) Fan-Out (HIGH) = I OH (max)/i IH (max) 예를들어, 74 시리즈의경우를살펴봄. 전류값 MIN NOM MAX 단위 I OH I OL I IH I IL ma ma ua ma 주의 : I IL 에대한입력은실제로 -1.6 ma이다. 음의부호는전류가입력단자로부터흘러나온다는것을나타내기위해사용된다. 팬 - 아웃계산시, 음의부호는무시할수있다 NAND 게이트출력이구동할수있는 7400 NAND 게이트입력은몇개인가? IOL(max) 16mA 팬 아웃( LOW ) = = = 10 I (max) 1.6mA IL IOH (max) 400uA 팬- 아웃( HIGH) = = = 10 I (max) 40uA IH 팬 - 아웃 (LOW) 와팬 - 아웃 (HIGH) 가같기때문에, 팬 - 아웃은 10 이다. 8

9 74ALS20 NAND 게이트가다른 74ALS20 을얼마나구동할수있는지를결정하라. 전류값 MIN NOM MAX 단위 I OH -0.4 ma I OL 4 ma I IH 20 ua I IL -0.1 ma IOL(max) 8mA 팬 아웃( LOW ) = = = 80 I (max) 0.1mA IL IOH (max) 400uA 팬- 아웃( HIGH) = = = 20 I (max) 20uA IH 만일팬 - 아웃 (LOW) 와팬 - 아웃 (HIGH) 가같지않다면팬 - 아웃은둘중작은것으로선택되어진다. 따라서팬 - 아웃은 20 이다. 단위부하 (Unit Load) 일부제작자들은소자들의입출력전류를단위부하 (unit load : UL) 를이용해서지정한다. 1 unit load (UL) = 예 ) 한 IC 가두상태에서 10 UL 의팬 - 아웃을가지고있다면다음과같이정의됨. I OH (max) = μa = 400 μa I OL (max) = ma = 16 ma유사하게, IC 입력이두상태에서 1 UL 이라면다음과같다. I IH (max) = 1 40 μa = 40 μa I IL (max) = ma = 1.6 ma 9

10 CMOS 부하 구동게이트의출력저항과부하게이트의입력캐패시턴스와관련된충전시간과방전시간이제한요인으로작용한다. 구동게이트의출력이 HIGH 이면부하게이트의입력캐패시턴스는구동게이트의출력저항을통해충전되고, 구동게이트의출력이 LOW 이면입력캐패시턴스는방전된다. 구동게이트의출력에부하게이트가많이연결될수록입력캐패시턴스가병렬로연결되어있는것과같이동작하므로총캐패시턴스는증가한다. 이와같이총캐패시턴스가증가하면, 충전과방전시간도많이걸리고게이트가동작할수있는최대주파수가낮아진다. 따라서 CMOS 게이트의팬 - 아웃은동작주파수에따라다르게된다. 부하게이트의입력이적을수록최대주파수는더높아진다. 바이폴라접합트랜지스터 11.2 TTL 회로 모든 TTL 회로에사용되는능동스위칭소자 TR ON( 포화상태 ) : 베이스의전압이에미터의전압보다약 0.7V 정도높은상태로전류가충분히베이스에공급되는상태 TR OFF( 차단상태 ) : 베이스의전압이에미터의전압보다더높더라고그차이가 0.7V 보다작으면, 트랜지스터는 OFF 로됨. npn BJT 의기호 TR ON 상태 TR OFF 상태 10

11 11.2 TTL 회로 TTL 반전기회로 Q 1 : 입력결합트랜지스터 (input coupling transistor) D 1 : 입력클램프다이오드 (input clamp diode) 트랜지스터 Q 2 : 상분배기 (phase splitter) Q 3 과 Q 4 의조합 : 토템 - 폴 (totem-pole) 구조의출력회로 11.2 TTL 회로 입력이 HIGH 인경우 입력이 LOW 인경우 다이오드 D 1 : 입력으로들어오는음의스파이크로인하여 Q 1 이손상되는것을방지하기위함. 다이오드 D 2 : Q 2 가 ON 상태 (HIGH 입력 ) 일때 Q 4 가확실히 OFF 상태로되게한다. 이상태에서 Q 2 의컬렉터전압은, Q 3 의베이스 - 에미터전압 (V BE ) 과 Q 2 의컬렉터 - 에미터전압 (V CE ) 의합과같다. 다이오드 D 2 는 Q 2 가 ON 일때, Q 4 의베이스 - 에미터접합과직렬로 V BE 와동일한전압을추가로강하시켜 Q 4 가확실하게 OFF 되도록한다. 11

12 TTL NAND 게이트회로 11.2 TTL 회로 TTL 멀티 - 에미터트랜지스터와등가인다이오드회로 기타 TTL 게이트회로 74L 과 74H 시리즈 11.2 TTL 회로 74L 과 74H 시리즈는각각저전력과고속 TTL 시리즈로개발되었다. 74L 은낮은전력 (1 mw ) 을소비하지만더큰전파지연 (33 ns ) 을가지며, 74H 시리즈는높은속도로전파지연은감소 (6 ns ) 되나더높은전력을소비 (23 mw ) 한다. 쇼트키 TTL, 74S 시리즈 쇼트키 (Schottky) 다이오드를사용하여스위치시간을개선 74S00 NAND 게이트는평균전파지연 3 ns를가짐. 74S 시리지의내부회로는스위칭시간개선을위해작은저항값을사용하므로, 평균전력소모를증가시킴 (20mW 정도 ) 12

13 11.2 TTL 회로 74LS 시리즈 더낮은전력, 더느린속도버전 (version) 이것은쇼트키클램프트랜지스터 (Schottky clamped transistor) 를사용하나, 74S 시리즈보다더큰저항값을이용한다. 74S 시리즈보다더큰저항값은회로가요구하는전력을감소시키는반면스위칭시간이길어지게되었다. 74LS 시리즈의 NAND 게이트는일반적으로평균전파지연 9.5 ns를가지며, 평균소비전력 2 mw를가진다. 훨씬적은전력요구에서표준 TTL 시리즈로같은스위칭속도를지니기때문에, TTL 군의대들보가되었다. 74AS 시리즈 개선된쇼트키 (74 AS), 개선된저전력쇼트키 (74 ALS) 74AS 는입력전류요구 (I IL, I IH ) 가보다작고 74S 시리즈보다더큰팬 - 아웃을가지도록향상되었다. 이러한장점때문에, 74AS 는모든고속응용에서 74S 시리즈를대체하고있다. 74AS 는 74LS 보다속도와전력소비모두개선된것이다. 74ALS 시리즈는 TTL 시리즈중가장낮은속도 - 전력곱을갖고있으며, 또가장낮은게이트전력소모 (74L 은 1 mw ) 를갖는다 TTL 회로 TTL 시리즈의비교 74 74S 74H 74S 74LS 74AS 74ALS 성능지표 전파지연시간 (ns) 전력소모 (mw) 속도 - 전력곱 (pj) 최대동작속도 팬 - 아웃 ( 동일계열 ) 전압파라미터 V OH (min) V OL (max) V IH (min) V IL (max)

14 11.3 TTL 사용시고려사항 TTL 에서의전류유입및유출 I IL =1.6mA I IH =40uA 전류유입 : TTL 논리회로는 LOW 상태로구동되고있을때, 구동하고있는게이트의입력으로부터전류를유입받고있는상태 Q 4 : current sinking transistor 또는 pull-down transistor. 전류공급 : TTL 출력은 HIGH 상태에서전류원으로작동한다. Q 3 : current sourcing 또는 pull-up transistor TTL 사용시고려사항 개방 - 콜렉터구조 개방콜렉터형태의회로는 Q 3, D 1, R 4 를제거하고, 출력은 Q 4 의컬렉터에서얻어지도록연결 출력이 LOW 상태에서 Q4 는 ON( 베이스전류를가짐 ) 되고, 출력이 HIGH 상태에서는 Q 4 는 OFF( 근본적으로개방회로 ) 된다. 적절한동작을위하여외부 pull-up 컬렉터저항 R P 를연결하여사용. R P 가없으면 Q 4 가 OFF 될때출력전압이없다. 14

15 11.3 TTL 사용시고려사항 와이어드 -AND 동작 개방 - 컬렉터게이트의출력을서로직접연결하여와이어드 -AND(wired- AND) 구조를만들수있다. 외부풀 - 업 (pull-up) 저항 R P 가필요. 4- 입력네거티브 -AND 게이트 11.3 TTL 사용시고려사항 R P 의값 하나의게이트가 LOW 일때다른것은 HIGH 가되도록선택되어야하며, LOW 출력을통과하는 sink 전류는그의 IOL(max) 의한계를넘으면안된다. 출력은보통이 sink 전류에부가되는다른 TTL 부하를구동시키며, 총전류는 I OL (max) 를넘으면안된다. 그러므로 R P 의값은클수록좋다. 그러나이것은모든부하커패시턴스를 R P 를통하여충전시킬수있어야한다. 그러므로 R P 는스위칭속도를향상시키기위하여되도록작게만들어야한다. 비록 R P 를최소로하더라도, 이개방 - 컬렉터배열은토템폴 TTL 출력보다느리다. 여기서 Q 3 은부하커패시턴스를빠르게충전시키는낮은임피던스이미터폴로워로동작한다. 이와같은이유로개방 - 컬렉터회로는스위칭속도가중요하게작용하는곳에서는응용되지않는다. 15

16 11.3 TTL 사용시고려사항 개방 - 컬렉터버퍼 / 드라이버 토템 - 폴출력을가진 TTL 회로는 LOW 상태에서표준 TTL 은 16 ma까지, LS TTL 은 8 ma까지전류를유입할수있다. 그러나 LED, 램프, 또는릴레이와같이일반토템 - 폴회로보다더높은전류를요구하는외부소자를구동할경우가많이있다. 개방 - 컬렉터출력을갖는회로는높은전압과전류를구동할수있으므로 LED, 램프, 또는릴레이등을구동하는데사용된다. 예 ) 7406 은 LOW 상태에서 40 ma까지 sink 시킬수있는개방 - 컬렉터형의버퍼 / 드라이버 IC 은 30V 까지출력전압을조절할수있다. 이것은출력트랜지스터를 5V 보다큰전압에연결할수있다는것을의미한다 TTL 사용시고려사항 개방 - 콜렉터버퍼 / 드라이버 고전압, 고전류의 LAMP 를구동하는경우 LED 를구동하는경우 Q = 1 일때, 7406 출력은 LOW 가되고출력트랜지스터는 24V 전원으로부터공급받는 25 ma의램프전류를싱크시키고램프는켜진다. Q = 0 일때, 7406 출력트랜지스터는꺼지고전류가흘러갈경로가없으며램프는꺼진다. 이상태에서 24V 는 OFF 출력트랜지스터에나타나므로 VOH = 24V 이다. 이것은 7406 의최대 V OH 보다적다. 지시 LED 를구동 LED 의전류요구량에따라 7405 또는 7406 이사용될수있고, 저항은전류를안정한값으로제한하는데사용된다. 개방 - 컬렉터출력에대한 IEEE/ANSI 기호 ( 그림 참조 ) 16

17 11.3 TTL 사용시고려사항 토템 - 폴출력의연결 2 개혹은그이상의논리게이트들의출력끼리연결할때도있다. 이때는항상하나의게이트의출력은 LOW 이고다른출력은 HIGH 로연결된다. 먼저토템플출력들끼리함께연결될수없다. 만약게이트 A 의출력이 HIGH 상태 (Q 3A ON, Q 4A OFF) 이고, 게이트 B 의출력이 LOW 상태 (Q 3B OFF, Q 4B ON) 라고가정하자. 이상태에서 Q 4B 는 Q 3A 에의해매우작은저항부하로걸려있고 55 ma까지의전류가흐를것이다. 이전류는 Q 3B 또는 Q 4A 에쉽게손상을줄수있다. 이상태는 2 개이상의 TTL 출력이묶였을때더욱치명적이다 TTL 사용시고려사항 TTL 의기타특성 미사용 TTL 입력 TTL 게이트에서입력이연결되지않은상태로있으면, 입력트랜지스터의에미터접합이역방향으로바이어스된결과를초래하므로마치 HIGH 인것처럼동작한다. 즉, TTL 게이트에서미사용입력은 HIGH 입력으로동작한다. ( 플로팅 (floating) 상태의입력 ) 17

18 11.3 TTL 사용시고려사항 미사용 TTL 입력의처리 11.3 TTL 사용시고려사항 과도전류 토템폴 TTL 출력이 LOW 에서 HIGH 로될때마다고 - 진폭전류스파이크가 VCC 전원으로부터유기된다. 전압스파이크는어떤형태의필터링을사용하지않으면스위칭변환동안에심각한장애를일으키는원인이될수있다. 가장보편적인기술은이러한고주파스파이크를근본적으로제거하기위하여 VCC 에서 GROUND 로연결된적은라이오주파수커패시터를사용한다. 이것을전원디커플링 (power supply decoupling) 이라한다. 회로보드상에각각의 TTL IC 근처의 VCC 와접지사이에저인덕턴스의 0.01 μf또는 0.1 μf세라믹디스크커패시터를연결하여야한다. 커패시터리드는시리즈인덕턴스를최소화하도록매우짧게유지되어야한다. 18

19 3- 상태 TTL 11.3 TTL 사용시고려사항 HIGH, LOW, Hi-Z (High Impedance) Hi-Z 상태 : 토템폴배열에서양트랜지스터가꺼진상태로, 출력단자는접지와 VCC 사이의높은저항상태이다. 출력단자는 LOW 도아니고 HIGH 도아닌개방또는플로팅상태로되고, 실제로출력단자는정확한개방회로는아니고, 접지와 VCC 와연관되어서수메가옴또는그이상의저항을가진다 TTL 사용시고려사항 3 상태의장점 3- 상태 IC 의출력은개폐속도의희생없이병렬로연결할수있다. 이것은구동상태에서 3- 상태출력이 LOW 임피던스, 빠른속도의특징을가진토템폴출력으로동작하기때문이다. 그러나 3- 상태출력은병렬일때는그들중단하나만이한번에구동될수있다는것을알아야한다. 3 상태버퍼 19

20 11.3 TTL 사용시고려사항 3 상태버퍼의활용 3 상태버퍼는여러신호를공통선 ( 버스 ) 에연결하는곳인회로에서많이응용된다. 3 상태출력이그림에서와같이연결되어있을때, 단하나의출력만이한번에여기되어야함. 그렇지않으면 2 개또는그이상의능동토템폴출력이연결될것이고손실전류를발생시키고, 비록손실이일어나지않더라도, 이상태는버스상에 1 개이상의신호의조합인신호를만든다. 이것은보통버스충돌 (bus contention) 이라한다 CMOS 회로 MOSFET MOSFET(metal-oxide semicinductor field-effect transistor) 는 CMOS 회로에서사용하는능동스위칭소자 포화상태 : N-채널 MOSFET의게이트전압이소스보다크게되면, MOSFET는 ON 상태가되어드레인과소스사이는이상적으로단락된스위치형태로연결됨. 차단상태 : 게이트와소스사이의전압이 0이되면 MOSFET은 OFF 상태가되어드레인과소스사이에는이상적으로개방된스위치형태가된다. (a) MOSFET 기호 (b) n- 채널스위치 20

21 11.4 CMOS 회로 간략화된 MOSFET 기호 (c) p- 채널스위치 CMOS 반전기 상보형 MOS(CMOS) 논리는상보형쌍 (complementary pair) 구조를갖는 MOSFET로구성 CMOS NAND 게이트 11.4 CMOS 회로 두개의입력이모두 LOW 일때, Q1 과 Q2 가 ON 되고 Q3 과 Q4 는 OFF 된다. 출력은병렬의 Q1 과 Q2 의 ON- 저항을통해 HIGH 가된다. 입력 A 가 LOW 이고입력 B 가 HIGH 일때, Q1 가 Q4 가 ON 되고 Q2 와 Q3 이 OFF 된다. 출력은 Q1 의작은 ON- 저항을통해 HIGH 가된다. 입력 A 가 HIGH 이고입력 B 가 HIGH 일때, Q1 과 Q4 가 OFF 되고 Q2 와 Q3 이 ON 된다. 출력은 Q2 의작은 ON- 저항을통하여 HIGH 가된다. 두개의입력이 HIGH 일때, Q1 과 Q2 가 OFF 되고, Q3 과 Q4 는 ON 된다. 이경우에는접지에직렬로연결된 Q3 과 Q4 의 ON- 저항을통해 LOW 가출력된다. 21

22 MOS 논리의특성 11.4 CMOS 회로 바이폴라논리군과 MOS 논리군들의비교 - 속도면에서느리지만 - 전력소비가훨씬적고, - 더나은잡음여유 - 더넓은전압범위가제공되며, - 더욱높은팬 - 아웃과훨씬작은공간이요구된다. 동작속도 일반적인 N-MOS NAND 게이트는전파지연시간이 50 ns이다. - HIGH 상태에서상대적으로높은출력저항 (100 kω ) 을갖고있고, 논리회로의입력에의해서나타난부하용량때문이다. - MOS 논리입력은매우높은입력저항 (> 1012Ω) 을갖고있고, 일반적으로 2~5 pf인높은게이트용량 (MOS 커패시터 ) 을가진다. 이런 ROUT 과 CLOAD 의조합은스위칭시간을증가시킨다 CMOS 회로 잡음여유 N-MOS 잡음여유는 V DD =5V 에서동작을할때 1.5V 정도이고, V DD 가커지면비례해서커진다. 팬-아웃 MOS 논리의팬-아웃은 DC나낮은주파수동작에용량을제한받지않는다. 각각의 MOSFET 입력단에서의높은입력저항때문 그러나 100 khz이상되는주파수에대해서는구동되고있는부하수에비례해서증가하는스위칭시간을게이트입력커패시턴스가증가시킨다. 또한 MOS 논리의팬-아웃은보통 50 임. 전력드레인 MOS 논리회로는적은양의전력을소모한다. 왜냐하면상대적으로큰저항을사용하기때문이다. 22

23 11.4 CMOS 회로 잡음여유 N-MOS 잡음여유는 V DD =5V 에서동작을할때 1.5V 정도이고, V DD 가커지면비례해서커진다. ESD( 정전방전 ) 에대한대책 모든 CMOS 소자들은정전기가발생하는것을방지하기위해도전형특수합성수지로포장되어있다. 포장상태에서 CMOS 소자를꺼낼경우 CMOS 의핀에손을대지않도록주의하여야한다. 보호용포장에서꺼낸 CMOS 소자는접지되어있는금속판과같은표면위에핀을아래로하여내려놓아야한다. CMOS 소자를폴리스티렌수지나플라스틱위에놓으면안된다. CMOS 소자를다루는데사용하는모든도구와검사장비등은금속작업판은접지하여사용하여야한다. CMOS 소자를다루는사람은적당한길이의케이블로큰값의직렬저항을통해자신의팔뚝을접지에연결시켜사람이전압원을건드렸을경우소자에게미칠수있는쇼크를방지해야한다. 전원이투입된상태에서 CMOS 소자 ( 또는다른 IC) 를소켓이나 PC 보드에삽입하지말아야한다. 사용하지않는모든입력은그림 와같이 VCC 나접지에연결해야한다. 미사용입력을연결되지않은상태로방치해두면정전기로인하여입력레벨이임의의값을가질수가있다. PCB 보드를조립한다음에는보드와커넥터들을도전형특수합성수지로싸서보호해야한다. CMOS 입력과출력핀을큰값의저항을통해접지에연결하여보호할수도있다. CMOS 시리즈의특성 CMOS 시리즈의종류 (1) 11.4 CMOS 회로 4000/14000 시리즈 RCA(14000, Motorola, Inc.) 에의해소개된 4000 시리즈는 CMOS 시리즈의첫번째로출시됨 A 시리즈 B 시리즈 : 높은출력전류가가능 74C 시리즈이는 TTL 에서가능할뿐만아니라, 같은 CMOS 시리즈에도사용가능하다. 74C 시리즈의동작특성은 4000 시리즈와거의같다. 74HC 시리즈 ( 고속 CMOS) : 74C 시리즈의개선된버전주요개선점은스위칭속도에서 10 배의증가와더높은출력전류용량이다. 소자의속도는 74LS TTL 시리즈의속도와도비교할수있다. 23

24 11.4 CMOS 회로 CMOS 시리즈의종류 (2) 74HCT 시리즈 ( 고속 CMOS) : 이시리즈와 74HC 사이의가장다른점은 TTL 소자와의전압호환성을가지도록설계되었다는것 TTL 출력으로직접구동시킬수있다. 74AC/ACT ( 개선된 CMOS) - 기능적으로는다양한 TTL 시리즈와같지만 TTL 과의핀의호환성은없다. 왜냐하면, 74AC 또는 74ACT IC 는잡음에덜민감하기때문이다. - 74AC 는 TTL 과전기적호환성도없다. 그러나 74ACT 는 TTL 과직접연결될수있다. - 소자번호 74AC HC04 74ACT HCT CMOS 회로 CMOS 시리즈의종류 (3) BiCMOS LOGIC : bipolar 와 CMOS logic 의장점을결합한논리 IC - CMOS 의저전력소비특성과 bipolar 의고속특성을집적한저전력고속의논리계열 - BiCMOS IC 는거의모든 SSI, MSI 의기능이가능한것은아니고마이크로프로세서인터페이싱과메모리응용등과같은분야에한정되어사용된다. 공급전압 4000 시리즈와 74C 시리즈 : 3 ~ 15V 범위의 VDD 값에서동작 74HC 와 74HCT 시리즈 : 2 ~ 6V 의공급전압범위에서동작 잡음여유 잡음여유 : 일반적으로 CMOS 는 TTL 보다더큰잡음여유를가진다. 잡음여유는양상태에서는같고 VDD 에의존한다. VDD=5V 일때, 잡음여유는양쪽모두 1.5V 이다. 이는 TTL 과보다훨씬좋다. 이러한이유로높은잡음환경에노출된적용에서는 CMOS 를자주이용한다. 24

25 11.4 CMOS 회로 전력소비 CMOS 논리회로가정상상태에있을때, 그것의전력소비는상당히낮다. 주파수에따른 PD 증가 CMOS IC 의전력소비는그것이 dc 상태에있는한, 매우낮을것이다. 불행히도 PD 는회로가스위칭상태일때주파수에비례하여증가할것이다 CMOS 회로 팬 - 아웃 N-MOS 와 P-MOS 처럼 CMOS 입력은신호원으로부터전류의흐름이없을정도로상당히큰저항 (10 12 Ω) 을가지고있다. 그러나각 CMOS 입력은일반적으로접지에 5 pf 부하를준다. 이입력커패시턴스는하나의 CMOS 출력이구동할수있는 CMOS 입력의수를한정짓는다 CMOS 출력은출력스위칭시간이구동되는부하수에비례하여증가하도록모든입력커패시턴스의병렬조합을충전하거나방전시켜야한다. 전형적으로각 CMOS 부하는구동회로의전파지연을 3 ns증가시킨다. 예 ) 그림에서 NAND 게이트 1이부하없이구동된다면 25 ns 의 t PLH 를가질것이다. 이회로의부하가 20개연결되었다면 25 ns + 20 (3 ns) = 85 ns 로증가함. CMOS 팬-아웃은허용최대전파지연에의존한다. 일반적으로 CMOS 출력은저주파 ( 1 MHz ) 에서 50 의팬-아웃으로제한되고, 고주파동작동안팬-아웃은보다작아지게된다. 25

26 11.4 CMOS 회로 스위칭속도 N-MOS, P-MOS 처럼 CMOS 는비교적큰부하커패시턴스를구동해야하지만, 스위칭속도는각상태의저출력저항때문에약간더빠르다. 미사용입력 CMOS 입력을연결되지않은상태로두면절대로안된다. 모든 CMOS 입력은고정된전압 (0V 또는 VDD) 이나다른입력에연결되어야만한다. 이러한규칙은심지어 IC 상에여분의사용되지않는논리게이트입력에도적용된다. 연결되지않는 CMOS 입력은전도상태에서잡음과 P 와 N_ 채널 MOSFET 를모두쉽게바이어스시킬수있는정전하에민감하면서, 결과적으로전력손실과과열을일으킨다. 개방드레인과 3 상태출력 11.4 CMOS 회로 개방 - 드레인 (open-drain) 게이트는개방 - 컬렉터 TTL 게이트에해당하는 CMOS 로출력트랜지스터의드레인단자가개방되어있는게이트로서, 이구조를사용할경우에는드레인단자를부하를통해 VDD 에연결하여사용한다. 3- 상태출력은 CMOS 와 TTL 회로가모두사용가능하다. 3- 상태출력은토템 - 폴과개방 - 컬렉터회로의장점을결합시킨것이다. 26

27 인터페이싱 11.5 IC 인터페이싱 인터페이싱 (Interfacing) : 어떤회로나시스템의출력을전기적특성에서차이가있는다른회로나시스템의입력에연결하는것을말함. 종종직접연결은불가능한데, 이는출력신호를공급하는구동회로와신호를받는부하회로사이의전기적특성이다르기때문이다. 인터페이스회로 : 구동과부하사이에연결된회로 인터페이스회로의기능 : 구동출력신호를얻고조절하여부하의요구량을만족시키는것. 동일논리계열의 IC 를인터페이스할경우 : 각출력의팬 - 아웃한계를넘지않는범위에서특별한고려없이서로결합할수있다. IC 의출력을다른논리군이나같은논리군안에있는다른시리즈를연결하고자할경우 - 일반적으로소자간의전압 / 전류피라미터를고려해야하고 - 입출력전류 / 전압파라미터값의소자데이타시트를점검해야한다 IC 인터페이싱 TTL 구동 CMOS 5V의공급전압을가진표준소자에대한입출력전류 CMOS 4000B 74HC 74HCT 74S V IH (min) 3.5V 3.5V 2.0V 2.0V TTL 74LS 74AS 2.0V 2.0V 74ALS 2.0V V IL (max) 1.5V 1.0V 0.8V 0.8V 0.8V 0.8V 0.8V V OH (min) 4.95V 4.9V 4.9V 2.4V 2.7V 2.7V 2.7V V OL (max) 0.05V 0.1V 0.1V 0.4V 0.5V 0.5V 0.4V I IH (max) 1uA 1uA 1uA 40uA 20uA 200uA 20uA I IL (max) 1uA 1uA 1uA 1.6mA 0.4mA 2mA 100uA I OH (max) 0.4mA 4mA 4mA 0.4mA 0.4mA 2mA 400uA I OL (max) 0.4mA 4mA 4mA 16mA 8mA 20mA 8mA 27

28 11.5 IC 인터페이싱 TTL 구동 CMOS 전류값 : CMOS 의입력전류값이 TTL 시리즈의출력전류용량에비해서상당히낮다. 그래서 TTL 은 CMOS 의입력전류요구량을만족시키는데아무런문제가없다. 전압값 : 모든 TTL 시리즈의 VOH(min) 값이 4000B 와 74HC 시리즈의 VIH(min) 허용값과비교하였을때너무낮다는것을알수있다. 이러한상황에서는 CMOS 를위한허용수준을위해 TTL 출력전압상승이행해져야한다. 인터페이싱의문제를해결하기위한가장일반적인방법 - 풀 - 업저항은 TTL 의출력을 HIGH 상태에서 5 V 로증가시키고적절한 CMOS 입력을공급한다. - 개방 - 컬렉터풀 - 업저항에서와똑같은방법으로풀 _ 업저항값을결정한다. - TTL 출력이단지 CMOS 만을구동 : 1 kω ~ 10 kω 11.5 IC 인터페이싱 TTL 구동 CMOS 외부풀 - 업저항은 TTL 이 CMOS 를구동할때이둉된다. TTL 구동 74HCT 74HCT 시리즈는 TTL 의출력에의해직접구동되도록설계되었다. 즉, 입력전압요구량은표준 TTL 소자와같다. 따라서위의그림과같은풀 - 업저항은불필요하다. 이것은 TTL 을 74HCT 소자에인터페이싱하기쉽게하지만, CMOS 소자의전력소비를증가시킨다. 28

29 TTL 구동고전압 CMOS 11.5 IC 인터페이싱 고전압 CMOS (5V 보다큰전압인 VDD 로동작 ) VDD=10V 인 CMOS 입력이 VIH(min) = 7V 를필요로한다. 많은 TTL 소자의출력은 5V 보다큰전압에서동작되지않는다. 그래서 +10V 에풀 - 업저항의연결은금지된다. 또어떤 LS-TTL 은 10V 까지의출력풀 - 업에서동작한다. 일반적으로 5V 보다큰풀 - 업을사용하기전에데이타시트를검토하여야한다. TTL 출력이 VDD 로풀 - 업되지않을경우의해결방법 7407 개방 _ 컬렉터버퍼는 TTL 토템폴인출력과 VDD > 5V 에서동작하는 CMOS 의인터페이스로사용 7407 은 7406 의비반전대응부이고, 30V 의비율로출력전압을가지고있다 와같은레벨변환 (level-translator) 회로를이용한다 IC 인터페이싱 CMOS 구동 TTL HIGH 상태에서 CMOS 구동 - CMOS 출력이 HIGH 상태 (VIH) 에서 TTL 입력허용값을만족시키는충분한전압 (VOH) 을쉽게공급할수있다. - CMOS 출력은 TTL 입력전류요구량 (IIH) 을만족시키기위해필요한전류 (IOH) 보다더많은양을공급할수있다. HIGH 상태를위한특별한고려를필요로하지않다. LOW 상태에서 CMOS 구동 - TTL 입력이 LOW 상태에서상대적으로높은입력전류를가지는것을알수있으며, 그범위는 100 μa에서 2 ma까지이다. - 74HC 와 74HCT 군은 4 ma까지전류를흐르게할수있다. 따라서 74HCT 와 74HC 는어떤군의단일 TTL 을구동시키는데는어려움이없다 B 시리즈는훨씬더제한된다. LOW IOL 은 74 나 74AS 시리즈의 1 개의입력을구동하기에도불충분하다. 29

30 11.5 IC 인터페이싱 74HC 출력에의해동작되어질수있는 74LS 입력의수는얼마인가? 4000B 출력에대해반복하라. 74LS 시리즈는 IIL(max)=0.4 ma를가지며, 그 74HC 는 IOL(max)=4 ma로연결될수있다. 74HC 는 10 개의 74LS 부하 (4 ma /0.4 ma = 10) 를구동할수있다. 4000B는 0.4 ma로연결하고또한 1 개의 74LS 입력을구동할수있다. 74HC 출력에의해동작될수있는 74ALS 입력의수는얼마인가? 4000B 출력에대해반복하라. 74ALS 시리즈는 IIL(max)=100 μa를가진다. 74HC 는 10 개의 74ALS 입력 (4 ma /100 μa = 40) 을구동할수있다. 4000B 는 40 개의 74ALS 입력 (0.4 ma /100 μa = 4) 을구동할수있다 IC 인터페이싱 그림에서잘못된사항은무엇인가? 74HC00 은 4 ma를연결할수있지만, 3 개의 74AS 입력은 3 2 ma = 6 ma를필요로한다. 그림에서잘못된사항은무엇인가? 4001B NOR 게이트는 0.4 ma를연결할수있지만, 3개의 74LS 입력들은 ma = 1.2 ma를필요로한다 30

31 11.5 IC 인터페이싱 앞의그림과같이 CMOS 와 TTL 소자간에특정의인터페이스회로가필요함. - 인터페이스회로는낮은입력전류요구량을가져야만하며, 충분하게부하를걸기위해높은출력전류를가져야만한다. 앞페이지의아래그림에대한해결방법 (2 가지방법 - 버퍼사용 ) CMOS 4050B 는비반전버퍼이며, 이것은 IOL (max) = 3 ma의비율로출력전류를가지고있다. 그래서이것은쉽게 3 개의 74LS 부하를구동할수있다. 74LS125 는 4001B 에의해구동되는영구적으로여기되는비반전 3- 상태버퍼이다. 그것의출력은쉽게 74LS 부하를구동할수있다. 이회로의양측에서, 인터페이스버퍼는 4001 B 출력신호를 74LS 부하로단지전달시킬뿐이다. 고전압 CMOS 구동 TTL 11.5 IC 인터페이싱 전압레벨변환기 (voltage-level translator) 를사용하여 15V 로동작되는 CMOS IC 와 5V 로동작하는 TTL IC 를인터페이스한다. 4050B 버퍼는고전압 CMOS 와 TTL 사이에서레벨변환회로로동작함. 31

32 저전력기술 (LVT) 11.5 IC 인터페이싱 3.3V 로동작하는소자로건전지로작동하는장비에특별한가치가있다. 여러가지 3.3V 논리계열이개발되었는데, 그중 74LVT 시리즈가가장좋은성능을가진것중하나임.(BiCMOS 기술적용 ) LVT 회로는 3.3V 회로와 5V 사이의인터페이스로동작할수있다. -> 혼합 - 전압시스템 (mixed-voltage system) 32

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

Microsoft PowerPoint 상 교류 회로

Microsoft PowerPoint 상 교류 회로 3상교류회로 11.1. 3 상교류의발생 평등자계중에놓인회전자철심에기계적으로 120 씩차이가나게감은코일 aa, bb,cc 를배치하고각속도의속도로회전하면각코일의양단에는다음식으로표현되는기전력이발생하게된다. 11.1. 3 상교류의발생 여기서 e a, e b, e c 는각각코일aa, bb, cc 양단에서얻어지는전압의순시치식이며, 각각을상 (phase) 이라한다. 이와같이전압의크기는같고위상이

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

Microsoft PowerPoint - Ch12

Microsoft PowerPoint - Ch12 Ch. 12 Operational Amplifier (OP-AMP) 개요 기호및단자 Symbol Invert Noninvert V- 1 8 NC V+ Output Typical Package 개요 이상적인 OP-Amp Z in = ; A v = ; bandwidth = ; Z out = 0 실제적인 OP-Amp Z in = very high (MΩ); A v

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI.

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100  / . ( )....,,,, EMI, RFI. , ?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC.. 1 1. 0%.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI. . 0.. NFPA IEEE 5.0. NEC " NEC 50.56 5. 5.0.".?.??

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

Microsoft PowerPoint - 3. BJT

Microsoft PowerPoint - 3. BJT BJT (Bipolar Junction Transistor) BJT 의구조및동작모드 BJT 의구조및동작모드 실제 BJT 는그림 3-1(a) 와같이이미터영역과컬렉터영역의기하학적구조가다르며, 세영역의도핑농도도각기다르게만들어진다. 도핑농도 : ( 이미터 )>( 베이스 )>( 컬렉터 ) 이미터 : 전류운반캐리어 ( 전자또는정공 ) 를제공 컬렉터 : 베이스영역을지나온캐리어가모이는영역

More information

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류 Section 03 트랜지스터를이용한스위칭동작 15/45 스위치 ON/OFF 의전기적특성 트랜지스터와기계적인스위치를이용한 LED ON/OFF 동작비교 LED 를켜기위한회로 ([ 그림 5-6]) Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스»

More information

01. Start JAVA!

01. Start JAVA! 세상은우리들의생각보다빠르게변하고있습니다. 1 v1.0 01. 회로도 2 v1.0 전자공학기초이론 (1/4) 전류 (current) 전도체나반도체를통한전자흐름, 단위는암페어 (Ampere) 이며 A 를단위기호로사용 회로 (circuit) 전류가계속흐르게하기위해, 한방향으로는전자가, 반대방향으로홀이지속적으로순환하는흐름 전압차 (voltage difference)

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터 실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터의전면패널에꼽는다. 통상적으로검은색프로브는전면패널의검은단자 (COM) 에꼽으며, 빨간색프로브는빨간색단자에꼽는다.

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

슬라이드 1

슬라이드 1 회로이론 중간고사 -7.. 4 [] 다음소자에정현파전압을인가할때, -I 단자특성을써라 5 점 [] Elcric lap 는고주파에서동작하며에너지를수은증기에전달하여수은증기가 phsphrus 막을때려서빛을발산한다. 그림의회로에서, 가얼마일때최대전력을전달받는가? 등가회로는그림과같고, 는 lap 의크기와 phsphrus 의종류에의해결정된다. 3-4 - OU v 7 rad

More information

제 1 장 집적회로 개요

제 1 장  집적회로 개요 실험 #2-A 반도체다이오드의특성실험 1. 실험목적 다이오드의특성에대해조사한다. 2. 서론 모든반도체다이오드는단향적특성을가지고있다. 순방향저항은매우낮은반면에역방향저항은매우높기때문이다. 다이오드에대한전압대전류의곡선을그려가며구체적으로그특성을조사한다. 3. 관련이론 다이오드내부저항 V D V D V T r D Ideal diode I D I D 다이오드의순방향저항

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

BS-K1217-M□□-3012_ProductGuide_KR_PDF

BS-K1217-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN System [ASLINK ] S-K1217-M-3012..,.,....,,. S-K1217-M08-3012 S-K1217-M12-3012 S-K1217-M18-3012 S-K1217-M30-3012 2() () / 1 2 1 DC..,,.,,,..,....... ' ARW-04 (Ver.04-1.01 ),

More information

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현

Digital Logic Circuits CHAPTER 03 논리게이트 CONTENTS 3.1 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 Digital Logic Circuits CHAPTER 3 논리게이트 CONTENTS 3. 기본논리게이트 3.2 NAND 게이트와 NOR 게이트 3.3 Exclusive-OR 게이트 3.4 논리게이트의구현 3.5 논리게이트 IC 칩을이용한회로구현 CHAPTER 3 논리게이트 디지털시스템은트랜지스터 (transistor) 를이용하여구현되는논리게이트들에의해구성된다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open 1. 연산증폭기 -연산증폭기(operational amplifier) 는증폭기를 IC(integrated circuit, 집적회로 ) 로꾸민것이다. -입력임피던스가크고, 출력임피던스가작으며, 증폭률이아주큰특징을가지는증폭기로집적된것이다. -연산증폭기중에서가장널리이용되고있는 741에는 20개의트랜지스터, 11개의저항, 1개의축전기가크기 3mm 3mm에집적되어있다.

More information

Microsoft PowerPoint - 8. 전력

Microsoft PowerPoint - 8. 전력 전력 8.. 전력의정의 직류회로의전력 전력 P W Q W Q P t t W Q Q t VI W: 일, t: 시간, Q: 전하량, V: 전압, 전위차, I: 전류 P VI RI I RI V V R V R 8.. 전력의정의 8.. 정현파교류회로에서의전력 평균전력 (average power) 또는유효전력 (effective power) 교류회로에서는전압, 전류가모두변하기때문에,

More information

슬라이드 1

슬라이드 1 한경대학교전기전자제어공학과 유동상교수 실험목적 - 회로의주파수응답및필터에대해이해 강의내용 - 주파수응답과필터 - 저주파통과필터 - 고주파통과필터 오늘의실험 - Multisim을이용한시뮬레이션 - 브레드보드에회로구성을통한실험및계측 이득 (Gain) : 입력정현파의진폭에대한출력정현파의진폭의비 gain output amplitude input amplitude

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

전자회로-07장

전자회로-07장 Chapter 07 7.1 BJT 7.2 MOSFET 7.3 7.4 7.5 7.6 4 6 IC IC IC IC BJT MOSFET IC IC IC IC 7 1 differential amplifier IC integrated circuit IC BJT MOSFET emitter coupled differential pair source coupled differential

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators 발진기 (Oscillator) 발진기 : 전원이인가된상태에서외부의입력신호없이회로자체의동작에의해특정주파수의신호 ( 정현파, 구형파, 삼각파, 톱니파 ) 를생성하는회로 종류 : 귀환 발진기 (Feedback oscillator), 이완 발진기 (elaxation oscillator) 귀환발진기 귀환발진기 : 출력신호의일부분이위상변이없이입력으로인가되어출력을강화

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과 1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과기동전류가 400% 이하로표준모터의 650% 대비상당히낮은기동특성을가지고있어, 압축기운용시기동시간등을감안하여

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램

CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램 CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun (csy1000@hanmir.com) 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램 Dept. of Electronic Engineering, Yeungnam University,

More information

- 1 -

- 1 - - 1 - - 2 - 교류전원포트 직류전원포트 함체포트접지포트시험대상기기신호 / 제어포트 ( 포트의설명 ) - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - Ω μ - 9 - - 10 - AAN - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - 소방용품 전자파장해방지기준 전자파내성기준 비고 누전경보기 ( 수신부 ) 적용

More information

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조 - Part2- 제 2 장다차원배열이란무엇인가 학습목차 2.1 다차원배열이란 2. 2 2 차원배열의주소와값의참조 2.1 다차원배열이란 2.1 다차원배열이란 (1/14) 다차원배열 : 2 차원이상의배열을의미 1 차원배열과다차원배열의비교 1 차원배열 int array [12] 행 2 차원배열 int array [4][3] 행 열 3 차원배열 int array [2][2][3]

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

2001/1학기 공학 물리 중간고사

2001/1학기 공학 물리 중간고사 2011/2 학기물리전자기말고사담당교수 : 김삼동 성명 학번 분반 e = 1.6 10-19 C, ε ox = 3.9, ε Si = 11.7,ε o = 8.85 10-14 F/cm 2, kt (300 K) = 0.0259 ev,, n i (Si, 300 K) =1.5x10 10 /cm 3 1. PN diode의 I-V 특성은아래의그림과같은거동을보인 (I) 다.

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1164 1171. http://dx.doi.org/1.5515/kjkiees.214.25.11.1164 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) BCI

More information

Microsoft PowerPoint - Ch3

Microsoft PowerPoint - Ch3 Ch. 3 Special Purpose Diodes 3-4. 광학다이오드 (Optical diodes) 광학다이오드 광방출다이오드 (LED) : 빛을방출하는다이오드 광다이오드 (Photodiode) : 빛을검출하는다이오드 광방출다이오드 (LED: light emitting diode) 전계발광 (electroluminescence): 순방향바이어스 : n영역의자유전자

More information

<STM32CubeMX Guide In Korean>

<STM32CubeMX Guide In Korean> Crystal oscillator design guide for STM8 and STM32 microcontrollers. INTRODUCTION 이문서는 STM8, STM32 시리즈를적용한 Hardware 설계시외부 clock 소스로 crystal 을사용할경우고려해야할내용에대해소개하기위해작성되었습니다. 이문서는 ST 에서제공하는 AN2867 Oscillator

More information

온습도 판넬미터(JTH-05) 사양서V1.0

온습도 판넬미터(JTH-05)  사양서V1.0 온습도 조절기 Model:JTH-05 1. 제품 사양. [제품 구분] JTH-05A(입력 전원 AC), JTH-05D(입력 전원 DC) [전원 사양] JTH-05A 입력 전압 출력 전원 소비 전력 JTH-05D AC 90~240V DC 10~36V 12Vdc / Max.170mA Max.2W [본체 사이즈] ~ 온/습도 범위(본체): 사용 [0 ~ 50, 85%RH

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA>

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA> 전력전자 로봇 자동화공학부 www.dongyang.ac.kr 전기회로기초 - 학습내용 교류전압전류의표현방법 전력및역률 계측기사용법 전력용반도체소자및동작원리 전기회로기초 - 계측기사용법 함수발생기 함수발생기 (function generator) 또는신호발생기 (signal generator) 는디지털회로또는아날로그전자회로에정현파, 구형파, 삼각파등의신호를공급하는실험장비

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

제7회:스위칭전원의 노이즈 대책

제7회:스위칭전원의 노이즈 대책 제 7 회 : 스위칭전원의노이즈대책 종래의리니어전원대신전자기기의전원의주류인스위칭전원. 소형. 경량. 고효율이라는우수한특징이있지만스위칭전원에도약점이있다. 반도체소자에의해전류를고속으로 ON/OFF하는방식이기때문에고주파의노이즈가발생하는것이다. 스위칭전원의기술사는고효율화를위한열과의싸움임과동시에노이즈와의싸움이기도하다. 스위칭전원에는다종다양의노이즈대책이강구되고있다. **EMC대책의네가지방법

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수 마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수있는 TTL-호환출력 < 핀구성 > 시그네틱스 (Signetics) 사의 NE555, SA555,

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

데이터 시트 AC 가변 스피드 드라이브 및 서보 모터 드라이브 DC 모터 드라이브용 정적 컨버터 UPS(연속 전원 공급기) SMPS(스위치 모드 전원 공급기) 전류 클램프 CL1200 CL1200 은 산업 및 고전력 환경용으로 설계된 AC 전류 클램 프입니다. 인체 공

데이터 시트 AC 가변 스피드 드라이브 및 서보 모터 드라이브 DC 모터 드라이브용 정적 컨버터 UPS(연속 전원 공급기) SMPS(스위치 모드 전원 공급기) 전류 클램프 CL1200 CL1200 은 산업 및 고전력 환경용으로 설계된 AC 전류 클램 프입니다. 인체 공 전력 분석기 액세서리 PA4000 및 PA1000 출력 신호에 대한 낮은 소음 PA4000 전력 분석기에 간단하게 연결할 수 있는 텍트로 닉스 사용자 정의 케이블 어셈블리 포함 옵션으로 제공되는 PA4000 내부 변환기 공급기와 호환 (CT-1000-S 제외, 옵션으로 제공되는 외부 파워 서플라 이인 Keithley 모델 2220 주문 필요) 고정 코어 홀

More information

Microsoft Word - _»ï¿ø G_ LV77 MAIN PCB ¼ö¸®Æ÷ÀÎÆ®.doc

Microsoft Word - _»ï¿ø G_ LV77 MAIN PCB ¼ö¸®Æ÷ÀÎÆ®.doc 수리포인트 1. SYNC 출력신호 PARALLEL 통신에서적색점멸됨메인단자통해 SYNC신호가입력되면 Q3 Base에 L 신호동작전압이인가되어 Collector을통해 U7 9PIN에 H 신호가입력되면 U7 8PIN에서 L 신호가출력된다. SYNC 단자에 18~19V정도의통신신호발생하는데저항R35 불량 ( 단선 ) 으로전압이 0 가걸리면 PARALLEL신호로연결시적색점멸표시됨

More information

Slide 1

Slide 1 Linear Technology Corporation Power Seminar LDO 2016. 10. 12. LTC Korea 영업강전도부장 010-8168-6852 jdkang@linear.com 기술박종만차장 010-2390-2843 jmpark@linear.com LDO 목차 1) LDO feedback 동작원리, 2) LDO 종류 3) LDO 특성

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

Microsoft Word - KSR2015A135

Microsoft Word - KSR2015A135 2015 년도한국철도학회추계학술대회논문집 KSR2015A135 PSCAD/EMTDC 를이용한직류전기철도급전계통모델링 Modeling for power feeding system of DC electric railway using the PSCAD/EMTDC 정현기 * Hyun-Ki Jung * 초록직류전기철도는 DC 1,500V 전차선로등급전계통에서단락또는지락사고발생시

More information

NCV8871 을이용한 Sepic 회로설계 VO 와같다. 그림 4 는인덕터전류및전압파형 을나타낸것이다. 전압 시간평형원리에따르면다음 과같은값들을얻을수있다. 1 인덕터 L1 의경우 V L1 I C1 C1 V D1 D1 L1 V C1 I D1 V IN C IN V S1 S

NCV8871 을이용한 Sepic 회로설계 VO 와같다. 그림 4 는인덕터전류및전압파형 을나타낸것이다. 전압 시간평형원리에따르면다음 과같은값들을얻을수있다. 1 인덕터 L1 의경우 V L1 I C1 C1 V D1 D1 L1 V C1 I D1 V IN C IN V S1 S TECHNICAL REPORT EE NCV8871을 이용한 Sepic 회로 설계 최근에는 자동차 시스템에서 점점 더 많은 전자 장치들이 사용되고 있는데, 이것은 파워서플라이에 더 많은 요구사항, 예를 들면 더 높은 출력 전류나 다양한 출력 전압 등이 요구된다는 것을 의미한다. 자동차 시스템 에서 가장 인기있는 파워 서플라이는 선형 레귤레이터와 스텝다운 스위칭

More information

<4D F736F F F696E74202D20322EB3EDB8AEC7A5C7F6B0FA20B3EDB8AEBCF6C7D02E >

<4D F736F F F696E74202D20322EB3EDB8AEC7A5C7F6B0FA20B3EDB8AEBCF6C7D02E > 논리수학과표현 논리의표현 진법과숫자표현 2 진수와 10 진수 문자의표현 dolicom@naver.com http://blog.naver.com/dolicom 숫자와문자의표현방식 숫자의표현 2 진수보수체계 정수형 Floating Point - 실수형 ASCII 코드 미국의컴퓨터문자표현 ( 1 바이트 ) KS-5601 한국어지원을위한완성형표준안 (2 바이트가한글자

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

Microsoft Word - Current-limit-Switch-I_redone__clean.doc

Microsoft Word - Current-limit-Switch-I_redone__clean.doc USB 전류제한스위치작성자 : Hardik Patel( 선임애플리케이션엔지니어 ) 랩톱및 PC 에서가장널리사용되는 USB 는가정용품, 자동차용품과산업용및제어시스템등과같은모든유형의시스템으로그용도가확대되고있습니다. USB 는호스트시스템과 USB 장치간의통신에가장선호되는방식입니다. USB 장치를호스트시스템에연결하면호스트시스템이 USB 장치에전력을공급하게됩니다. 호스트시스템전원공급장치는

More information

형명및정격 (MCCB) 배선용차단기 (AB - 시리즈 ) 프레임의크기 50AF Type 형명 정격 극수 (Pole) 정격전류 (In) A 정격전압 (Ue) AC (V) DC (V) 정격절연전압 (Ui) V 정격임펄스전압 (Uimp) kv 정격차단전류 (ka) 주1) A

형명및정격 (MCCB) 배선용차단기 (AB - 시리즈 ) 프레임의크기 50AF Type 형명 정격 극수 (Pole) 정격전류 (In) A 정격전압 (Ue) AC (V) DC (V) 정격절연전압 (Ui) V 정격임펄스전압 (Uimp) kv 정격차단전류 (ka) 주1) A 0F 정격 극수 (Pole) C () 정격임펄스전압 (Uimp) k 정격차단전류 (k) 주1) C K SC 821 (Sym) 4/00 IEC0947-2 (lcu) 41 2 DC 순시트립동작특성내구수명 ( 회 ) 기계적전기적 c1 주2) c2 주2) 부속장치 보조접점 X L 부족 UT 외부조작 표면부착형 (D) 핸들 확장형 (E) 단자커버 Long Short

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

Microsoft PowerPoint - 1강 pcb발표 & OrCAD.PPT

Microsoft PowerPoint - 1강 pcb발표 & OrCAD.PPT EMC 적합성을위한 PCB 설계기술 Printed Circuit board Design Techniques for EMC Compliance 2007. 7. 14. 목차 1. Introduction. 2. 인쇄회로기판기초. 3. Bypassing and Decoupling. 4. 클럭회로. 5. 정전기방전보호. 6. 추가적인설계기술. 7. Noise의최소화방법.

More information

특허청구의범위청구항 1 고전압허용성능을갖는파워-레일 ESD 클램프회로에있어서, 적어도전압원및접지단자에연결되고상기전압원및전지단자사이에 ESD가존재하는지여부를검출하기위해서사용되는 ESD 검출회로 ; 및 ESD 상태에서동일하게 ESD 전류를방전하기위해서상기 ESD 검출회로에

특허청구의범위청구항 1 고전압허용성능을갖는파워-레일 ESD 클램프회로에있어서, 적어도전압원및접지단자에연결되고상기전압원및전지단자사이에 ESD가존재하는지여부를검출하기위해서사용되는 ESD 검출회로 ; 및 ESD 상태에서동일하게 ESD 전류를방전하기위해서상기 ESD 검출회로에 (51) Int. Cl. (19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) H02H 3/22 (2006.01) (21) 출원번호 10-2006-0073340 (22) 출원일자 2006 년 08 월 03 일 심사청구일자 2006 년 08 월 03 일 (65) 공개번호 10-2007-0099395 (43) 공개일자 2007 년 10 월 09 일 (30)

More information

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770>

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 12, No. 6 pp. 2729-2734, 2011 DOI : 10.5762/KAIS.2011.12.6.2729 DC 정합회로를갖는능동 Replica LDO 레귤레이터 유인호 1, 방준호 1*, 유재영 2 1 전북대학교 IT 응용시스템공학과

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드]

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드] 의료기기제작실습 II 이름 : 이기영 (Lee, Ki Young) 전공 : 의공학 (Medical Engineering) 연구실 : 강릉캠퍼스 50주년기념관 514호이메일 : kylee@kd.ac.kr 학과홈 : http://cms.kd.ac.kr/user/bme/index.html 1 수업계획서 1주 필터회로의분석 2주 필터회로의구현 3주 반전 / 비반전증폭기

More information

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드]

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드] Opertl Ampler A µa7 tegrted rut h eght etg p 주요한단자. ertg put. ertg put. utput. pte pwer upply 5. egte pwer upply b The rrepdee betwee the rled p umber the tegrted rut d the de the pertl mpler. NC : et

More information

Microsoft PowerPoint - lec06_2007

Microsoft PowerPoint - lec06_2007 Opertil Ampliier A µa74 itegrted circuit h eight cectig pi 주요한단자. iertig iput. iertig iput. utput 4. pitie pwer upply 5. egtie pwer upply b The crrepdece betwee the circled pi umber the itegrted circuit

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

Microsoft PowerPoint - ch12ysk2015x [호환 모드]

Microsoft PowerPoint - ch12ysk2015x [호환 모드] 회로이론 h 가변주파수회로망의동작 김영석 충북대학교전자정보대학 5.9. Email: kimy@cbu.ac.kr k h- 소자의주파수특성 h 가변주파수회로망 : 학습목표 회로망함수의영점 zero 과극점 pole 회로망함수의보드선도 bode plot 직병렬공진회로해석 크기와주파수스케일링개념 저역통과 PF 고역통과 HPF 대역통과 BPF 대역저지 BF 필터특성 수동및능동필터해석

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators Crystal-Controlled Oscillators 수정발진기 (Crystal-Controlled Oscillators): 안정되고정확한발진기 압전효과 (Piezoelectric effects): 기계적충격에의해서진동하는주파수에서전압을발생 교류전압이인가하면주파수로진동 압전효과물질 : 수정 - 매우높은 Q 값 ( 수천 )

More information

Microsoft PowerPoint - dc_ch2 [호환 모드]

Microsoft PowerPoint - dc_ch2 [호환 모드] Chapter 2 Boolean Algebra and Logic Circuits Chapter 2 Boolean Algebra and Logic Circuits 2.1 Boolean Algebra 2.1.1 1 Definition of Boolean Algebra 2.1.2 Fundamental Theorems 2.1.3 Switching Algebra 2.1.4

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

슬라이드 1

슬라이드 1 임베디드시스템개론 : Arduino 활용 Lecture #9: Motor 제어 2012. 5. 18 by 김영주 강의목차 소형모터개요 트랜지스터를이용한 DC 모터제어 Motor Driver IC를이용한 DC 모터제어 Servo 모터제어 2 3 1. 소형모터 (Motor) 소형모터 (1) 소형모터 전기에너지를회전운동으로변환하는장치모터소형화로다양하게응용되고있음

More information

( )1

( )1 SMPS 2 echnical Series SMPS(Switch Mode Power Supply) 를소개하는데있어서가장먼저검토해야할것이비절연형 DC/DC 컨버터이다. 이회로방식은입출력의전기적인절연이필요없는응용에적용되는방식으로가장대표적인것은온보드용 DC/DC 컨버터이다. 비절연형 DC/DC 컨버터에는기본적인세가지회로방식이있는데이글에서는이러한회로방식들에대해서그동작과설계방법에대해서알아본다.

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information