CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램

Size: px
Start display at page:

Download "CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램"

Transcription

1 CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun (csy1000@hanmir.com) 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램 Dept. of Electronic Engineering, Yeungnam University, KOREA

2 목 차 1. 서론 2. 이론 - I. 회로 - II. 동상모드입력범위와출력스윙 - III. 전압이득 - IV. 소비전력 - V. 슬루율 3. 설계및결과 - I. 설계스펙 - II. Hand Analysis - III. PSpice Analysis 4. 결론

3 요약 CMOS를이용하여 2단연산증폭기의동작원리및특성을이해하고, 2단연산증폭기를설계했을때주파수영역과시간영역에서의특성을알수있었다. 2단 CMOS 연산증폭기의성능은설계스펙에맞춰서성능을절충해야함을알수있었다. 1. 서론 아날로그 IC에는연산증폭기, 아날로그곱셈기, 아날로그-디지털변환기와디지털-아날로그변환기, 위상동기루프, 그리고이들보다좀더특수한목적으로설계된기능블록들이있다. 이모든아날로그 IC의내부는우리가이제까지공부한기본적인빌딩블록들, 즉 1 단증폭기, 차동쌍, 전류미러, 그리고 MOS 스위치들로구성된다. 이보고서를통해서가장중요한아날로그 IC, 즉연산증폭기에대해서설계할것이다. CMOS 연산증폭기회로는아날로그혼합신호 VLSI 회로의설계에응용된다. 이러한 CMOS 연산증폭기들은이미특정한목적을가지고설계되었기때문에이들은우리가원하는몇몇의특성들, 예를들면높은직류이득, 넓은주파수대역, 또는넓은출력스윙등을이루기위해서최적화할수있다. 이 741타입의연산증폭기는 35년이상사용되어왔지만 741 연산증폭기의내부회로는오늘날에도변함없이여전히적절하고흥미롭다. 이보고서는 2 단 CMOS 연산증폭기의전반적인내용을 2 장에서소개하고, 3 장에서주어 진스펙에맞게회로를설계하며 4 장에서는결론을맺는다.

4 2. 이론 I. 회로 그림 1 기본적인 2단 CMOS 연산증폭기구성이회로는다음과같이두개의이득을얻는단으로구성되어있다. 첫번째단은차동쌍 - 와이것의전류미러부하 - 로이루어져있다. 이회로는보통 20~60V/V 정도의전압이득을가지고있으며차동에서단동으로변화시키면서도적당한크기의 CMRR 을가지고있다. 이차동쌍은전류원 에의해바이어스되는데 는,, 으로구성된전류미러의두출력트랜지스터중의하나이다. 전류미러는기준전류 에의해전류가공급되는데 는음의전원전압 에, 또는더정밀한음전압이칩내부에있을경우에는이것에정밀저항을연결하여만든다. 두번째단은공통소스트랜지스터 와그전류원부하 으로구성되어있는데두번째단의이득은보통 50V/V에서 80V/V까지이다. 또두번째단은연산증폭기의주파수특성을보상하는역할도한다. 즉부귀환되는양에무관하게연산증폭기가발진하지않고안정적으로동작하게하려면개방회로이득이 -20dB/decade의기울기로주파수에따라감소하도록연산증폭기를만들어야한다. 주파수특성을위와같이만들려면상대적으로낮은주파수에극점이생기도록해야하며이극점이주파수특성을결정하는데에주된역할을하게해야한다. 현재의회로에서는이를위해보상커패시턴스 를두번째단증폭용트랜지스터 의부귀환경로에삽입하였다. 는두번째단의이득으로밀러곱이되며그결과값인두번째단의입력커패시턴스는총저항과결합하여필요한우성극점을제공하게된다. 적절하게잘설계하지않으면 CMOS 연산증폭기회로는계통적직류출력오프셋을나타

5 내게된다. 이직류오프셋은다음과같은조건을만족하도록트랜지스터들의크기를결정 하면없앨수있다. - 식 (1) II. 동상모드입력범위와출력스윙 그림 1을참조하여두입력단자를묶어전압 에연결하면어떻게될까생각해보자. 의최소값은, 가포화영역에서작동할만큼충분히커야한다는조건에서결정되므로, 다음식과같이 의드레인전압 에서 를뺀것보다더낮으면안된다. - 식 (2) 의최대값은 가확실히포화영역에머물도록해야한다는조건에서결정되며이는 에걸리는전압 가 보다작아지지않아야함을의미한다. 이것은 의드레인전압이 보다높지않아야한다는것과같은말이며 의상한값은 이며이것은다음식과같은의미이다. - 식 (3) 식 (2) 와식 (3) 을결합하면동상모드입력범위는다음과같다. - 식 (4) 예상했던바와같이, 중요한설계파라미터인오버드라이브전압을직류전원전압에서빼게되어동상모드입력범위가줄어들게되므로 의범위라는측면에서보면 를가능한한작은값으로택해야한다. 연산증폭기의출력에서허용되는신호스윙의범위는 가포화영역에있도록해야한다는조건으로부터최소값이정해지며, 이포화영역에있도록해야한다는조건부터최대값이정해지므로다음과같다. - 식 (5) 이식으로부터출력전압스윙을크게하려면 와 의 를가능한한작은값으로선택해야함을알수있는데, 그러나이조건은 의천이주파수 가높아야한다는조건과는상충된다. 는 에비례하므로 MOSFET의주파수특성은오버드라이브전압을높이면개선된다. 연산증폭기회로가갖추어야하는중요한특성은출력단자를음의입력단자에다시연결하였을때이득이 1인단위이득증폭기로작동해야한다는것이다. 이렇게연결해도작동하도록하려면 의허용범위와 의허용범위가대부분겹쳐야하는데여기서설명하는 CMOS 증폭기는대부분이를만족시킨다. III. 전압이득 전압이득과주파수응답을구하기위해 CMOS 증폭기의소신호동작에대해단순화한등가회로를생각해보자. 이회로에서는두단이각각트랜스임피던스컨덕턴스증폭기로모델화되어있다. 이등가회로를보면예상대로입력저항은실제로무한대이다.

6 그림 2 연산증폭기에대한소신호등가회로 또한첫단의트랜스컨덕턴스 은 과 의각각의트랜스컨덕턴스와같다. - 식 (6) 과 는같은바이어스전류 (I/2) 와같은오버드라이브전압 에서동작하므 로 이된다. 저항 은첫단의출력저항이므로 이며이식에서 이고 이다. 그러므로첫단의직류이득은 - 식 (7) - 식 (8) - 식 (9) - 식 (10) - 식 (11) = = 이되며이식을보면차동쌍트랜지스터, 를낮은오버드라이버전압에서동작시키 고더큰얼리전압 를얻기위해채널길이를더길게하면 의크기가증가함을알 수있다. 그러나이렇게하면증폭기의주파수특성이나빠진다. 두번째단의트랜스컨덕턴스는다음과같음을알수있다. - 식 (12) 는두번째단의출력저항이고다음과같고 이식에서 - 식 (13) - 식 (14)

7 이고 - 식 (15) 이다. 그러므로두번째단의전압이득은다음과같이된다 - 식 (16) = = 이식을보면역시 을낮은오버드라이브전압에서동작시키고 과 의채널길이를 더길게해야 의크기가증가함을알수있다. 그러나이렇게하면증폭기의주파수대 역이좁아지고이사실은설계자가설계할때심사숙고하여절충해야함을의미한다. 위식에서 가 와거의같은크기임을알수있고따라서 의크기는 500V/V 에 서 5000V/V 까지가된다. 끝으로연산증폭기의출력저항은다음과같이두번째단의출력저항과같음을알수있 다. - 식 (17) 그러므로 는큰값일수도있는데이것은좋은특성은아니지만온칩 CMOS 연산증폭기 는큰부하에대해서는거의사용되지않으므로이렇게큰개방회로출력저항은별로문 제가되지않는다. IV. 주파수응답 등가회로에서커패시턴스 은첫번째단의출력마디와접지사이의총커패시턴스이므 로다음과같다. - 식 (18) 커패시턴스 는다음식고 k 같이연산증폭기의출력마디와접지사이의총커패시턴스 이며증폭기가구동하는부하커패시턴스 을포함하고있다. - 식 (19) 보통의경우, 은트랜지스터의커패시턴스보다더크기때문에 는 보다훨씬크다. 마지막으로 을 와병렬연결로등가회로에포함시켜야하지만 가훨씬크므로 생략하였다. 두극점과양의실수축에있는영점에대한주파수는다음과같은근사값을갖는다. - 식 (20) - 식 (21) - 식 (22) 이들중에서 이우성극점이며이주파수는밀러곱이된 와 에의해결정된다. 이득이 1 이되는주파수 까지 -20dB/decade 의일정한기울기로이득이감소하게만들려

8 면 = - 식 (23) 이고, 는 와 보다낮아야하므로다음조건을만족시키도록설계해야한다. - 식 (24) 2 단 CMOS 증폭기의주파수특성을보상하는방법은극점분리방법이다. 이방법은 을 저주파대역의우성극점에대한주파수로설정하고두번째극점의주파수를 보다높게 하는것이다. 그림 3 에이득과위상에대한보드선도를나타내었다. 이득이 1 이되는주파 수 에서, 에형성된우성극점에의해위상지연이 90 도보다크다는것을주목하라. 위상과도천이라고불리는위상천이는두번째극점에의한것이며 tan - 식 (25) 이고오른쪽방면에있는영점에의한것은다음과같다. tan - 식 (26) 그러므로 에서위상지연은다음식이되며 위상여유는다음과같이된다. tan tan - 식 (27) Phase Margin = = 90 - tan tan - 식 (28) 그림 3 2 단연산증폭기의일반적인주파수응답 위상여유의크기는폐쇄회로이득에지대한영향을미친다. 그러므로원하는크기의위상

9 여유를갖도록하는것은설계에있어서대부분중요한요구사항이다. 영점에의해발생하는추가적인위상지연의문제에대해서는간단하면서도우아한해결책 이있다. 그림 4 연산증폭기의 에직렬로 R을연결한회로의소신호등가회로즉그림 4에나타낸것처럼 에직렬로 R을연결하여전송영점을주파수특성에대한악영향이적은위치로옮기는것이다. 전송영점의새로운위치를찾기위하여 =0이라놓자. 그러면 를통해흐르는전류는 가되고출력에서마디방정식을세우면다음과같이된다. 그러므로영점의위치는다음과같다. 위식을보면 가되는저항을선택하면영점의위치를무한대의주파수로만들수있는데, 이보다더좋은선택은 보다더큰 R을선택하는것이다. 이렇게선택하면영점이음의실수축에위치하게되어이에의한위상이위상여유에더해지므로더좋은선택이되는것이다. V. 슬루율 연산증폭기의슬루율은 2단 CMOS 증폭기에서왜이런현상이발생하는지그원인에대하여설명하겠다. 그림 5 매우큰계단신호가입력인단위이득폴로어. 출력전압이급격히변할수없으므로연산증폭기의출력단자에커다란크기의차동전압이나타난다. 그림 5와깉이크기가 1V인계단전압이단위이득폴로어에입력되는경우에대하여고찰

10 해보자. 증폭기의동특성때문에그출력은즉각변할수없다. 그러므로입력신호가인가된직후에는계단전압의크기전체가두입력단자에차동신호로걸릴것이다. 십중팔구이렇게큰입력신호는차동쌍의한쪽을불통시켜서바이어스전ㄹ I가전부다른한쪽으로흐르게할것이다. 그림 1을보면, 이러한경우 는불통되고 은도통되어 I전체가흐를것이다. 이렇게되면그림 6과같이두번째단을이상적인적분기로모델화하면출력전압은다음과같이기울기가 인램프가될것이다. 그림 6 큰차동전압이인가된경우 그러므로술루율 SR 은 - 식 (29) 이되는데, 이결과는단순화한모델을사용하여유도되었다. 단위이득대역폭 와슬루율 SR사이에는단순한관계식이성립하는데 과식 (23), 그리고식 (29) 를이용하면다음과같이된다. - 식 (30) 다른표현으로다음과같이쓸수도있다. SR= 그러므로 가주어지면슬루율은첫번째단트랜지스터들이동작하는오버드라이브전압에의해결정되며슬루율을높이려면 과 를더높은 를얻을수있는데이것은 CMOS 연산증폭기의첫번째단에 n 채널소자가아니라 p 채널소자를채택하는중요한이유이다. 이렇게첫번째단에 p 채널소자를채택하는또다른이유는두번째단에 n 채널소자를채택할수있도록하는것인데, n 채널소자는더큰트랜스컨덕턴스를가지고있어 가커지며두번째극점의주파수가높아지고따라서 가더높아지기때문이다. 그러나이러한개선의대가로 이작아지며따라서직류이득이낮아진다.

11 3. 설계및결과 I. 설계스펙 0.5 μm n-well CMOS technology Parameter NMOS PMOS [ nm ] 9 9 [ff/vs] [ cm2 /Vs] [ μa / ] [V] [V/ μm ] [ff/ μm ] =90 μa =1pF =0.2pF =0.8pF =60dB =3V I=200 μa =500 μa L=1 nm Case 1 : Phase Margin = 55 Case 2 : Phase Margin = 75 II. Hand Analysis =60dB=1000V/V = (,,,,,,, ) = = = =

12 =0.596[V] =8.28= =2.96= =16.56 =14.82 =41.4 ( = ) =7.45 [ μa ] 동상모드입력범위 출력스윙 =26.67[kΩ]

13 =1.68[ ] = 0.336[ ] =334 MHz =595Ω Case 1: Phase Margin 이 55 일때 tan =35 =334 tan =234 MHz =0.23pF SR= =876[] Case 2: Phase Margin 이 75 일때 tan =15 =334 tan =89.5 MHz =0.6pF SR= =335[]

14 II. PSpice Analysis Case 1 : Phase Margin 55

15 Case 2 : Phase Margin 결론 우리는 2단 CMOS 연산증폭기를설계하고, 이증폭기의성능을이보고서를통해서평가및고찰해볼수있었다. 2단 CMOS 연산증폭기는 5개의 PMOS와 3개의 NMOS에의해서만들수있는회로로써회로의출력저항은 으로상당히크다. 그러므로낮은임피던스의부하를구동하기에는적당하지않은데, 그럼에도불구하고이회로는대단히많이사용된다. VLSI에서연산증폭기를구현하는데에도자주사용되는데이런연산증폭기는작은용량성부하를구동하기위해서만사용되며이러한부하의한예는스위치드커패시터회로이다. 회로가간단하기때문에아주작은면적에도상당히좋은성능의연산증폭기를제작할수있는것이다. 이번설계를통해서위상마진에따라주파수가어떻게변하는지비교해볼수있었고, 이회로를응용하여사용할수있는부분에대해서좀더찾아볼필요성이있을것같다. 특히 CMOS로만이루어져있으므로 VLSI를공부하는데에있어서도움이많이될거라생각하며이번 2단 CMOS 연산증폭기설계를마친다.

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

Microsoft PowerPoint - Ch12

Microsoft PowerPoint - Ch12 Ch. 12 Operational Amplifier (OP-AMP) 개요 기호및단자 Symbol Invert Noninvert V- 1 8 NC V+ Output Typical Package 개요 이상적인 OP-Amp Z in = ; A v = ; bandwidth = ; Z out = 0 실제적인 OP-Amp Z in = very high (MΩ); A v

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

Microsoft PowerPoint - ch12ysk2015x [호환 모드]

Microsoft PowerPoint - ch12ysk2015x [호환 모드] 회로이론 h 가변주파수회로망의동작 김영석 충북대학교전자정보대학 5.9. Email: kimy@cbu.ac.kr k h- 소자의주파수특성 h 가변주파수회로망 : 학습목표 회로망함수의영점 zero 과극점 pole 회로망함수의보드선도 bode plot 직병렬공진회로해석 크기와주파수스케일링개념 저역통과 PF 고역통과 HPF 대역통과 BPF 대역저지 BF 필터특성 수동및능동필터해석

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators 발진기 (Oscillator) 발진기 : 전원이인가된상태에서외부의입력신호없이회로자체의동작에의해특정주파수의신호 ( 정현파, 구형파, 삼각파, 톱니파 ) 를생성하는회로 종류 : 귀환 발진기 (Feedback oscillator), 이완 발진기 (elaxation oscillator) 귀환발진기 귀환발진기 : 출력신호의일부분이위상변이없이입력으로인가되어출력을강화

More information

Microsoft PowerPoint - 3. BJT

Microsoft PowerPoint - 3. BJT BJT (Bipolar Junction Transistor) BJT 의구조및동작모드 BJT 의구조및동작모드 실제 BJT 는그림 3-1(a) 와같이이미터영역과컬렉터영역의기하학적구조가다르며, 세영역의도핑농도도각기다르게만들어진다. 도핑농도 : ( 이미터 )>( 베이스 )>( 컬렉터 ) 이미터 : 전류운반캐리어 ( 전자또는정공 ) 를제공 컬렉터 : 베이스영역을지나온캐리어가모이는영역

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

슬라이드 1

슬라이드 1 한경대학교전기전자제어공학과 유동상교수 실험목적 - 회로의주파수응답및필터에대해이해 강의내용 - 주파수응답과필터 - 저주파통과필터 - 고주파통과필터 오늘의실험 - Multisim을이용한시뮬레이션 - 브레드보드에회로구성을통한실험및계측 이득 (Gain) : 입력정현파의진폭에대한출력정현파의진폭의비 gain output amplitude input amplitude

More information

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open 1. 연산증폭기 -연산증폭기(operational amplifier) 는증폭기를 IC(integrated circuit, 집적회로 ) 로꾸민것이다. -입력임피던스가크고, 출력임피던스가작으며, 증폭률이아주큰특징을가지는증폭기로집적된것이다. -연산증폭기중에서가장널리이용되고있는 741에는 20개의트랜지스터, 11개의저항, 1개의축전기가크기 3mm 3mm에집적되어있다.

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

슬라이드 1

슬라이드 1 회로이론 중간고사 -7.. 4 [] 다음소자에정현파전압을인가할때, -I 단자특성을써라 5 점 [] Elcric lap 는고주파에서동작하며에너지를수은증기에전달하여수은증기가 phsphrus 막을때려서빛을발산한다. 그림의회로에서, 가얼마일때최대전력을전달받는가? 등가회로는그림과같고, 는 lap 의크기와 phsphrus 의종류에의해결정된다. 3-4 - OU v 7 rad

More information

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI.

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100  / . ( )....,,,, EMI, RFI. , ?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC.. 1 1. 0%.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI. . 0.. NFPA IEEE 5.0. NEC " NEC 50.56 5. 5.0.".?.??

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

2Stage BJT Amplifier 를이용한 FM 송신기의설계및제작 (Design of FM Transmitter using 2 Stage BJT Amplifier) - 통신시스템설계 - 천성용 Dept. of Electronic Engineering, Yeungna

2Stage BJT Amplifier 를이용한 FM 송신기의설계및제작 (Design of FM Transmitter using 2 Stage BJT Amplifier) - 통신시스템설계 - 천성용 Dept. of Electronic Engineering, Yeungna 2Stage BJT Amplifier 를이용한 FM 송신기의설계및제작 (Design of FM Transmitter using 2 Stage BJT Amplifier) - 통신시스템설계 - 천성용 Dept. of Electronic Engineering, Yeungnam University, KOREA whiteyongi@yu.ac.kr 1. Abstract

More information

어떤식으로든출력단에접속되어야한다. Ref. 핀처리방법은여러가지가있을수있겠는데, 만약차분증폭기가필요하다면 Ref 핀은접지에접속되어야한다. 여기까지는지금까지배웠던내용인데, 하필이면왜 Sense 라는말과 Ref. 라는말을이핀에다가부쳤을까? 이유가있을테니, 캐내어보자. 먼저,

어떤식으로든출력단에접속되어야한다. Ref. 핀처리방법은여러가지가있을수있겠는데, 만약차분증폭기가필요하다면 Ref 핀은접지에접속되어야한다. 여기까지는지금까지배웠던내용인데, 하필이면왜 Sense 라는말과 Ref. 라는말을이핀에다가부쳤을까? 이유가있을테니, 캐내어보자. 먼저, 4.7 Op Amp. 의 Sense 핀과 Ref. 핀의사용법 * 본게시글은출판예정인가칭 'ADC 를위한아날로그필터설계 ' 서적의일부를선공 개하는것입니다. 저작권은주식회사싱크웍스에있습니다. 일부 Op Amp. 중에는 Sense 와 Ref. 핀을가지고있는제품들이있다. 앞서 4.4 절에서살펴본 INA157 과같은차분 (Difference) 증폭기에도이핀들이있고,

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

Microsoft PowerPoint 상 교류 회로

Microsoft PowerPoint 상 교류 회로 3상교류회로 11.1. 3 상교류의발생 평등자계중에놓인회전자철심에기계적으로 120 씩차이가나게감은코일 aa, bb,cc 를배치하고각속도의속도로회전하면각코일의양단에는다음식으로표현되는기전력이발생하게된다. 11.1. 3 상교류의발생 여기서 e a, e b, e c 는각각코일aa, bb, cc 양단에서얻어지는전압의순시치식이며, 각각을상 (phase) 이라한다. 이와같이전압의크기는같고위상이

More information

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드]

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드] 의료기기제작실습 II 이름 : 이기영 (Lee, Ki Young) 전공 : 의공학 (Medical Engineering) 연구실 : 강릉캠퍼스 50주년기념관 514호이메일 : kylee@kd.ac.kr 학과홈 : http://cms.kd.ac.kr/user/bme/index.html 1 수업계획서 1주 필터회로의분석 2주 필터회로의구현 3주 반전 / 비반전증폭기

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

Microsoft PowerPoint - 8. 전력

Microsoft PowerPoint - 8. 전력 전력 8.. 전력의정의 직류회로의전력 전력 P W Q W Q P t t W Q Q t VI W: 일, t: 시간, Q: 전하량, V: 전압, 전위차, I: 전류 P VI RI I RI V V R V R 8.. 전력의정의 8.. 정현파교류회로에서의전력 평균전력 (average power) 또는유효전력 (effective power) 교류회로에서는전압, 전류가모두변하기때문에,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

part3[11-15장].hwp

part3[11-15장].hwp 실험 11. 폐로전류 방정식 1. 실험 목적 1) 폐로전류 방정식에 대한 개념을 이해한다. 2) 실험을 통하여 폐로전류 방정식에 의한 계산 결과를 확인한다. 3) 이론에 의한 계산값과 컴퓨터 시뮬레이션 결과 그리고 실험에 의한 측정 결과값을 상호 비교 검토한다. 2. 관련 이론 회로가 여러개의 전원 및 저항소자에 의한 폐회로로 구성이 될 때, 각 저항소 자에

More information

Microsoft PowerPoint - lec06_2007

Microsoft PowerPoint - lec06_2007 Opertil Ampliier A µa74 itegrted circuit h eight cectig pi 주요한단자. iertig iput. iertig iput. utput 4. pitie pwer upply 5. egtie pwer upply b The crrepdece betwee the circled pi umber the itegrted circuit

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

PowerPoint Presentation

PowerPoint Presentation 시간영역에서의시스템해석 5.. 개요 대상시스템의특성은일정한입력이시스템에가해질경우, 시스템이어떻게응답하는가를통해서파악할수있다. ) 시간응답 (ime repoe) 특성을살펴보기위해자주사용되는기준입력에는단위계단입력, 임펄스입력, 경사입력, 사인입력등이있는데, 대부분경우에단위계단신호를사용한다. 단위계단응답 (ui ep repoe) 을알면나머지임펄스응답과경사응답을유추할수있기때문이다.

More information

<333920C3D6BCAEBFEC2DB4C9B5BF20C0CEB4F6C5CDB8A620C0CCBFEBC7D12E687770>

<333920C3D6BCAEBFEC2DB4C9B5BF20C0CEB4F6C5CDB8A620C0CCBFEBC7D12E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 14, No. 7 pp. 3425-3430, 2013 http://dx.doi.org/10.5762/kais.2013.14.7.3425 능동인덕터를이용한주파수가변형대역통과필터설계 이석진 1, 최석우 2* 1 OCI, 2 전북대학교전기공학과 Frequency-Tunable

More information

REVIEW CHART

REVIEW CHART Rev.6, 29. June 2015 보호및절연협조 2015. 06. 29 한국철도시설공단 REVIEW CHART 1 2 Ω 3 4 5 6 단락보호과전류방식 단락보호 지락보호비율차동방식 단락보호과전류방식 지락보호지락과전류 7 8 9 10 I inrush FLA 배at sec 11 12 I pickup Slope P I n 여기에서 I n 변류기 차정격전류

More information

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770>

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 12, No. 6 pp. 2729-2734, 2011 DOI : 10.5762/KAIS.2011.12.6.2729 DC 정합회로를갖는능동 Replica LDO 레귤레이터 유인호 1, 방준호 1*, 유재영 2 1 전북대학교 IT 응용시스템공학과

More information

<B4EBC7D0BCF6C7D02DBBEFB0A2C7D4BCF62E687770>

<B4EBC7D0BCF6C7D02DBBEFB0A2C7D4BCF62E687770> 삼각함수. 삼각함수의덧셈정리 삼각함수의덧셈정리 삼각함수 sin (α + β ), cos (α + β ), tan (α + β ) 등을 α 또는 β 의삼각함수로나 타낼수있다. 각 α 와각 β 에대하여 α >0, β >0이고 0 α - β < β 를만족한다고가정하 자. 다른경우에도같은방법으로증명할수있다. 각 α 와각 β 에대하여 θ = α - β 라고놓자. 위의그림에서원점에서거리가

More information

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드]

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드] Opertl Ampler A µa7 tegrted rut h eght etg p 주요한단자. ertg put. ertg put. utput. pte pwer upply 5. egte pwer upply b The rrepdee betwee the rled p umber the tegrted rut d the de the pertl mpler. NC : et

More information

untitled

untitled 실험 5. Op-Amp 회로. 실험목적 Op-Amp의특성및 74 Op-Amp를이용한몇가지회로의동작원리를이해하고그회로를직접구성하여동작을확인한다.. 실험관련이론. Op-Amp Op-Amp, 즉연산증폭기란수학적기능을수행하는증폭기를의미한다. 최초의 Op-Amp는아날로그컴퓨터에사용되었으며덧셈, 뺄셈, 곱셈등의수학적연산을수행했다. 대표적인 Op-Amp는 0부터 MHz

More information

<STM32CubeMX Guide In Korean>

<STM32CubeMX Guide In Korean> Crystal oscillator design guide for STM8 and STM32 microcontrollers. INTRODUCTION 이문서는 STM8, STM32 시리즈를적용한 Hardware 설계시외부 clock 소스로 crystal 을사용할경우고려해야할내용에대해소개하기위해작성되었습니다. 이문서는 ST 에서제공하는 AN2867 Oscillator

More information

특허청구의 범위 청구항 1 삭제 청구항 2 삭제 청구항 3 삭제 청구항 4 삭제 청구항 5 픽셀전압, 기준전압, 미세램프전압 및 코스램프전압을 수신하는 증폭기, 상기 코스램프전압을 입력받는 코스램 프전압 입력단과 상기 증폭기 사이에 연결되는 스위치 및 상기 스위치와 증

특허청구의 범위 청구항 1 삭제 청구항 2 삭제 청구항 3 삭제 청구항 4 삭제 청구항 5 픽셀전압, 기준전압, 미세램프전압 및 코스램프전압을 수신하는 증폭기, 상기 코스램프전압을 입력받는 코스램 프전압 입력단과 상기 증폭기 사이에 연결되는 스위치 및 상기 스위치와 증 (19) 대한민국특허청(KR) (12) 등록특허공보(B1) (51) 국제특허분류(Int. Cl.) H03M 1/12 (2006.01) H04N 5/3745 (2011.01) (21) 출원번호 10-2012-0025574 (22) 출원일자 2012년03월13일 심사청구일자 (56) 선행기술조사문헌 US20030193595 A1* KR1020110129543 A

More information

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin 4.3 Rail to Rail Input Output(RRIO) 방식의장점 최근들어, 저전압용으로개발되는 Op Amp. 는거의다 RRIO 방식을채택하고있는데, 이 RRIO 방식을단순히출력진동폭을최대화할수있는구조라는정도로받아들이고넘어가기에는좀찝찝해서이절을준비했다. 왜그런지를이해하기위해서는트랜지스터수준에서의회로설명이필요한데, 트랜지스터에관한설명은최대한억제한채,

More information

팬도캐드소개

팬도캐드소개 제목 : 4 층 50Ω, 55Ω, 90Ω Diff,100Ω Diff (1.46T) PCB 재질 : FR4( Er = 4.4 ) 외층 / 내층 : 1 Oz PCB 두께 : 1.46T ±10% CCL= 1.2T C 1/1 L3 0.08mm 0.08mm 0.09mm 0.09mm 0.26mm 0.26mm 프리프레그 (PrePreg) : 1080 0.06 mm, 2116

More information

Microsoft PowerPoint - 8장_대칭성분(수정본 )2 [호환 모드]

Microsoft PowerPoint - 8장_대칭성분(수정본 )2 [호환 모드] . 학기 Ø 8. 대칭성분의정의 Ø 8. 임피던스부하의대칭성분네트워크 Ø 8. 직렬임피던스의대칭성분네트워크 Ø 8.4 상선로의대칭성분네트워크 Ø 8.5 회전기기의대칭성분네트워크 Ø 8.6 상 권선변압기의.u. 대칭성분모델 Ø 8.7 상 권선변압기의.u. 대칭성분모델 Ø 8.8 대칭성분네트워크에서의전력 대칭성분 : 상전압,, 에대하여 Forteue의대칭좌표법으로분해

More information

<303920C1A4C7D7B1D92DC0FCBFF820C0E2C0BD20BFB5C7E2C0BB20C1D9C0CCB1E22E687770>

<303920C1A4C7D7B1D92DC0FCBFF820C0E2C0BD20BFB5C7E2C0BB20C1D9C0CCB1E22E687770> 한국산학기술학회논문지 Vol. 10, No. 2, pp. 269-273, 2009 허호영 1, 정항근 2* An Analysis of a VCO Voltage Regulator for Reducing the Effect of Power Supply Noise Hoh-Young Heo 1 and Hang-Geun Jeong 2* 요약정전압기는 VCO의제어전압의전원잡음을줄이기위해사용될수있다.

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

전력시스템공학

전력시스템공학 기초전기공학 5 장. 교류회로 강원대전기공학과 1 학년 2011 년 1 학기 1 5.1 교류란 직류 : DC 시간이지나도전압, 전류의크기가일정 극성도변하지않음 교류 : AC 번갈아방향이바뀌는전압, 전류 사인파교류 or 정현파교류 sine 형태의교류파형 2 패러데이의전자유도법칙 5.2 정현파발생 시간적으로변화하는자장은폐회로에전류를흐르게할수있는전압을유도한다. 이유도전압은폐회로를쇄교하는자력선의시간적변화율에비례한다.

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

통신이론 2 장주파수해석 성공회대학교 정보통신공학과 1

통신이론 2 장주파수해석 성공회대학교 정보통신공학과 1 통신이론 장주파수해석 성공회대학교 정보통신공학과 제 장의구성. 시간영역과주파수영역. 푸리에해석.3 푸리에급수.4 푸리에변환.5 특이함수모델.6 푸리에변환쌍.7 푸리에변환과관련된정리들 . 시간영역과주파수영역 3 시간영역과주파수영역 통신에서의신호 - 시간의흐름에따라전압, 전류, 또는전력의변화량을나타낸것 신호를표시할수있는방법 y 진폭 시간영역에서의표현 x 시간 y

More information

(Hyunoo Shim) 1 / 24 (Discrete-time Markov Chain) * 그림 이산시간이다연쇄 (chain) 이다왜 Markov? (See below) ➀ 이산시간연쇄 (Discrete-time chain): : Y Y 의상태공간 = {0, 1, 2,..., n} Y n Y 의 n 시점상태 {Y n = j} Y 가 n 시점에상태 j 에있는사건

More information

PowerPoint Presentation

PowerPoint Presentation RL 과 RC 회로의완전응답 기초회로이론 학습목표 2/42 RL 혹은 RC 회로를해석하는방법 완전해, 등차해, 특수해 RL 혹은 RC 회로에서완전응답, 과도응답, 정상상태응답을얻는방법 목차 3/42 1. RL 혹은 RC 회로의해석 2. 1차미분방정식의해 3. 무전원응답 4. 시정수 5. RL 혹은 RC 회로의 DC 전원응답 6. 연속스위칭회로 Section

More information

@ p a g e c o n te n tt y p e = " te x t/ h tm l;c h a rs e t= u tf- 8 " fo r (in t i= 0 ; i< = 1 0 ; i+ + ) { o u t.p rin tln (" H e llo W o rld " + i + " < b r/> " ); = re s u lt + re s u lts u m ()

More information

특허청구의범위청구항 1 고유의노이즈를생성하기위한노이즈소스 (11) 를포함하는노이즈신호생성장치 (10) 로서, 상기노이즈소스 (11) 는증폭수단 (103a, 103b), 상기증폭수단및전원에연결된로드 (101a, 101b, 102a, 102b), 및접지수단및상기증폭수단 (

특허청구의범위청구항 1 고유의노이즈를생성하기위한노이즈소스 (11) 를포함하는노이즈신호생성장치 (10) 로서, 상기노이즈소스 (11) 는증폭수단 (103a, 103b), 상기증폭수단및전원에연결된로드 (101a, 101b, 102a, 102b), 및접지수단및상기증폭수단 ( (51) Int. Cl. (19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) H03F 3/45 (2006.01) H03B 29/00 (2006.01) (21) 출원번호 10-2005-7018083 (22) 출원일자 ( 국제출원일자 ) 2004 년 03 월 19 일 심사청구일자 2009 년 03 월 19 일 (85) 번역문제출일자 2005 년 09

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국태양에너지학회 VOL. 30, NO.1, 2010.4.8 대구 EXCO 춘계학술발표대회논문집 태양광용부스트컨버터의간략화모델링과제어기설계 임지훈 *, 최주엽 +, 송승호 *, 최익 *, 정승환 *, 안진웅 *, 이동하 * * 광운대학교전기공학과 (hipihipiyo@kw.ac.kr),+ 교신저자 : 광운대학교전기공학과 (juyeop@kw.ac.kr) **

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터 실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터의전면패널에꼽는다. 통상적으로검은색프로브는전면패널의검은단자 (COM) 에꼽으며, 빨간색프로브는빨간색단자에꼽는다.

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

<313620B1E8BFB5C3E12D4E4D4F53464554C0C720486F742D4361727269657220BFADC8ADC7F6BBF32E687770>

<313620B1E8BFB5C3E12D4E4D4F53464554C0C720486F742D4361727269657220BFADC8ADC7F6BBF32E687770> 한국산학기술학회논문지 Vol. 10, No. 12, pp. 3626-3631, 2009 NMOSFET의 Hot-Carrier 열화현상 백종무 1, 김영춘 2*, 조문택 3 1 대원대학 전자정보통신과, 2 공주대학교 기계자동차공학부, 3 대원대학 전기전자계열 Hot-Carrier Degradation of NMOSFET Jong-Mu Baek 1, Young-Choon

More information

Python과 함께 배우는 신호 해석 제 5 강. 복소수 연산 및 Python을 이용한 복소수 연산 (제 2 장. 복소수 기초)

Python과 함께 배우는 신호 해석 제 5 강. 복소수 연산 및 Python을 이용한 복소수 연산      (제 2 장. 복소수 기초) 제 5 강. 복소수연산및 을이용한복소수연산 ( 제 2 장. 복소수기초 ) 한림대학교전자공학과 한림대학교 제 5 강. 복소수연산및 을이용한복소수연산 1 배울내용 복소수의기본개념복소수의표현오일러 (Euler) 공식복소수의대수연산 1의 N 승근 한림대학교 제 5 강. 복소수연산및 을이용한복소수연산 2 복소수의 4 칙연산 복소수의덧셈과뺄셈에는직각좌표계표현을사용하고,

More information

개인용전기자극기의 안전성및성능평가가이드라인

개인용전기자극기의 안전성및성능평가가이드라인 개인용전기자극기의 안전성및성능평가가이드라인 2014. 3 목 차 1 서론 - 1 - - 2 - - 3 - 2 개인용전기자극기개요 - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - 범례 해설: GZJ [통증완화용경피전기신경자극기]: 100개 IPF [재활치료용전동식근육자극기]: 92개 NGX [근육운동용전동식근육자극기]: 28개

More information

Microsoft Word - KSR2015A135

Microsoft Word - KSR2015A135 2015 년도한국철도학회추계학술대회논문집 KSR2015A135 PSCAD/EMTDC 를이용한직류전기철도급전계통모델링 Modeling for power feeding system of DC electric railway using the PSCAD/EMTDC 정현기 * Hyun-Ki Jung * 초록직류전기철도는 DC 1,500V 전차선로등급전계통에서단락또는지락사고발생시

More information

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과 1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과기동전류가 400% 이하로표준모터의 650% 대비상당히낮은기동특성을가지고있어, 압축기운용시기동시간등을감안하여

More information

슬라이드 1

슬라이드 1 에너지시스템공학 : 전기에너지 3 주차강의내용 정현파 페이저변환, 임피던스, 어드미턴스 공진, 교류회로해석 순시전력, 평균전력, 역률 변압기 삼상회로 3. 정현파 (Sinusoidal wave 자기장이존재하는공간에서코일을회전 : 전류가발생 교류발전기기전력 : v( t sint : 진폭 v( t T v( t 주기함수 f ( rad / s f T T 일반적인정현파

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

<C0CEC1A4B9FCC0A728B1B9B9AE292E786C73>

<C0CEC1A4B9FCC0A728B1B9B9AE292E786C73> 인정번호 : KC00-005 호 (1/119) 102. 선형치수 다이얼 / 실린더게이지시험기 10206 (0 ~ 25) mm 닥터블레이드 10207 (0 ~ 10) mm 엔드바, 마이크로미터기준봉 10209 (0 ~ 100) mm 길이변위계, LVDT 10210 (0 ~ 500) mm 틈새게이지 10211 (0 ~ 5) mm 필름어플리케이터 10212 (0

More information

실험 4

실험 4 실험 7. Op-amp 회로. 실험목적 op-amp 의특성및 74 op-amp 를이용한몇가지회로의동작원리를이해하고 그회로를직접구성하여동작을확인한다.. 실험관련이론. Op-amp Op-amp, 즉연산증폭기란수학적기능을수행하는증폭기를의미한다. 최초의 op-amp는아날로그컴퓨터에사용되었으며덧셈, 뺄셈, 곱셈등의수학적연산을수행했다. 대표적인 op-amp는 0부터 MHz

More information

<30322DBABBB9AE2D31B0FAB8F12E687770>

<30322DBABBB9AE2D31B0FAB8F12E687770> 제 7 장 회로망 7.1 이상적인전압원과전류원 (1) 이상적인전압원 : 내부임피던스 Z = 0 (2) 이상적인전류원 : 내부임피던스 Z = (3) 전압원과전류원의등가회로 [A] [Ω] 7.2 선형회로망 1 중첩의정리 (Superposition theorem) 회로망내에다수의기전력이동시에존재할때, 회로전류는각기전력이각각단독으로그위치에존재할때흐르는전류를각각대수적으로합하여구하는정리

More information

1 요약문 1. 과제명 : 2. 연구기간 : 계약일 ~ 2016.11.25 3. 연구책임자 : 임영석 4. 계획대진도 2 3 시설 장비명 규격수량 용도 보유현황확보방안비고 Digital Oscilloscope 1 전력전송시스템효율측정 보유 전기장및 자기장측정 1 전기장및자기장측정미보유전파연구원시스템 WorkStation 1 무선전력전송시스템시뮬레이션 보유 가속세트

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

= ``...(2011), , (.)''

= ``...(2011), , (.)'' Finance Lecture Note Series 사회과학과 수학 제2강. 미분 조 승 모2 영남대학교 경제금융학부 학습목표. 미분의 개념: 미분과 도함수의 개념에 대해 알아본다. : 실제로 미분을 어떻게 하는지 알아본다. : 극값의 개념을 알아보고 미분을 통해 어떻게 구하는지 알아본다. 4. 미분과 극한: 미분을 이용하여 극한값을 구하는 방법에 대해 알아본다.

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 1, Jan 서론 PC PMIC(Power Management IC) [1]. PMIC DC-D

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 1, Jan 서론 PC PMIC(Power Management IC) [1]. PMIC DC-D THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 7180. http://dx.doi.org/10.5515/kjkiees.2015.26.1.71 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) LDO PSR

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

제 1 장 집적회로 개요

제 1 장  집적회로 개요 실험 #2-A 반도체다이오드의특성실험 1. 실험목적 다이오드의특성에대해조사한다. 2. 서론 모든반도체다이오드는단향적특성을가지고있다. 순방향저항은매우낮은반면에역방향저항은매우높기때문이다. 다이오드에대한전압대전류의곡선을그려가며구체적으로그특성을조사한다. 3. 관련이론 다이오드내부저항 V D V D V T r D Ideal diode I D I D 다이오드의순방향저항

More information

Session B2 : 3:30 ~ 5:00 Room 203 7

Session B2 : 3:30 ~ 5:00 Room 203 7 2009 SoC 2009 SoC 2 0 0 9 S o C C o n f e e n c e http://soc2009.chonbuk.ac.k 2009 5 5 5 6 Session B2 : 3:30 ~ 5:00 Room 203 7 저항성 Feedback 구조의 저전력 초고주파 능동인덕터 이희진 2, 김수태, 최흥재 정용채 전북대학교 전기전자컴퓨터공학부, 2 동부하이텍(

More information

<4D F736F F F696E74202D20342EB9D9C0CCC6D0BDBABFCD20B5F0C4BFC7C3B8B E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20342EB9D9C0CCC6D0BDBABFCD20B5F0C4BFC7C3B8B E BC8A3C8AF20B8F0B5E55D> 바이패스와디커플링 2010. 6. 8 금홍식 한국전파진흥협회 EMC 기술지원센터 서론 바이패스 (bypass) 와디커플링 (decoupling) 한회로에서다른회로로의에너지전달방지 전원공급시스템 (power distribution system) 의품질향상 주목해야할회로 전원판 (Power plane) 과접지판 (Ground plane) 부품 (Components)

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

이슈분석 2000 Vol.1

이슈분석 2000 Vol.1 i ii iii iv 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66

More information

가볍게읽는-내지-1-2

가볍게읽는-내지-1-2 I 01. 10 11 12 02. 13 14 15 03. 16 17 18 04. 19 20 21 05. 22 23 24 06. 25 26 27 07. 28 29 08. 30 31 09. 32 33 10. 34 35 36 11. 37 12. 38 13. 39 14. 40 15. 41 16. 42 43 17. 44 45 18. 46 19. 47 48 20. 49

More information

한눈에-아세안 내지-1

한눈에-아세안 내지-1 I 12 I 13 14 I 15 16 I 17 18 II 20 II 21 22 II 23 24 II 25 26 II 27 28 II 29 30 II 31 32 II 33 34 II 35 36 III 38 III 39 40 III 41 42 III 43 44 III 45 46 III 47 48 III 49 50 IV 52 IV 53 54 IV 55 56 IV

More information