이번스페셜리포트에서는 시스템반도체기술로드맵위원회 에서준비한 로드맵2015 내용중일부를발췌편집하여게재하였으며, 2010 년도기술개발분야기획시중점연구분야발굴에많은도움을줄수있게되기를기대합니다. < 편집자주 > 시스템반도체기술로드맵 2015 Ⅰ. 개념및특성 II. 현황및전망

Size: px
Start display at page:

Download "이번스페셜리포트에서는 시스템반도체기술로드맵위원회 에서준비한 로드맵2015 내용중일부를발췌편집하여게재하였으며, 2010 년도기술개발분야기획시중점연구분야발굴에많은도움을줄수있게되기를기대합니다. < 편집자주 > 시스템반도체기술로드맵 2015 Ⅰ. 개념및특성 II. 현황및전망"

Transcription

1 이번스페셜리포트에서는 시스템반도체로드맵위원회 에서준비한 로드맵2015 내용중일부를발췌편집하여게재하였으며, 2010 년도개발분야기획시중점연구분야발굴에많은도움을줄수있게되기를기대합니다. < 편집자주 > 시스템반도체로드맵 2015 Ⅰ. 개념및특성 II. 현황및전망 Ⅲ. 경쟁력분석및핵심발전동인 IV. 비전및달성목표 V. 세부추진계획 Ⅵ. 기대효과 I. 개념및특성 1. 개념 반도체은시스템구현에필요한다기능집적반도체기 술인시스템반도체, 고주파소자, 이차전지, 전력반도체등을포함하는특화디바이스, 정보기억능력이구현되는메모리및반도체를생산하기위한공정 / 장비 / 소재 / 패키지 /PCB 을포함한다. 주요품목및예시는 < 그림 1> 과같다. 그림 1. 반도체분류및주요품목 November

2 시스템반도체는시스템의핵심기능을하나의칩에집약한 반도체로휴대폰, 가전, 자동차등우리일상생활속에편재하며삶의변화를혁신하는핵심로 개별소자 에서시스템통합과서비스가치를창출하는 융복합반도체 로발전하며, 시스템산업과서비스산업의고부가가치화에대한중추적인역할을수행한다. 기본개념은 < 그림 2> 와같다. 그림 2. 시스템반도체기본개념 2. 특성 반도체는디지털 / 정보화시대주도를통해신핵심 제품을창조하며인류발전을선도하는 21세기디지털기간산업으로서, 메모리, 시스템반도체, 융합반도체, 그린반도체로발전하고있으며 < 그림 3> 과같이요약된다. 그림 3. 반도체발전및관련서비스창출 범위는 < 표 1> 과같다. 표 1. 시스템반도체범위 중분류 그린 IT II. 현황및전망 1. 외시장현황및전망 소분류 PM(Power Management) EM(Energy Management) BM(Battery Management) 마이크로에너지 AMI(Advanced Metering Infrastructure) Safety & Security 신뢰성및시험평가 시스템자동차용 인포테인먼트 반도체 Body ( 네트워크 ) 정보통신 / 가전용 시스템반도체 설계 파워트레인 / 샤시 무선통신 유선통신 DTV 멀티미디어 시스템반도체구조 프로세서 저전력설계 세계반도체전체시장규모는 2008년 3,570억불에서 2015년 5,485억불로성장이예상되며주요전망치는 < 표 2> 와같다. 표 2. 세계반도체시장규모및전망 ( 매출액기준 ) ( 단위 : 억불 ) 구분 ~ 15 CAGR 메모리 % IT Magazine 반도체시스템반도체 1,712 1,346 1,475 1,615 1,716 1,809 1,880 1, % 옵토 개별소자 % 태양전지 ,115 1, % 이차전지 % 반도체장비 % 반도체재료 % 합계 3,570 2,936 3,339 3,832 4,246 4,515 4,928 5,485 시스템반도체는첨단 IT 수요에연동된고, 고성장, 고부가가치의미래유망산업으로휴대폰, 가전, 자동차등시스템산업경쟁력과직결되며, 3G 휴대폰을예로들면, 제조원가 (245$) 중시스템반도체비중이 40.4% 를차지한다. 출처 : isuppli(2009.3), Gartner(2009.3), SEMI(2009), Photon Consulting(2007.4), Photon International(2008.4), 후지키메라 (2009.3) 이미지센서 (CIS, CCD) 등각종 Sensor는개별소자로분류 태양전지 2012~2015 년시장규모는추산치, Annual growth rate 37%(2008~2011) 가정 10 IT Magazine

3 시스템반도체세계시장규모는 2009년약 1,346억불로전체반도체시장의 52% 를점유하며, 향후 6% 이상의성장이전망된다. 비즈니스모델별시장은 IDM( 종합반도체회사 ) 이 76%, 팹리스 ( 설계전문 ) 가 24% 를차지하고있으며, 향후팹리스와파운드리 ( 제조전문 ) 를중심으로고성장이전망된다. 기업별시장점유율은 2000년이후인텔 (, 13.1%) 이부동의 1 위를고수하고있고, 삼성전자 (6.5%), 도시바 (, 4.3%), TI(, 4.3%), STMicro(EU, 4.0%) 등이세계 Top 5 기업군을형성하고있다. 주요반도체기업현황은 < 표 3> 과같다. 표3. 세계 Top 10 반도체기업현황 출처 : isuppli, 외개발현황 가. 개발추진현황 ( 단위 : 억불 ) 순위업체명 2007 년 2008 년 ( 증가율 ) 점유율 ( 08 년 ) 1 인텔 ( 미 ) 33,995 33,767 (-0.7%) 13.1% 2 삼성전자 19,691 16,902 (-14.2%) 6.5% 3 도시바 ( 일 ) 12,186 11,081 (-9.1%) 4.3% 4 TI( 미 ) 12,275 11,068 (-9.8%) 4.3% 5 STMicro(EU) 10,000 10,325 (3.3%) 4.0% 6 르네사스 ( 일 ) 8,001 7,017 (-12.3%) 2.7% 7 소니 ( 일 ) 8,055 6,950 (-13.7%) 2.7% 8 퀄컴 ( 미 ) 5,619 6,477 (15.3%) 2.5% 9 하이닉스 9,047 6,023 (-33.4%) 2.3% 10 인피니언 (EU) 6,201 5,954 (-4.0%) 2.3% 전체시장 273, , % 분야핵심요소현재수준 ( 09) 목표수준 ( 15) 전력관리 STM, TI, Infineon, 산요, 하이브리드자동차, 에너지관리 Hitachi, 필립스, Siemens, 휴대단말기기등친환경 그린 IT 배터리관리 MIT 등이선도절전형그린 IT 구현 AMI 핵심취약으로 Smart grid 기반확보 대부분수입에의존 전기자동차용그린 IT 기반확보 샤시, 바디및 Freescale, Infineon, 샤시, 바디및파워트레인용 파워트레인용 Bosch, Siemens, 제어반도체, 전력반도체 제어, 전력 Delphi 등이선도설계확보 반도체, 차량의인포테인먼트 센서 / 인터페이스반도체용 자동차용 센서 / 인터페부품국산화율저조핵심확보 이스용반도체 센서용핵심반도체 내비게이터, 멀티미디어, 전무통신등인포테인먼트 자동차용 인터페이스용반도체핵심부품설계확보 인포테인먼트 부품국산화율매우낮음 품질확보를위한신뢰성 품질확보용신뢰성 평가및테스트확보 평가전무 mm-wave Marvell, Airgo, 4G 이동통신을위한 Digital CMOS RF Atheros, Broadcom, RF 확보 무선통신 Qualcomm, Intel 등이 LTE(300Mbps), LTE 다채널 / 다중 선도 Advanced(1Gbps), mobilewimax 모드처리 WCDMA, n, 등 4세대통신확보 분야 핵심요소 현재수준 ( 09) 목표수준 ( 15) 인체통신 MIMO-OFDM 등관련 4G 이동통신시장선점을 근거리무선 RF Transceiver 및모뎀 위한저전력, 소형의단말및 무선통신 통신 핵심기능설계보유 기지국 확보 고속무선 WCDMA, HSPA+ 등의 3세대 FemtoCell 및 PicoCell을 유선통신 통신이동통신 보유위한기지국 Platform 의 OFDM 및 MIMO 보유 확보 FTTH 광전 Cisco, BroadCom, 산업용직류기반의 PLC Transceiver Intel, TI, Infineon 등이 (20Mbps 급 ) PON/EPON 선도 100G PON/EPON 전력선통신 삼성, LG 및영우통신 FTTH 차세대 40GbE 및 등이관련보유 10G PON/EPON/FTTH Transceiver 개발 2.5G 광스위치 광증폭기개발 100GbE 트랜시버 100 Gbps 광 OFDM 및 아날로그 / 디지털집적회로 DTV 튜너 NEC, Qualcomm, Intel, 광대역지원 Digital RF DTV 변조및 TI, ATI, CMU, 설계확보 DTV 채널코덱 Stanford 등이영상 DTV 기반의양방향 입체 TV 선도인터렉티브통신확보 모바일 TV 콘텐츠보호 오디오처리 NHK, 미국영화사등이 고압축 H.265 알고리즘및 음성처리 HDTV 선도설계확보 그래픽처리 엠텍비젼, 코아로직등 GPU 기반의 영상처리 H.264 코덱, 3D 그래픽멀티미디어처리설계 영상인식관련핵심보유 초고해상도 8K 급 UHD 실감 삼성, LG 등 Full 영상고효율부복화및실감 멀티 HD 급 DTV 설계영상실시간병렬처리 미디어 확보 (120 fps) 차세대모바일용코덱 입체영상, 비디오 (UHD), 다채널오디오 (22.2 채널 ) 인코더등확보 차세대 DTV 기반확보 실시간비전인식 (4Mpixel, 30fps) 상위수준 CoWare, Xilinx, Altera 재사용가능한고성능고집적 시스템시스템반도체등이선도저전력시스템반도체 반도체설계기법 핵심취약상위수준설계확보 설계 재구성 HW 설계 재사용가능한 IP 설계확보 고성능 ARM, MIPS, Freescale, 고에너지효율의 프로세서코어 RMI, IBM 은임베디드병렬프로세서확보 병렬프로세서프로세서, Intel, AMD 는 100GOPS 급의고성능 병렬코어운용데스크탑프로세서에서임베디드프로세서코어 선도 구현및확보 프로세서 의삼성, ETRI 병렬코어운용확보및 등에서병렬프로세서 운용표준화 설계보유 초대용량병렬프로세서 모바일슈퍼컴퓨팅 플랫폼및병렬코어 급의다중코어은 운용소프트웨어표준확립 개발시작단계 저전력시스템 Intel, AMD, 삼성, 알고리즘및저전력구조 설계 Toshiba 등 Power 설계확보 저전력회로 Gating, MTCMOS 등 10uW/MHz 급의저전력 저전력 설계 저전력회로보유 회로설계확보 설계 삼성은 45nm 이하의 초고밀도공정을위한 공정및설계보유 전력제어방안표준화및회로설계확보 November

4 나. 표준화 / 특허추진동향 ITU-T 중심으로 ICT 와 그린 IT 관련국제표준화 국제 환경 / 기후변화에대응하기위한 기구에산 관 연 학이 그린 온실가스배출량 연계 협력하여국제표준화 IT 등의표준화활동시작 활동을주도 방통위, 표준원, TTA 에서 표준화조직및학회, 업계등이 자동 표준화연구활동시작 ISO TC204 중심으로 Vehicle/Roadway Warning and Control Systems 표준화진행중 IEEE 및민간표준화기구 협력하여표준화활동증진 표준화조직및학회, 업계 등이협력하여 ISO 및 IEEE 표준화활동적극참여 완성차및차량용전장업체 국제중심으로차량용네트워크표준화중심으로민간표준화기구 진행중 자동차표준운영체제표준화추진중 유럽과일본의전장소프트웨어 표준화 ( 플랫폼 ) 추진중 표준화활동적극참여 소프트웨어표준화에적극참여 차용 ISO TC204 Vehicle/Roadway 표준화조직, 산, 학, 연이 Warning and Control Systems 협력하여 ISO TC204 에 표준화참여전무 현대기아차전장소프트웨어 대응하기위한표준 정립, 국제표준제안을위한 표준화프리미어멤버로활동, 표준제정 ETRI, 대우정밀, 만도, DGIST 가 회로설계프로세스표준화 : 관련멤버회원으로활동기능별표준회로풀구축, 표준화기반의통합화추진움직임 인터페이스표준안수립 핵심소자공용화및응용 소프트웨어모듈화 표 IEEE ZigBee 를비롯하여 국제표준화미팅에 준 WBAN, WPAN 표준화진행중참여하여우리강점을 화 09 년 IEEE n 표준완료예정표준에반영토록노력 모바일 WiMAX(802.16e) 는 ITU 의 LTE, HomeNodeB 표준화에 정의한 IMT2000 표준사양적극적으로참여및기고국제 LTE Release 8 표준화완료 4세대이동통신관련 무선 MobileWiMax Rel 2.0 표준화완료표준화미팅참여 통신 LTE, HomeNodeB 표준화진행중 4 세대이동통신표준화진행중 (LTE-Advanced / MobileWiMax) 삼성, LG, ETRI 등은 60 GHz 국제표준제정과동시에 WPAN 표준화기구인 ECMA 에참여솔루션개발을완료하고 LTE 기반 FemtoCell Forum LTE 및 LTE Advaned 에대한개발 시장개척 IEEE 을중심으로표준화를진행 국제표준화회의참석및 광대역초고속광통신망시스템 을다루는 ITU-T SG.15 관련발표를통하여 표준에반영노력 위원회를중심으로광전송의 현재에서개발, 이더넷접속표준구조를정의 2013 년에적용될 100 Gps 국제하는 OTN(G.709) 확립광통신의조기확립 유선 10 GE, 40 GE, 100 GE 표준을및제안을통하여 10GE, 다루는 IEEE 802.3ba 위원회에서 40GE, 100GE 표준을 통신 100 GE 표준화진행중 다루는 IEEE 802.3ba 위원회에서 100GE 관련발표통해표준주도 디지털홈프로젝트, 홈네트워크포럼, 단일표준제정을위해 IEEE1394포럼등활동중표준제정을통합적으로 광대역초고속광통신망시스템수행하는기관인 TTA 를 을다루는 ITU-T SG.15 표준 중심으로산 / 학 / 연 / 관의 구분동향대응전략 을중심으로표준화확립 상호협력체계구축표준화 구분 동향 대응전략 10 GE, 40 GE, 100 GE 표준을 제안및확립 다루는 IEEE 802.3ba 위원회표준 휴대단말기용 HDMI 지원 연구 광전배선플랫폼모듈용 휴대단말기용 HDMI 지원광전배선플랫폼모듈용표준 위원회및컨소시엄구성활동중 UHDTV 관련하여 ITU-T, SMPTE 에서표준화진행중 표준개발을위하여 LG 등회사및연구소 중심의컨소시엄구성활동중 국제표준화회의에적극 참여, 우리을표준에 국제 DVB-T/H 같은 ATSC 의 DTV 방식에반영토록노력 대한단점보완개발진행 ATSC-M/H 개발진행 DTV KBS, ETRI 등은 UHDTV 및국제표준제정과 표준화기구인 SMPTE 참여동시에표준활동강화 IPTV 서비스개시 IPTV 개시와함께부가서비스 IPTV 의콘텐츠보호개발개발필요 양방향인터렉티브통신개발 차세대모바일 TV (Advanced T-DMB) 표준화완료 고해상도 / 고화질영상관련 HVC (High Performance Video Coding) 표준화가 MPEG/VCEG 에서진행중 AT-DMB 에대한수출 활동강화 국제표준화회의에적극 참여, 우리을표준에 반영토록노력 국제 UHDTV 관련하여 ITU-T, 초고품질의영상을 SMPTE에서표준화진행중 선점함으로서미래방송에대한표준을선도하고시장을 표 멀티 주도 준 미디 2013 년 4K 급 UHD AV 응용서비스 및국제표준제정과 화 어 및 2018년 8K급 UHDTV 동시에표준활동강화 방송서비스실시를위한표준화 DCATV/IPTV 등통방융합 프로 세서 작업이차세대방송표준포럼 UHDTV 분과위원회에서진행중개발필요 삼성, LG, ETRI 등은동영상 압축 / 복원표준화기구인 ITU-T, ISO/IEC 참여 KBS, ETRI 등은 UHDTV 표준화기구인 SMPTE 참여 프로세서의 IP 접속표준으로 AMBA 등의인터페이스표준이 광범위하게적용 환경에대비한서비스 차세대프로세서인 병렬코어프로세서의 Backplane 표준, 외부접속 국제 병렬코어운용을위하여 OpenMP 표준등의 IEEE 표준화를 ARB 등의기구에서 MPI, OpenMP, Cilk 등의인터페이스표준화추진 TTA 를중심으로단일칩시스템 통한반영노력 의병렬코어프로세서 IT Magazine 반도체에서의 IP 접속표준화노력확보및확산을통한 외부접속표준및병렬코어 Backplane 표준제정 HP, Intel, Microsoft는프로세서 초저전력회로설계 기반시스템에서사용할수있는 확보및 IEEE 표준화노력 ACPI 등의오픈표준규격마련 저 국제 IEEE를중심으로 MTCMOS, 전력 Power gating 등의저전력회로 설계 설계의표준화 UPF, LPF 등의시스템반도체 CAD 업계의저전력회로설계표준마련 저전력회로설계표준을설계시에 프로세서코어의전력관리사용하고있으나, 표준제정노력미비방안등을위한표준마련 12 IT Magazine

5 구분동향대응전략 휴대기기용전력관리에대한 대용량에너지관리, 특허가대부분이고최근에는 마이크로전력 / 에너지관리 그린 IT 대용량에너지관리, 마이크로, 그린 IT 시스템에 전력 / 에너지관리, 대한특허선점 그린IT시스템에대한특허가 출원되고있음 ETRI는 2008년 7월소니에릭슨, 기업들의국제특허 교세라, HTC 를상대로배터리의 분쟁에대한적극적인자료 사용시간연장하는 3G 이동통신 수집및연계대응이필요 표준특허 3건에대한국제 무선통신관련기업과 특허소송개시하여승소시특허 해외기업과의특허교류를 무선통신 로열티수입기대 통해특허분쟁및갈등을 2007년 7월삼성전자와에릭슨은 예방하고다수의특허확보 양사가보유한무선 2G, 3G 이동통신관련특허크로스라이선스를체결 LTE 관련특허출원증가 4세대이동통신특허증가 10/40G WDM PON 및 10/40G- 광대역통합망 (BcN) 으로통합 EPON 시스템, FTTH 광전 가속화, 해당을표준에흡수 Transceiver, 광스위치및 100 Gbps 광통신기반의 10/40 Gbps용아날로그 / 디지털 이더넷시스템및핵심 집적회로에대한특허출원 부품인광전 Transceiver, 유선통신 및등록다수있음 광스위치및 100 Gbps용 100 Gbps 광 OFDM기반의원천 아날로그 / 디지털집적회로 에대한특허출원 에대한특허선점 휴대단말기용 HDMI 지원광전배선 100 Gbps 광 OFDM기반의 특허 플랫폼모듈용특허출원및등록 원천에대한특허검토 휴대단말기용 HDMI 지원광전배선원천특허출원및등록 IPTV 관련특허출원증가 DTV관련특허출원필요 DTV IPTV 관련특허 4.7% 불과 IPTV 시장에대한특허 MS, 젬스타등이 IPTV 특허의 로열티요구에대비하기 대다수보유 위한방어특허출원 UHDTV 관련특허출원은 8K 급 UHDTV의대용량 소수이며디스플레이장치, 콘텐츠를부호화하기위한 고해상도변환장치, 영상분할코딩, 고효율, 고압축처리에 멀티 4K 프로젝터에관한특허가주류 대한외특허출원필요 미디어 UHDTV 서비스관련국제특허의 영상압축, 그래픽및 비율은 20% 이며일본업체가 오디오등의멀티미디어 다수의특허권을보유 처리의특허선점필요 그래픽및영상압축분야의특허출원이증가 Intel, AMD, ARM, TI 등은 고성능병렬코어운용의 프로세서성능향상인 태동기로서, 선점을 Hyperthreading, Virtualization 등의 통하여특허권확보 특정에특허등록 병렬프로세서 Backplane AMD 는최근 x86의 64-bit, 병렬프로세서운용 아키텍처특허에대하여 Intel 을 소프트웨어등에대한특허확보 프로세서 제소하고 Cross licensing 체결 Transmeta는 Intel 을자사의프로세서침해에대하여제소, $250M 규모의협상체결 Implicit Network는자사의프로세서패킷에대하여 Intel, AMD, NVIDIA 등을제소 구분 동향 대응전략 Transmeta는 Body-biasing 등을 30nm 이하의저전력회로 통한저전력설계라이센싱 설계및전력 저전력 회사로변모하여 Intel과의 Cross 관리특허확보 특허 설계 licensing 협상체결 저전력메모리에대한 Rambus 와 Micron 사이의소송등이진행중 ISO : International Organization for Standardization IEC : International Electrotechnical Commission ITU-T : International Telecommunications Union - Telecommunication Standardization Sector SMPTE : Society of Motion Picture and Television Engineers ICT : Information and Communication Technology 3. 발전및미래전망 시스템반도체는 IT응용중심에서, 자동차 에너지 건강 환경용으로융합이진행되어편리하고안전한생활문화를창조할수있는로발전될전망이다. 미래시스템반도체는 메모리 + 시스템반도체 + 센서 형으로공정및패키징등신로융 복합가속화, 소형화, 고집적및고성능화가급속히진행될것이다. 한편다양한서비스를제공하기위한융 복합반도체시장이창출되고, 시스템반도체는통신, 가전을포함하는시스템에서의컨버전스고도화, 자동차와로봇, 그리고방송과통신융합으로인한신규수요처가확대될것으로예상된다. IT와의료가융합한저렴한의료혜택, 친환경 고효율의신에너지사용과스마트자동차 로봇등도가까운장래에출현할전망이다 < 그림 4>. 친환경 융합 모바일 전력 그린 IT 하이브리드카 에너지 안전주행 WiBro 1 단계 [~'07 년 ] 친환경자동차 지능형자동차 휴대형 PMP 3G LTE 2 단계 ['07~'11 년 ] 그림 4. 시스템반도체발전전망 자동차용 4G 단말기 인간지능형폰컨버전스기기 정보통신 / 가전 3 단계 ['12~'15 년 ] 시스템반도체 설계 배터리혹은주변의산재된에너지원을이용하는다양한친환경절전형그린 IT 는전력및에너지에 IT를접목 November

6 한다양한신산업및신시장을창출한다. 시스템반도체는 와 SiP를상호보완하는하드웨어와내장형 SW, 컨텐츠까지추가하는 Solution on a Chip 으로발전하고있는데, 시스템반도체설계및 Fab 비용증가로시스템반도체산업의진입장벽이커지고있다. 또한 Time to Market을고려할때고성능시스템반도체를적시에설계하는것이시장진입성공여부를결정하는중요한요소가되므로개별전문화된업체로부터이들전문화된역량들을묶는통합 연합이나타날것이다. 최근시스템반도체에는동영상코딩, 3D 그래픽, 프로세서 메모리혼합구조, 통신, HM(Human Machine Interface), 인식, OS/ 컴파일러, 임베디드소프트웨어, 재구성형등의다양한이통합되어있다. 환경친화적컴퓨팅, 공정의한계, 끊임없는성능개선의요구, 과다한에너지소모및발열로인한시스템안정성문제, 모바일디바이스의배터리시간증대등의요구가멀티코어프로세서의개발을촉진하고, 무선통신시장은 2세대에서 3세대로전환되는과도기에있으며무선통신은이미 4세대이동통신에대한개발이활발히진행되고있는상태이다. 또한 DTV 은 IPTV 서비스개시로시청자들에게보다많은볼거리를제공할수있게되었으며, UHDTV, 모바일 TV, 3D TV 등의개발이활발하게진행되고있다. Ⅲ. 경쟁력분석및핵심발전동인 1. 경쟁력분석 반도체분야의전체수준은미국과일본이세계최고수준의을보유하고있고, 그다음으로유럽, 우리나라는미국대비 88.9% 수준으로평가된다. 반도체중시스템디바이스, 특화디바이스, 반도체장비은미국이, 메모리디바이스, 반도체공정, 반도체소재는일본이최상위국가이다. 한국은반도체공정 ( 최상위국대비 99.7%), 메모리디바이스 (96.9%) 에서상대적으로수준이높은것으로나타나며, 우리나라와중국과는평균 2.66년정도의격차를두고있는것으로조사되어 < 표 4> 이분야의치열한경쟁가속화와중국의저가격화, IT불황에대한대응책이필요할것으로평가된다. 표 4. 반도체주요국의수준및격차현황 [ 반도체응답자, n=57] 출처 : 2008 년도 IT 분야수준조사보고서, IITA, 월 최상위국의수준을 100%, 격차를 0년으로환산한수치 대분류와중, 소분류에서의각각의세부분야에서개발기여도가반영 2. SWOT 분석 IV. 비전및달성목표 1. 비전 국가별상대적수준및격차 분류미국일본유럽한국대만중국인도 수준격차수준격차수준격차수준격차수준격차수준격차수준격차 시스템 디바이스 특화 디바이스 메모리 디바이스 반도체공정 반도체장비 반도체소재 반도체비교 강점약점 원천 / 소재산업육성을위한강력한정부의지 기초, 원천특허및 IP 확보미약 세계수준의정보통신인프라보유 부품소재회사의영세성및시스템 굴지의반도체제조회사 ( 삼성, 하이닉스, 업체와의미약한연계 동부 ) 보유및세계수준의휴대단말, 특허분쟁 / 표준화경쟁에대한취약한대응능력 가전제품, 자동차생산보유 SiP 설계 / 공정및신개발인력부족 3세대이동통신, IPTV, DMB, 4G 등과 핵심 원천조기확보및선점을 같은새로운의시장보유 위한투자미흡 기회위협 IT 산업활성화로고부가가치부품시스템반도체, 소재시장급증 부품소재에대한국가적인중요성인식 삼성전자등메모리업체의강력한시스템반도체육성과경쟁력향상 지구온난화및글로벌환경규제확대로친환경절전형부품 / 시장급증 국산제품의시장의높은진입장벽 세트업체들의단가인하압력과 IT 시장의포화로수요감소 메모리반도체이외의시스템반도체에대한높은대외의존성 글로벌시스템반도체기업을중심으로한공격적인개발및마케팅 IT Magazine IT Magazine

7 반도체신성장동력화비전 2015 년, 세계 2 강반도체강국건설 2. 달성목표및시나리오 - 메모리와시스템반도체동반일류화 년반도체산업의계량적비전 2008 년 2015 수출 328 억불 760 억불 시장점유율 9.8% 20% 목표국산화율 ( 장비 ) 20% 50% 부가가치 18.6 조원 43.4 조원 고용유발 23 만명 53 만명 경쟁력강화 유망제품전략적개발 글로벌마케팅역량강화 시스템반도체 대형시장창출제품개발 의해외진출 경쟁력강화 미래산업선도제품개발활성화 추 차세대메모리 수입대체반도체 선도확보용 진기반선도 IP 기반표준플랫폼국제공동협력강화 전 국제표준화제품개발 중소 / 팹리스업체의 략 적극대응및핵심 및파운드리 해외진출기반강화 IP 개발 특화소자개발 해외인적네트워크 특화육성및및인프라구축 장비 / 소재취약성극복 구분 1 단계 ( ~ 07) 2 단계 ( 08 ~ 11) 3 단계 ( 12 ~ 15) 전력반도체모듈 / 전력반도체 전력및에너지반도체 그린 에너지반도체모듈 / 모듈 / IT 하이브리드 / 전기 자동차용핵심부품 Smart grid용핵심부품 인포테인먼트용핵심 핵심반도체 FAB 및 핵심반도체 FAB 및 반도체확보 공정확보 공정고도화 DSRC, CAN/LIN 및 샤시, 바디, 파워트레인 통합형샤시, 바디, 파워 저속 MOST 고전압소자설계 트레인고전압플랫폼 자동 스마트센서기반개발 설계확보, 상용화 차용 고속제어, 데이터전송용 스마트다중센서 달 네트웍핵심부품개발 핵심플랫폼개발, 상용화 성 차량반도체신뢰성 제어, 데이터전송통합형 목 검증기반확보 네트웍핵심부품및 표 게이트웨이플랫폼개발, 상용화 수십 Mbps급 WLAN, WLAN, WPAN 및 WBAN 수 Gbps급 WPAN 및 무선 WPAN 및수 Mbps급 관련부품의성능개량 u-city, u-healthcare 통신 WBAN, WCDMA, LTE 단말및기지국 적용가능한핵심부품 WiBro, WiMax 솔루션 LTE-Advanced 등의 4G MobileWiMax 개발 이동통신핵심부품 교류기반의가정용 직류기반의 5 Mbps 직류기반의 20 Mbps PLC 설계 산업용 PLC 설계 산업용 PLC 핵심부품 유선 10/40G PON/EPON 100G PON/EPON 100G PON/EPON 통신 /FTTH 광전 /FTTH 광전연구 /FTTH 기반광이더넷 100 Gbps 광 OFDM 100 Gbps 광 OFDM 핵심부품 기반의원천연구 연구 100 Gbps 광 OFDM 기반핵심부품 2D 멀티미디어형 DVB-T/H, ATSC-M/H UHDTV의분산처리 영상처리모바일 TV 개발개발 DTV DTV 기반양방향 디지털콘텐츠인덱싱 인터렉티브서비스개발 개발 HD 급영상처리 3D 실감영상처리 3D 지능형영상처리및멀티 Full-HDTV 코덱 초고해상도 8K 급 UHD 입체영상 DTV 코덱미디영상처리 120 fps 초고해상도어 UHDTV 코덱 8K 급 UHD 영상실시간 병렬처리 시스 HW 각 IP 들에대한 프로세서, 버스, 메모리, HW/SW 통합설계에 템반 상위수준설계및 HW IP 등으로구성된 기반을둔저전력 도체 검증 시스템의상위 시스템의상위수준 구조 재구성가능한 HW 수준설계 / 검증 설계 / 검증개발 설계 및상용화 고성능신호처리 10GOPS급 128GOPS, 100uW/MHz 프로세서코어병렬프로세서급모바일병렬프로세서프로 다중코어운용을위한 병렬코어운용표준세서공유메모리소프트웨어 병렬프로세서표준운용 프로세서운용을위한 병렬프로세서운용소프트웨어확산 Compiler 확보 Backplane 저 Power gating, 45nm 급저전력회로 30nm 이하의저전력 전력 MTCMOS 등의 설계확보및 회로설계및 설계 저전력회로설계 공정 시스템전력제어 구분 1단계 ( ~ 07) 2단계 ( 08 ~ 11) 3단계 ( 12 ~ 15) 10/40G WDM PON 및 100 Gbps 광통신 100 Gbps FTTH 기반 10/40G-EPON 시스템 기반의광이더넷 광이더넷시스템구현 및핵심부품 시스템및핵심부품 및응용 휴대단말용 HDMI 지원 개발 휴대단말용 HDMI 지원 광전배선플랫폼원천 휴대단말용 HDMI 지원 광전배선플랫폼응용 다양의종류의전력반도체 광전배선플랫폼개발 하이브리드자동차용 모듈 / 국산화 에너지반도체핵심 전력 / 에너지반도체응용 시나 자동차용핵심반도체 부품개발 개발 리오 요구사양도출 핵심반도체국산화및 국산화반도체를이용한 복합멀티미디어기기 신뢰성확보 제어유닛상용화 및 HDTV 개발 대용량영상처리 실감영상처리및 차원에서대용량 및 UHD 급 DTV 코덱 UHD 급 DTV 코덱 영상처리및 이용, 실감 을이용, 지능형 HD급 DTV 코덱개발 영상처리및 Full 영상처리및 UHD 급 DTV 코덱개발 UHD 급 DTV 실시간처리병렬처리코덱개발 3. 서비스 & 제품 Milestone 및로드맵 구분 1단계 ( ~ 07) 2단계 ( 08 ~ 11) 3단계 ( 12 ~ 15) 서 유무선기기전력관리 유무선기기 건물에너지관리서비스 비 서비스 전력 / 에너지관리서비스 하이브리드자동차서비스 스 배터리차지어 배터리 모니터링 하이브리드자동차 전력변환컨버터 무선충전기 Smart 건물 전력반도체 에너지수확관련부품제그린품 IT PMIC PMS 설계 환경적응형전력 / 에너지핵심 BMIC BMS 설계관리 에너지수확 고효율전력 / 에너지제어 November

8 구분 1단계 ( ~ 07) 2단계 ( 08 ~ 11) 3단계 ( 12 ~ 15) 구분 1단계 ( ~ 07) 2단계 ( 08 ~ 11) 3단계 ( 12 ~ 15) 전력반도체모듈 실시간전력 / 에너지 유무선개별 유무선통합 설계 기능향상된유무선통합 설계 모니터링 위험지역알림서비스 충돌경보, 차선이탈경보 V2P( 보행자위험경고 ) 서 전후방감지 졸음운전경고서비스 교차로통행위험경고 부품교체알림 Collision Mitigation 주의집중형통합안전운전비 Adaptive Cruise Control 경고서비스스 차량간추돌방지경고 자율조향군집주행 ABS, ECS, 충돌 / 추돌방지 멀티모드충돌 / 추돌방지 CruiseControl, 레이더 / 영상센서 레이더 / 영상센서 주행정보 / 영상정보 바디, 파워트레인제어및 바디, 파워트레인통합 블랙박스, 내비게이션, 드라이브반도체 제어및드라이브반도체 에어백, 초음파거리센서 제어및멀티미디어 제어및멀티미디어통합 자동 제 인터페이스네트워크부품 인터페이스네트워크부품 차용 품 X-by-Wire 인터페이스 통합 X-by-Wire 부품 인터페이스부품 AVN 인포테인먼트 맞춤형인포테인먼트부품 액추에이터제어 액추에이터제어용 통합형액추에이터제어기 충돌센싱드라이버회로설계및드라이브 카메라인터페이스 레이더센서설계 고정밀멀티모드레이더 차량항법및 GIS 물체인식및처리핵심 mmw 트랜시버설계 지능형영상인식 차선감시, 야간투시 멀티센서 fusion신호처리 X-by-Wire 차량제어및멀티미디어 인터페이스 초고속통합형차량 네트워킹 서 3G 이동통신서비스 3G LTE, MobileWiMax, 4G(IMT-Advanced) 서비스 비 스 Triple Play Service (TPS), 유비쿼터스서비스 n 서비스 WiBro, HSDPA, HSUPA, 3G LTE 단말기, 기지국 1x EV-DO Rev.A, IEEE WBAN, WLAN/WPAN u-city, u-lifecare 에적용 하는극소형시스템반도체 , ZigBee 등 4G 단말기 / 기지국 무선제 4G Network 통신품 수백 Mbps 급 WBAN Digital RF Mu-MIMO, BeamForming 다중접속 OFDMA WLAN/WPAN/WBAN 핵심 고속데이터핸드오버 OFDM-MIMO 수 Gbps급 WPAN 3G LTE SDR 서 비 스 MobileWiMax 스마트안테나 (SA) VoIP, IPTV 서비스 MoIP 서비스 MoIP 서비스기능향상 원격제어 양방향디지털방송 실감형 3D 인터넷 홈게이트웨이 산업용다관절로봇제어 고도화된산업용다관절 2.5 Gbps FTTH 기반의 센서 / 모터제어로봇제어 초고속인터넷 10 Gbps FTTH 기반의 100 Gbps FTTH 기반의 초고속인터넷및 IPTV IPv4 기반라우터 / 스위치 IPv6 기반라우터 / 스위치 초고속인터넷및 IPTV 컨버전스라우터 / 스위치 유선 1Gbps급이더넷 30G대역 BcN 멀티서비스 60G 대역 BcN 멀티서비스 통신 이더넷 Gateway 집선스위치 집선스위치 10Gbps급이더넷 수십 Gbps급이더넷 제 유무선통합 Gateway 100G PON/EPON 모듈 품 100G 광트랜시버 설계 5Mbps 급직류기반 PLC 설계 유선 고속 PLC 설계 설계 20Mbps 급직류기반 / 통신핵심 2.5G 광트랜시버 10G 광트랜시버 고신뢰성 PLC 설계 2.5G 광 OFDM 송수신 10G 광 OFDM 송수신 100G 광트랜시버 DTV 100G 광 OFDM 송수신 2.5G 아날로그 / 디지털 10G 아날로그 / 디지털 100G 아날로그 / 디지털 집적회로집적회로집적회로 서 2D 방송 IPTV 3D 입체방송 비 Mobile TV DTV 기반양방향 스 제 품 T-DMB/DVB-T 단말 IPTV 셋탑박스 3D TV 인터렉티브서비스 디지털신호전송 H.264 3D TV 핵심 ATSC, DVB-T 콘텐츠보호 양방향서비스 Mobile TV 송 / 수신 서 복합형멀티미디어 실감형멀티미디어 지능형멀티미디어 비 입체방송 실감방송 스 UHDTV Full-HDTV UHDTV 입체형 UHDTV 멀티 휴대형단말제미디어품 HD 급코덱 핵심 8K 급 UHD 코덱 8K 대역폭처리 다중및복합채널 처리 초고해상도영상병렬처리 고품질오디오처리 고성능스마트폰 모바일슈퍼컴퓨터서 D1 급비디오처리 랩탑컴퓨터, PMP 슈퍼컴퓨팅스마트폰비 3-D 인식, 바이오메트릭스 초고성능미니북스 공학및과학계산 지능인식, 데이터마이닝 프로 휴대형 PMP 모바일컨버전스기기 인간지능형휴대폰 세서제 마이크로콘트롤러 데스크탑고성능서버 초고성능멀티미디어기기 품 프로세서코어설계 고성능프로세서코어 모바일커널프로세서핵심 프로세서시뮬레이션 병렬코어 Compiler 병렬코어 Backplane 병렬메모리운용 병렬코어소프트웨어 IT Magazine 4. 확보전략자동차, 로봇및산업용등분야에서메모리반도체, 시스템반도체, 센서등을결합한융 복합제품에대한수요가확대되는추세에부응하여비용절감과 Time to Market 단축을위한플랫폼개발과보급및융합의성격을고려해산 학 연공동연구로추진하며, 특히영상처리 (SR/GPU 등 ) 에대하여는국제공동연구진행이필요할것으로사료된다 < 표 5>. 16 IT Magazine

9 표 5. 시스템반도체확보전략 분야주요확보전략 그린 IT 전력 / 에너지 산학연컨소시엄을통해에너지 원천확보 전력모듈 / 국산화로미. 일수입의존도탈피 친환경절전형그린 IT 가술개발로신산업창출및 신시장선점 2011 년까지자동차용반도체 FAB 및공정을확보 2011년까지자동차용반도체신뢰성검증확보제어 / 전력 / 센서 / 자동차용 2013 년까지바디, 파워트레인, 샤시용제어 / 전력 / 네트워크, 인터페이스 / 네트워크반도체스마트센서반도체국산화 2013 년까지제어유닛표준플랫폼개발 2013 년까지제어유닛표준플랫폼상용화및확대적용 2011년까지이동통신시스템과연계하여 3G LTE RF 단말 / 기지국용 및 Digital RF 개발무선통신인체통신 2011 년까지멀티 Gbps 급 WPAN 및 WLAN 개발 고속통신 2013 년까지 u-city, u-healthcare를위한 개발 2015 년까지 4G 이동통신용단말 / 기지국용 개발 2011년까지 10Gbps급의이더넷을기반으로한네트워크 설계확보네트워킹 2013 년까지고신뢰성의직류기반통신 / 제어설계확보 ITU-T, IEEE 등국제기구에표준화참가, 국외의공동연구를 통해 IPR 확보및우호진영확보추진 100 Gbps 2013 년까지 100Gbps 광통신기반의이더넷시스템구축 광통신기반 및시스템응용 이더넷 2013년까지차세대광통신핵심인 100G 광 OFDM 유선통신 시스템 송수신확보 2013년까지 100G 아날로그 / 디지털집적회로설계및제작 10 GE, 40 GE, 100 GE 표준을다루는 IEEE 802.3ba 100 Gbps 위원회표준주도광통신기반 2013년까지차세대광통신핵심인 100G 광 OFDM 이더넷광전송수신기설계및제작확보플랫폼 휴대단말기용 HDMI 지원광전배선플랫폼모듈용표준 확보및단말기응용생산확보 2011년까지실시간비전인식 (4Mpixel, 30fps), 모바일용입체TV DTV 코덱 (HD60) 개발로다용도비전 확보 MobileTV ` 2013 년까지지능형차세대영상및 DTV 기반콘텐츠보호확보 멀티 UHDTV 2011 년까지고화질비디오 (UHD120), 다채널오디오 (22.2 채널 ) 미디어 A/V 인코더 인코더개발로 UHDTV 멀티미디어 확보 시스템 2011년까지 시스템의상위수준설계 / 검증개발상위수준반도체 2015 년까지 HW/SW 통합설계에기반한저전력 설계설계시스템의상위수준설계 / 검증개발및상용화 2012년까지모바일시스템에구현가능한에너지고효율의 프로세서코어및코어운용을위한 Compiler 개발 코어 2014 년까지병렬코어운용을위한 Parallel compiler 및병렬코어 Backplane 확보 대용량데이터처리가가능한지능적인모바일병렬 프로세서 개발을통한산업원천확산 2012년까지 10GOPS급의데이터처리가가능한프로세서프로세서프로세서아키텍처개발아키텍처 2014 년까지데이터일관성이확보된다중코어운용 Backplane 개발 2012년까지병렬화가능한컴파일러및표준화된 컴파일러 병렬코어운용소프트웨어개발 2014 년까지대용량데이터처리가가능한모바일병렬 프로세서용컴파일러개발 운영체제 2012 년까지병렬코어운용및 Thread 관리가가능한 Linux 또는 Android 기반의운영체제개발 분야주요확보전략 운영체제 2014 년까지모바일슈퍼컴퓨터급병렬프로세서운용을 프로세서 위한운영체제및 OS API Stack 개발 응용소프트웨어 2012년까지병렬프로세서분할소프트웨어 API 개발 및검증 2014 년까지병렬분할소프트웨어운용표준화및소프트웨어동작검증플랫폼개발 알고리즘 2012년까지멀티미디어, 통신알고리즘저전력화개발 설계 2014 년까지저전력알고리즘자동최적화개발 구조설계 2014 년까지하드웨어병렬화및메모리억세스최소화개발 저전력 로직설계 2014년까지 30nm 이하공정에서의초고속저전력로직 설계 설계개발 회로및 2012년까지 30nm 공정에서의저전력회로개발 라이브러리 2014 년까지 30nm 이하의초미세공정에서의저전력회로 설계 및표준셀라이브러리개발 최적화 2014 년까지초미세공정에서의회로최적화개발 V. 세부추진계획 시스템반도체분야에서는시스템반도체의설계및생산비 용을절감하여시장경쟁력을확보하고, 시스템업체와공동개발을통하여시장을혁신적으로개척할수있는시스템반도체를개발하고, 컨버전스 플랫폼의고도화및타산업분야로의 IT적용확대를도모할계획이다. 그린 IT, 자동차용, 정보통신 / 가전 및시스템반도체설계등의개발로핵심 IP를확보하고시장경쟁력및신규서비스창출을목표로하며, 중점연구분야는 < 표 6> 과같다. 표 6. 중점연구분야 ( 추진기간 10~ 14) 그린 IT 테마명 주요내용 SMPS/Non SMPS 고효율전력변환기능과 PWM, PFM 등고효율저전력전력제어기능이내장된전력관리 Power Battery Charger, 배터리과전압, 과전류, 과온도, Management 과방전, 배터리보호기능등이내장된배터리관리 전력관리및배터리관리이내장된전력관리시스템 에너지를전력혹은전력을에너지로효율적으로변환하고저장하는에너지변환 / 저장 Energy 소비되는에너지를모니터링하여에너지를 Management 효율적으로분배및관리하는에너지관리 / 제어 에너지변환, 에너지저장, 에너지관리 / 제어, 에너지소비모니터링기능등이내장된에너지관리 여러개의배터리모듈간의운영및밸런싱을 Battery 제어하는배터리모듈 /pack 제어 Management 배터리로출력부하를구동하기위한대용량인버터혹은 DC-DC 컨버터 November

10 테마명 주요내용 테마명 주요내용 자동 차용 무선 통신 배터리모듈모듈제어, 배터리 pack 제어, 고효율전력변환기능이내장된배터리관리시스템 주변에산재된에너지원으로부터에너지를지속가능하게수확, 저장및제어하는마이크로에너지관리 / 제어마이크로에너지 에너지변환수확과에너지저장을사용하는 AMI (Advanced Metering Infrastructure) 자가충전마이크로에너지원발생 자가충전마이크로에너지원발생기능과마이크로 에너지제어및관리기능을내장한자가충전 마이크로에너지시스템 공급자가수용자들의실시간전력모니터링 / 미터링, 수용자간실시간분배 / 거래하는전력거래 실시간전력미터링및전력거래를위해공급자와 수용자사이의양방향통신 Power Quality 측정, 실시간전력미터링, 전력거래, MCU 통합형 고전압액추에이터 드라이버 차량 제어 / 인터페이스 센서및차량안전 차량용 인포테인먼트 RF 트랜시버 모뎀설계 양방향통신기능이내장된지능형미터링시스템 MCU 통합형고전압액추에이터드라이버플랫폼 - 고전압드라이버회로설계 - MCU 통합형회로설계 바디제어유닛플랫폼 - 소자 / 하드웨어공용화 / 표준화 - 소프트웨어플랫폼설계 제어 / 인터페이스플랫폼 - 멀티모드고속차량네트워크인터페이스부품 - 유무선차량통합형 Gateway 부품 첨단안전차량 - 멀티모드레이더센서부품 - 지능형차선이탈방지센서부품 - 거리인식용 3D 카메라센서부품 - 전천후장애물감지, 야간투시등일체형부품 차량인포테인먼트 - 내비게이터, 멀티미디어, 통신, 게임등일체형부품 - 개인맞춤형서비스용 User Preference 측정 Haptic multi-input 구동 ASIC - actuator driving Multi-Gbps 급 WPAN - 수십 GHz 대역 WPAN 용 CMOS 송수신기 - Wireless HDMI - 수 GHz 대역 LTE 트랜시버 Digital RF 설계 LTE, WLAN, WPAN 관련모뎀 - 수백 Mbps 급 LTE 단말및기지국 PHY/MAC - 수백 Mbps 급 WLAN 을위한 PHY/MAC - 수 Gbps 급 WPAN 을위한 PHY/MAC - 다중모드지원가능한 PHY/MAC - HomeNodeB 관련다중서비스지원 Platform 개발 인체통신관련모뎀 공작기계, 로봇등의다양한센서와모터를산업용직류전원직류전원선을통해통신및제어하는 20 Mbps 기반제어 / 통신반도체플랫폼 유선 - 직류전원및통신신호분류 / 신호처리개발 통신 - 전원및디지털신호처리통합반도체설계 100 Gbps 광통신 2013 년까지 100G 아날로그 / 디지털집적회로설계및제작 기반이더넷 100GE IEEE 802.3ba 표준 2013 년까지 100G 광 OFDM 송수신기설계및제작 다용도비전 DTV/ 멀티 미디어 시스 템반 도체 구조 프로 세서 저 전력 지능형로봇용 비전 UHDTV 용 구조 코어 프로세서아키텍처 컴파일러 운영체제 응용소프트웨어및 검증 알고리즘설계 구조설계 로직설계 비전 하드웨어 - 실시간비전인식및영상압축복원 비전 소프트웨어 - 실시간비전인식및영상압축복원 지능형자동차비전 플랫폼 지능형도우미로봇시스템용비전 - 지능형로봇시스템비전처리용하드웨어 - 지능형로봇시스템비전처리용소프트웨어 고화질 / 다채널멀티미디어 하드웨어 / 소프트웨어 UHDTV 용멀티미디어코덱 초고해상 8K 급 UHD 영상실시간병렬처리 모델링 FPGA 설계 응용소프트웨어 플랫폼구조 HW/SW 통합설계에기반한저전력 시스템의 상위수준설계및검증개발 모바일그린병렬프로세서코어 - 에너지고효율프로세서아키텍처 - 10GOPS 급병렬프로세서코어 - 병렬코어데이터일관성유지 - 병렬코어 Backplane 120GOPS 급병렬프로세서아키텍처 - 120GOPS 급병렬프로세서운용 - 병렬프로세서운용독립형코어운용 - 프로세서메모리일관성유지 Backplane 초대용량병렬화컴파일러 - 표준소프트웨어병렬화 - 다중코어운용병렬컴파일러 - 메모리일관성유지컴파일러 120GOPS 병렬프로세서운용 OS - 병렬프로세서운용커널 - 다중 OS 커널운용가상화 병렬프로세서운용소프트웨어스택 - 다중 OS 커널운용 API 및 API Stack - 다중 OS 커널소프트웨어운용 저전력알고리즘분할 - 전력최적화알고리즘분할 - 특정알고리즘프로파일링및분할 저전력하드웨어구조 - 하드웨어로직분석및분할, 병렬화 IT Magazine 초미세공정로직설계 - 30nm 공정저전력로직설계 설계 회로및 초미세공정표준셀및라이브러리 라이브러리설계 - 초미세공정셀설계 - 초미세공정라이브러리설계 초미세공정로직최적화 최적화 - 30nm 이하로직 Process variation 최적화 - 30nm 이하로직 Timing 분석및최적화 다중프로세서, DSP, MCU, 그래픽프로세서설계 저전력 설계 공통 공통기반 Network-on-chip 기반 3D IC 설계방법론및 CAD 18 IT Magazine

11 Ⅵ. 기대효과 첫째, 원천확보로세계시장선점및시너지를극대화시키고, 시스템반도체의원천기반을강화하며, 핵심 원천확보및응용개발로전략제품의세계시장선점및유관산업의시너지를극대화할수있을것이다. 플랫폼개발로원가절감및경쟁력을강화할수있고, 여러응용분야로공통으로사용가능한플랫폼을활용하여기업이제품을개발할경우, 개발기간의단축, 개발비용의절감, 제품의원가절감효과등이있다. 시스템반도체플랫폼개발로 개별소자 에서시스템통합과서비스가치를창출하는 융복합시스템반도체 로발전하는데기여할뿐아니라, 중소기업을중심으로한서비스개발자들이 공통기반의서비스플랫폼 을이용하여 IT을다양한서비스산업에쉽게적용할수있게될것이며, 네트워크기반의서비스플랫폼구축 으로모바일 IPTV와같은방송통신융합신규서비스시장에효율적대처가가능할것이다. 또한 공통기반플랫폼개발을통해여러산업융합서비스개발의 비용절감, 국가적연구개발경쟁력강화, 효율적인네트워크및협력환경을제공하게될것이다. 융합 SW 공통플랫폼제공을통한국가주력산업의부품및기자재국산화, IT 유망제품에 SW 융합촉진으로다양한산업에서의 IT/SW 활용도가배가되고, 바이오, 나노, 정보통신등각분야에서공통적으로사용되는플랫폼을개발함으로써의응용이보다용이해질것이다. 둘째, 시스템반도체는전통산업과 IT간융합산업발전촉진으로경제발전에도움을줄수있을것이며이중융 복합반도체이전통산업과 IT간융합산업의발전을견인하게될것이다. 시스템반도체의원칩화, 모듈화로휴대폰, 가전, 자동차등시스템산업의경쟁력제고및시스템산업과서비스산업의고부가가치화를도모하고, 시스템반도체의원 천확보로선진국에대한수입의존도를줄여자립기반 을강화하여수출증대및무역적자를해소하는데도움을줄 수있을것이다. 이중 u- 헬스, 에너지반도체, 태양광등새 롭게대두되는신산업분야의신규고용창출, 부가가치효 과, 관련기업수증가등으로경제발전에기여하고, 디지털 헬스, 그린반도체, 태양광등미래전략제품에대한핵심원천조기확보로세계시장선점및신산업을창출할수있을것이다. 또한, 인체삽입 / 내장형의료기기분야, 유비쿼터스정보통신기기용등첨단기기의반영구적핵심전원장치의개발로신규시장창출및선점이기대된다. 셋째, 새로운서비스및차세대정보통신용전원시스템구축으로유비쿼터스네트워크사회에서혁신적인솔루션제공이가능하고, 다양한시스템반도체들이가정, 오피스, 자동차등에적용되고, 지능화의진전으로신생활문화를창조 하는데이바지할수있을것이다. 또한시스템반도체가지능 형자동차, 디지털헬스, 가전제품, IT 기기등에적용되어편 리, 안전, 쾌적한서비스를제공하고삶의질을향상시킬것 이다. 자동차용반도체, 새로운전장모듈, 지능형자동차등 의개발로자동차충돌, 추돌예방을통한안전성확보로교 통사고로인한사회적직간접손실을감축할수있을것이며 이동통신, 영상등을기반으로하는인포테인먼트를통해편 의성을증대하게될것이다. November

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

1권.hwp

1권.hwp 대부분의 전력기기들의 교체주기가 도래하고 있어 최근 시장규모가 크게 확대되고 있는 추세이다. 미국은 현재 자국의 주요 중전기기 업체들이 해외의 다국적 기업들에 의해 인수합병된 상태이기 때문에 우리나라의 중전기기 업체들이 진출하기가 상대적으로 용이할 것으로 보이며, 더욱이 한 미 FTA 체결을 최대한 활용할 경우 미국시장 점유율을 확대할 수 있는 좋은 기회가

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

ICT À¶ÇÕÃÖÁ¾

ICT À¶ÇÕÃÖÁ¾ Ver. 2012 T TA-11104-SA 4 21 21 42 65 91 103 124 140 161 187 Ver. 2012 ICT Standardization Strategy Map 4 Ver. 2012 Ver. 2012 5 ICT Standardization Strategy Map 6 Ver. 2012 Ver. 2012 7 ICT Standardization

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

2010 산업원천기술로드맵요약보고서 - 화학공정소재

2010 산업원천기술로드맵요약보고서 - 화학공정소재 2010 산업원천기술로드맵요약보고서 - 화학공정소재 - 2010. 7 본요약보고서는한국산업기술진흥원주관으 로수립되고있는 2010 년도산업원천기술로 드맵의일부내용을발췌한것입니다. 산업원천기술로드맵전체내용을담은 2010 산업원천기술로드맵보고서 는오는 8월한국산업기술진흥원홈페이지 (www.kiat.or.kr) 를통해공개될예정입니다. 목 차 Ⅰ. 화학공정소재산업의정의및범위

More information

태양광산업 경쟁력조사.hwp

태양광산업 경쟁력조사.hwp 태양광산업산업경쟁력조사 1 Ⅰ. 1. 52 2. 53 Ⅱ. 1. 54 2. 60 3. 64 III. 1. 71 2. 82 Ⅳ. 1. 98 2. 121 3. 132 Ⅴ. 1. 147 2. 160 3. 169 4. SWOT 181 Ⅵ. 1. 187 2. 202 3. 217 Ⅶ. 225 < 요약 > Ⅰ. 서론 II. 태양광산업의개요 III. 태양광기술개발현황

More information

06이동통신

06이동통신 www.mke.go.kr + www.keit.re.kr Part.06 444 449 502 521 529 552 01 444 Korea EvaluationInstitute of Industrial Technology IT R&D www.mke.go.kr www.keit.re.kr Ministry of Knowledge Economy 445 02 446 Korea

More information

오토 2, 3월호 내지최종

오토 2, 3월호 내지최종 Industry Insight 인사이드 블루투스 자동차와 블루투스의 공존법칙 운전 중 휴대전화 사용을 금지하는 법률이 세계적으로 확산되고 있으며, 블루투스(Bluetooth) 기반의 핸즈프리 기능을 이용하는 것이 이에 대한 확실한 대안으로 자리잡았다. 그러나 차기 무선 멀티미디어 스트리밍에 관해서는 어떤 일이 일어날 지 아무도 알 수 없다. 글 윤 범 진 기자

More information

슬라이드 1

슬라이드 1 강력한성능! 인터넷 / 업무용데스크탑 PC NX-H Series Desktop PC NX1- H700/H800/H900 NX2- H700/H800/H900 NX1-H Series 사양 Series 제품설명 ( 모델명 ) NX1-H Series, 슬림타입 기본형모델중보급형모델고급형모델 NX1-H800:112SN NX1-H800:324SN NX1-H800:534MS

More information

통신서비스품질평가보고서 2017 Evaluation Report for the Quality of Communication Services

통신서비스품질평가보고서 2017 Evaluation Report for the Quality of Communication Services www.nia.or.kr 2017 통신서비스품질평가보고서 2017 Evaluation Report for the Quality of Communication Services www.nia.or.kr 2017 통신서비스품질평가보고서 2017 Evaluation Report for the Quality of Communication Services www.nia.or.kr

More information

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9 오늘날 자동차와 도로는 ICT 기술과 융합되어 눈부시게 발전하고 있습니다. 자동차는 ICT 기술과 접목되어 스마트 자동차로 변화하며 안전하고 편리하며 CO 2 방출을 줄이는 방향으로 기술개발을 추진하고 있으며 2020년경에는 자율 주행 서비스가 도입될 것으로 전망하고 있습니다. 또한, 도로도 ICT 기술과 접목되어 스마트 도로로 변화하며 안전하고 편리하며 연료

More information

Microsoft Word 시스템반도체_ doc

Microsoft Word 시스템반도체_ doc 자료공표일 11 May 2011 2011 하반기스몰캡이슈분석 (5) 유진 Small-Cap (5) 시스템반도체 : DRAM 신화를꿈꾸며 Small-Cap 박종선 Tel. 368-6076 jongsun.park@eugenefn.com Small-Cap 변준호 Tel. 368-6141 juno.byun@eugenefn.com 시스템반도체는휴대폰, 가전, 자동차산업의경쟁력과직결

More information

<C0CCBCF8BFE42DB1B3C1A4BFCFB7E12DB1E8B9CCBCB12DC0DBBCBAC0DAB0CBC1F5BFCFB7E12DB8D3B8AEB8BBB3BBBACEC0DAB0CBC1F52E687770>

<C0CCBCF8BFE42DB1B3C1A4BFCFB7E12DB1E8B9CCBCB12DC0DBBCBAC0DAB0CBC1F5BFCFB7E12DB8D3B8AEB8BBB3BBBACEC0DAB0CBC1F52E687770> 사회복지용 지능로봇 기술동향 머 리 말 목 차 제1장 서 론 1 제2장 기술의 특징 3 제3장 사회복지용 지능 로봇산업의 기술 수요 전망 11 제4장 사회복지용 지능 로봇의 기술 동향 32 제5장 결론 및 정책 제언 103 참고문헌 109 표 목차 그림 목차 제1장 서 론 1. 목적 및 필요성 2. 분석내용 및 범위 제2장 기술의 특징 1. 지능형 로봇기술의

More information

신성장동력업종및품목분류 ( 안 )

신성장동력업종및품목분류 ( 안 ) 신성장동력업종및품목분류 ( 안 ) 2009. 12. 일러두기 - 2 - 목 차 < 녹색기술산업 > 23 42-3 - 목 차 45 52 < 첨단융합산업 > 66 73 80-4 - 목 차 85 96 115 < 고부가서비스산업 > 120 124 127 129 135-5 - 녹색기술산업 - 6 - 1. 신재생에너지 1-1) 태양전지 1-2) 연료전지 1-3) 해양바이오

More information

Ⅰ Ⅱ Ⅲ Ⅳ

Ⅰ Ⅱ Ⅲ Ⅳ 제 2 차유비쿼터스도시종합계획 국토교통부 Ⅰ Ⅱ Ⅲ Ⅳ Ⅴ - 4 - 1 배경및법적근거 2 계획의수립방향 - 3 - 3 계획수립의성격및역할 4 계획수립경위 - 4 - Ⅱ 1 국내외여건변화 가. 현황 - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - 나. 문제점및기본방향 - 14 - 2 국내 U-City 현황 가. 현황 -

More information

untitled

untitled Cable Modem 2004.10 sgkwon@empal.com 1.... 1 2. CABLE MODEM?... 2 2.1.... 2 2.2. /... 3 2.3.... 4 3.... 5 3.1.... 5 3.1.1. TV, 2009 4 3... 5 3.1.2. TV Broadband... 5 3.1.3. NGNA... 6 3.2.... 7 3.2.1. TV

More information

<3230303520BBEABEF7B5BFC7E228C3D6C1BE292E687770>

<3230303520BBEABEF7B5BFC7E228C3D6C1BE292E687770> 2 1) 1. 5 2. 6 2.1 6 2.2 7 2.3 13 2.4 16 2.5 19 2.6 25 2.7 28 3. 32 3.1 33 3.2 42 46 1.,,,. 2004 13 4,841 0.6%. 2004,,. *, (02) 570 4491, sky@kisdi.re.kr( : ) * *, (02) 570 4164, milip@kisdi.re.kr( :,

More information

지상파(디지털) 방송의 재전송이 큰 목적 중 하나이므로 전세계적으로 IPTV의 보급이 더욱 촉진될 가능성이 높음 단말기 측면 전망 향후에는 거치형 TV만이 아니고 휴대전화, 휴대게임기 등에 대해서도 각종 콘 텐트 전송이 더욱 확대될 것이고 더 나아가 휴대전화 TV 휴대게임기 등 단말기 상호간의 콘텐트 전송이 더욱 증가될 것임 서비스 측면 전망 유저가 편한 시간대에

More information

untitled

untitled BcN 2004.9 sgkwon@empal.com 1.... 1 2. BCN?... 1 2.1.... 1 2.2.... 2 2.3.... 2 3.... 2 3.1.... 2 3.1.1.... 2 3.1.1.1. NGI(Next Generation Internet: )... 2 3.1.1.2. Internet2... 3 3.1.2.... 4 3.1.2.1. eeurope2005...

More information

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp 주간 IT산업 주요 이슈 (2013-21 (2013-21호) 1. 주요 이슈 - 13.05.31(금), NIPA 산업분석팀 1 빅데이터, 애널리틱스, 클라우드 기업용 SW시장 성장 견인 지난해 글로벌 소프트웨어 시장 성장은 둔화하였으나, 빅데이터와 클라우드 관련 데이터 분석, 협업 애플리케이션, 보안 등은 성장세 ㅇ 12년 세계 기업용 SW 시장 규모는 3,426억달러로

More information

CS.hwp

CS.hwp 보고서 2019-11 2019. 1. 3 CS(065770) IT H/W < 작성기관 : 한국기업데이터 > 보고서 ( 요약 ) 보고서 ( 전문 ) 기업현황산업분석기술분석주요이슈및전망 CS(065770) IT H/W 이 보고서는 자본시장 혁신을 위한 코스닥시장 활성화 방안 의 일환으로 코스닥 기업에 대한 투자정보 확충을 위해, 한국거래소와 한국예탁결제원의 후원을

More information

경북자동차부품관련연구소의효율적 운영방안

경북자동차부품관련연구소의효율적 운영방안 2009-046 경북자동차부품관련연구소의효율적 운영방안 차례 표차례 그림차례 요약 1. 연구배경및목적,,, IT. 3,.,.. 2. 국내 지역자동차산업현황 2007 20.3 GDP 2.5%. 9%, 12%, 13%, 07 409, 285 5, 147 2.9. 8.6%, 7.0%, 4.5%, i . ( ) ( ) ( ) 4,577 277,319 38,865,170

More information

IPTV INFIDES Research & Consulting 1

IPTV INFIDES Research & Consulting 1 IPTV 2006 11 INFIDES Research & Consulting 1 - - I. IPTV....3 1. IPTV 3 2. IPTV 5 2.1. IPTV...5 2.2. IPTV.8 II. IPTV 10 1. IPTV 10 2. SWOT...12 3. : 13 3.1....13 3.2.... 13 4. IPTV...14 < 1 > IPTV ( :

More information

<2831312D3131C8A32920BFF9B0A35F4954BBEABEF7B5BFC7E22E687770>

<2831312D3131C8A32920BFF9B0A35F4954BBEABEF7B5BFC7E22E687770> 2011-11호 2011-11호 2011. 12. 9 목 차 Ⅰ. IT 수출입 동향 1 1. 개요 3 2. 주요 품목별 수출 동향 6 3. 주요 국가별 수출 동향 43 4. 수입 및 수지 동향 46 5. 2011년 11월 IT산업 수출입 통계(잠정) 48 Ⅱ. 월간이슈 55 유럽 재정위기와 IT수출 1. 유럽 재정위기 57 2. 對 EU IT수출 동향 60

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

< C0FCC6C4BBEABEF7B5BFC7E E687770>

< C0FCC6C4BBEABEF7B5BFC7E E687770> 한국전파진흥협회 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - Ⅰ - 25 - - 26 - - 27 -

More information

KEIT PD(15-11)-수정1차.indd

KEIT PD(15-11)-수정1차.indd / KEIT SW PD / KEIT SW PD SUMMARY * (, 2013) : 3(2010) 5(2013) 6(2018E) ICT (,, CPS),, (, ) (,,, ) ICT - - - - -, -, -, -,, - ( ~ ~ ) - CPS, IoT, -- KEIT PD Issue Report PD ISSUE REPORT NOVEMBER 2015 VOL

More information

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770>

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770> 가정용 지능로봇의 기술동향 머리말 목 차 제1장 서 론 1 제2장 기술의 특징 4 제3장 가정용 로봇 산업 및 기술수요 전망 14 4장 가정용 로봇의 기술동향 27 5장 주요국의 가정용 로봇의 기술정책 분석 61 6장 국제표준화와 특허출원 동향 80 7장 결론 및 정책 제언 86 참고문헌 92 표 목차 그림 목차 제1장 서 론 1. 기술동향분석의 목적 및

More information

ㅇ ㅇ

ㅇ ㅇ ㅇ ㅇ ㅇ 1 ㆍ 2 3 4 ㅇ 1 ㆍ 2 3 ㅇ 1 2 ㆍ ㅇ 1 2 3 ㆍ 4 ㆍ 5 6 ㅇ ㆍ ㆍ 1 2 ㆍ 3 4 5 ㅇ 1 2 3 ㅇ 1 2 3 ㅇ ㅇ ㅇ 붙임 7 대추진전략및 27 개세부추진과제 제 5 차국가공간정보정책기본계획 (2013~2017) 2013. 10 국토교통부 : 2013 2017 차 례 제 1 장창조사회를견인하는국가공간정보정책

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

- 2 -

- 2 - 2014 년융 복합기술개발사업 ( 융 복합과제 ) 제안요청서 목차 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - Ω - 18 - - 19 - - 20 - 기계소재 -001-21 - 기계소재 -002-22 - 기계소재

More information

Microsoft Word - 20160119172619993.doc

Microsoft Word - 20160119172619993.doc 반도체 in 2016 CES 메모리 반도체 응용처 확대 가능성 확인 2016년 CES 전시 주요 기술과 Device 모두 향후 메모리 반도체 수요 견인 가능성 충분 반도체 Analyst 박영주 02-6114-2951 young.park@hdsrc.com RA 주영돈 02-6114-2923 ydjoo89@hdsrc.com VR 시장의 성장 개시.. IT 기기의

More information

Microsoft PowerPoint - wireless communications.ppt

Microsoft PowerPoint - wireless communications.ppt 무선통신 기술 무선통신 기술의 분류, 종류, 진화 무선랜 기술 이동통신 기술 세대 구분, 주요 특징, 와이브로, LTE ㅇ LG U+의 무선통신 전략 LG U+의 무선통신 로드맵, ACN 서비스 1 무선통신 기술의 분류 無線통신 Wireless 사용자 구간의 유선 부분을 무선으로 대체 Nomadic 이동한 후 그 장소에 멈추어서 통신 서비스를 이용, 보행 중

More information

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 Homework #2 [2] 답의예 ( 학생 1): 소폭수정 다양한외부환경을효과적으로검지할수있는센서기술은검지변환, 신호처리및지능화기술등융합 적특성을갖고있음. 현재대부분실용화중심의연구가주류를이루고있으며,

More information

Microsoft Word - 최신IT동향.doc

Microsoft Word - 최신IT동향.doc 주간기술동향 통권 1466 호 2010. 10. 6. 프로세서 시장에서 전면적 경쟁에 들어선 인텔과 퀄컴 * PC 프로세서와 휴대전화 프로세서 시장의 절대강자인 인텔과 퀄컴이 중간지대인 휴대형 모바 일 단말 프로세서 시장에서 정면 충돌하고 있는 가운데, 인텔은 성능을, 퀄컴은 통신 처리 기능 을 앞세워 시장경쟁에 돌입 인텔과 퀄컴은 태블릿 PC 를 비롯하여

More information

Information Technology System on chip 11 2009 Vol.33 Focus on 환경/에너지를 위한 Green IT 기술 SeriesⅣ 태양광반도체 Industry Trends 화합물반도체 박막태양광발전 기술과 산업동향 기업소개 (주)야스 Column 메모리 반도체 업체와 시스템 IC 산업 전략 Special Report 시스템반도체

More information

낱장보기.indd

낱장보기.indd DRIVE TO PERFECTION The Leader in Automotive Electronic Control Vision 현대오트론은 전자제어시스템 분야의 첨단기술을 리드하는 새로운 시대의 개척자입니다. Global Leader in Automotive Electronic Controls 자동차 전자제어 분야의 글로벌 리더 고객에게 세계 최고 품질의 자동차

More information

<4D6963726F736F667420576F7264202D2032303133303330385FB1E2BCFAB5BFC7E2BAD0BCAE2DB8F0B9D9C0CF20B3D7C6AEBFF6C5A92DC3D6BFCF2E646F6378>

<4D6963726F736F667420576F7264202D2032303133303330385FB1E2BCFAB5BFC7E2BAD0BCAE2DB8F0B9D9C0CF20B3D7C6AEBFF6C5A92DC3D6BFCF2E646F6378> 2013-03-08 모바일 네트워크 기술 동향 모바일 네트워크의 개념과 기본적인 배경 지식에 대해 소개하고, 최근 업계 동향을 살펴봄 목차 1. 모바일 네트워크 개요...2 2. 3G 네트워크 기술 소개...4 3. LTE-A 최신 동향...7 최완, wanne@etri.re.kr ETRI 차세대콘텐츠연구소 콘텐츠서비스연구실 ETRI 차세대콘텐츠연구소 콘텐츠서비스연구실

More information

2009방송통신산업동향.hwp

2009방송통신산업동향.hwp 제 3 절이동전화단말기 16) 목차 1. 59. 59. 63. 65. 68 2. 72. 72. 73. Trend 77. 80. 81 84 1. 세계이동전화단말기시장의현황과변화추이 가. 가입자규모현황및전망 ITU, 2008 40 460 16) *, (02) 570-4288, kimmin@kisdi.re.kr 16) ITU, 2009 59 제 1 장 통신산업,

More information

디지털TV솔루션 브로셔

디지털TV솔루션 브로셔 개요 [ADC] [DDC] [DAC] [VSC] 영상 / 음성 변환및압축 Ethernet Stream 전송및전시 저장및재생 입력 - SD 급영상동시 4CH - 디지털영상동시 2CH - Analog Audio 동시 2CH 영상 : H.264 압축 음성 : PCM 16bit HW 방식 Encoding 지원 Gigabit 이더넷전송 / 수신 낮은지연시간 ( 최대

More information

MPEG-4 Visual & 응용 장의선 삼성종합기술원멀티미디어랩

MPEG-4 Visual & 응용 장의선 삼성종합기술원멀티미디어랩 MPEG-4 Visual & 응용 장의선 esjang@sait.samsung.co.kr 삼성종합기술원멀티미디어랩 MPEG? MPEG! Moving Picture Experts Group ISO/IEC JTC1/SC29/WG11 1988년 15명으로출발! 2001년 3백여명의동영상전문가집단으로성장 MPEG History 101 MPEG-1,2,4,7,21 멀티미디어압축표준

More information

그림 2. 5G 연구 단체 현황 앞으로 다가올 미래에는 고품질 멀 티미디어 서비스의 본격화, IoT 서 비스 확산 등의 변화로 인해 기하 급수적인 무선 데이터 트래픽 발생 및 스마트 기기가 폭발적으로 증대 할 것으로 예상된다 앞으로 다가올 미래에는 고품질 멀티미디어 서

그림 2. 5G 연구 단체 현황 앞으로 다가올 미래에는 고품질 멀 티미디어 서비스의 본격화, IoT 서 비스 확산 등의 변화로 인해 기하 급수적인 무선 데이터 트래픽 발생 및 스마트 기기가 폭발적으로 증대 할 것으로 예상된다 앞으로 다가올 미래에는 고품질 멀티미디어 서 이동통신기술 5G 이동통신기술 발전방향 새롭게 펼쳐질 미래의 이동통신 세상, 무엇이 달라지는가? 김문홍, 박종한, 나민수, 조성호 SK Telecom 5G Tech Lab 요 약 본고에서는 다가올 미래 5G 이동 통신의 기술 동향, 핵심 기술 및 네트워크 구조변화에 대해서 알아본다. Ⅰ. 서 론 46 과거 2G부터 3G까지의 이동통신은 음성 위주의 서비스 및

More information

29 Ⅰ. 서론 물리학자들이 전파의 이론을 정립한 이후, 이를 기술적으로 실현함은 물론 적정 수준의 19세기 물리학자인 페러데이, 맥스웰, 헤르츠 등의 연구 결과로 인류는 전기장과 자기장의 변화 에 따른 전파를 만들어 낼 수 있게 되었고, 인류에 게 있어 없어서는 안되

29 Ⅰ. 서론 물리학자들이 전파의 이론을 정립한 이후, 이를 기술적으로 실현함은 물론 적정 수준의 19세기 물리학자인 페러데이, 맥스웰, 헤르츠 등의 연구 결과로 인류는 전기장과 자기장의 변화 에 따른 전파를 만들어 낼 수 있게 되었고, 인류에 게 있어 없어서는 안되 Journal of Communications & Radio Spectrum SPECIAL ISSUE 28 TREND REPORT 통신 및 비통신용 전파응용 기술 이슈 및 시사점 글 황태욱 경희대학교 연구교수 (031) 201-3254, twhwang@khu.ac.kr 주제어: 밀리미터파, 테라헤르츠파, 전파응용 기술, ISM 기기 전파자원의 부족문제에 대한

More information

7월호_내지

7월호_내지 Focus on_ Industry Trends _ Design Methodology _ Hot Issue_ Special Report _ Flexible Electronics _ Contents 2008_07 25 43 2008 6 25 (IITA) www. iita.re.kr 58-4 Tel 042-710-1114 (IITA) : chans@iita.re.kr

More information

AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례

AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례 모바일 클라우드 서비스 융합사례와 시장 전망 및 신 사업전략 2011. 10 AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례 AGENDA 01. 모바일 산업의 환경 변화 가치 사슬의 분화/결합 모바일 업계에서도 PC 산업과 유사한 모듈화/분업화 진행 PC 산업 IBM à WinTel 시대 à

More information

BN H-00Kor_001,160

BN H-00Kor_001,160 SPD-SHD/SPD-0SHD BN68-008H-00 ..... 6 7 8 8 6 7 8 9 0 8 9 6 8 9 0 6 6 9 7 8 8 9 6 6 6 66 67 68 70 7 7 76 76 77 78 79 80 80 8 8 8 8 8 86 87 88 89 90 9 9 9 9 9 96 96 98 98 99 0 0 0 0 06 07 08 09 0 6 6

More information

슬라이드 1

슬라이드 1 HD-SDI, HDMI Matrix 소개 2016. 01.21 기술연구소 DK VASCOM 영상제작송출시스템 - 대경바스컴의영상제작송출시스템블럭다이어그램 입력부영상분배 / 영상제작송출부 HDMI/ HD-SDI Digital 3D Studio Mixing Master HSM-3005AV HDMI/ HD-SDI ATM3101HS NTSC Modulator ATC3108S

More information

<322D303720C2F7BCBCB4EBBCBAC0E5B5BFB7C2BBEABEF7C0B0BCBA2E687770>

<322D303720C2F7BCBCB4EBBCBAC0E5B5BFB7C2BBEABEF7C0B0BCBA2E687770> 참여정부 정책보고서 2-07 차세대 성장동력산업 육성 - 미래산업 창출을 위한 블루오션 전략 - 2008 작성중인 초안자료 안보전략비서관: 박 선 원 행정관: 김 호 홍 외교부 북핵외교기획단 북핵정책과 : 손 창 호 발 간 사 참여정부가 혁신과 통합을 표방하며 출범한 지 5년, 이제 그 성과와 한계에 대한 스스로의 평가를 국민들 앞에 내놓을

More information

휴대용 기기 분야 가정용 영상 기기 분야 휴대 전화 USB, FireWire 등 PC PC TV DVD/Blu-ray 플레이어 게임 콘솔 휴대 전화 휴대전화, PMP 등 휴대용 기기 간 대용량 데이터 무선 전송 캠코더 Component, Display Port, DVI

휴대용 기기 분야 가정용 영상 기기 분야 휴대 전화 USB, FireWire 등 PC PC TV DVD/Blu-ray 플레이어 게임 콘솔 휴대 전화 휴대전화, PMP 등 휴대용 기기 간 대용량 데이터 무선 전송 캠코더 Component, Display Port, DVI 표준 기술동향 60GHz 주파수 대역 기반 밀리미터파 무선전송기술 표준화 동향 홍승은 ETRI 무선통신연구부 초고속무선통신연구팀 선임연구원 이우용 ETRI 무선통신연구부 초고속무선통신연구팀 팀장 정현규 ETRI 무선통신연구부 부장 1. 머리말 제공할 수 있다. 또한 저전력 구현을 통해 스마트폰과 같은 휴대용 장치에도 탑재되어 장치 간 대용량 무선 통신에 사용되는

More information

목차 Ⅰ 시험개요 1 Ⅱ 건전지품질비교시험결과요약 4 Ⅲ 건전지종합평가표 8 Ⅳ 시험결과조치계획 9 [ ]

목차 Ⅰ 시험개요 1 Ⅱ 건전지품질비교시험결과요약 4 Ⅲ 건전지종합평가표 8 Ⅳ 시험결과조치계획 9 [ ] 우리는소비자와함께행복한세상을만든다 건전지품질비교시험결과보고서 2012. 8 시험분석국 기계전기팀 목차 Ⅰ 시험개요 1 Ⅱ 건전지품질비교시험결과요약 4 Ⅲ 건전지종합평가표 8 Ⅳ 시험결과조치계획 9 [ ] 1. 10 2. 24 3. 25 I 시험개요 1. 시험배경및목적 - 1 - 2. 시험대상 () ( ) 1 [4 ] Zhejiang Mustang Battery

More information

제3회 정보통신표준화 우수논문집 CONTENTS 회장 발간사 4 심사위원장 심사후기 5 최우수상 논문 ( 정보통신부 장관상 ) 촉각 정보 메타데이터 표준 개발 및 국제 표준화 전략 7 I 경기욱, 이준영, 이용희 (한국전자통신연구원) 우수상 논문 ( 한국정보통신기술협회

제3회 정보통신표준화 우수논문집 CONTENTS 회장 발간사 4 심사위원장 심사후기 5 최우수상 논문 ( 정보통신부 장관상 ) 촉각 정보 메타데이터 표준 개발 및 국제 표준화 전략 7 I 경기욱, 이준영, 이용희 (한국전자통신연구원) 우수상 논문 ( 한국정보통신기술협회 제3회 정보통신표준화 우수논문집 제3회 정보통신표준화 우수논문집 2007. 12 제3회 정보통신표준화 우수논문집 CONTENTS 회장 발간사 4 심사위원장 심사후기 5 최우수상 논문 ( 정보통신부 장관상 ) 촉각 정보 메타데이터 표준 개발 및 국제 표준화 전략 7 I 경기욱, 이준영, 이용희 (한국전자통신연구원) 우수상 논문 ( 한국정보통신기술협회 회장상 )

More information

임베디드2014(가을)

임베디드2014(가을) 2014 Autumn Vol.8 www.givet.re.kr 2014 Autumn News Letter 2014 Autumn Vol.8 News Letter CONTENTS GIVET Autumn 04 05 이천십사년 가을호 뉴스레터 FOCUS_ 2014년 기업지원사업 성과 기업지원성과 1 2013 경북디지털기기부품산업 패키지역량강화사업 사업기간 : 2013년

More information

슬라이드 1

슬라이드 1 -0- 미래를창조하는 ICT Innovator" 경선추 TWDM-PON ONT 용광트랜시버기술 본기술은 NG-PON2 시스템중하나인 TWDM-PON ONT용광트랜시버기술로, 파장가변이가능하면서버스트모드로동작이가능한광송신부와파장선택성을갖는광수신부로이루어졌음. 특히, 광송신부는 cooled DFB-LD를사용함으로써가격경쟁력을확보하였으며, 광수신부는 APD/TIA

More information

모토로라 레이저 : ::::::스마트폰 전문 커뮤니티:::::: [통신사별 정보/공유]

모토로라 레이저 : ::::::스마트폰 전문 커뮤니티:::::: [통신사별 정보/공유] 1 Olleh KT 0 0 2012-02-10 2,236 10 19. (MS500). 7.1mm,,,,. CPU 131 x 69 x 7.1mm 127g 4.3Inch qhd(540x960) Super AMOLED Advanced TI OMAP 4430 1.2GHz dual-core Cortex-A9, PowerVR SGX540 GPU RAM 1GB, 11.5GB

More information

IP IP ICT

IP IP ICT 1 1 5 1 5 2 6 2 8 1 8 1. 8 2. 10 3. 11 2 12 1. 3 12 2. 13 3. IP 14 4. IP 14 3 15 1. 15 2. 16 4 17 1. ICT 17 2. 21 3. 21 2 3 22 1 22 2 22 4 24 1, IP 24 1. 24 2. MVNO 26 3. 28 4. 30 5. 32 2 36 1. 36 2. 38

More information

src.hwp

src.hwp < 목 차 > Summary i 1. 산업별 주간 IT 동향 1 전자정보 디바이스 1 Hitachi, PDP 패널 사업에서 철수 정보통신 미디어 3 PDP TV, 중국에서 '길' 찾는다 차세대 통신 네트워크 5 Google, Android 휴대폰 발표 소프트웨어 컴퓨팅 7 스토리지 업계, 정보제공업체로 진화 중 산업기술융합/로봇/지식서비스 9 RFID 도입시

More information

untitled

untitled Digital TV 2004.9 sgkwon@empal.com 1.... 1 2. DIGITAL TV?... 1 3.... 2 3.1.... 2 3.1.1.... 2 3.1.2.... 3 3.1.3.... 5 3.1.4.... 6 3.1.5.... 7 3.2.... 9 3.2.1. DTV... 9 3.2.2. DTV... 10 3.2.3. DTV... 10

More information

Ⅰ. 들어가며 (Smart Grid) - -, IT, 2000, , ,,,, , 2012,, - -,

Ⅰ. 들어가며 (Smart Grid) - -, IT, 2000, , ,,,, , 2012,, - -, J D I FOCUS 제주발전연구원원장 양영오.. : 690-029 1 18-4 726-7406, 751-2168, e-mail skeom@jdi.re.kr 똑똑한전력망스마트그리드거점지구 (Smart Grid City) 지정을위한제주의대응전략 엄상근 ( 제주발전연구원책임연구원 ) C ontents Ⅰ. 들어가며 Ⅱ. 스마트그리드거점지구 Ⅲ. 스마트그리드추진동향

More information

master.hwp

master.hwp 구분 CCTV DVR 녹화방식 아날로그테이프 디지털인코딩 저장매체 비디오테이프 HDD 매체사용기간 6개월 3~5년 화질의열화정도 반복사용에의한열화 열화가거의없음 데이터검색기능 되감기로검색 카메라별 일시별즉시검색 Overlay 화면 끊어짐 연속화면 화면분할 별도장치필요 자유로움 카메라제어 통신장치필요 자유로움 카메라입력 제한적임 (8개) 자유로움 ( 최대 16개

More information

IPTV

IPTV SDN(Software Defined Network) - 1 - 모바일 시장이 확대되면서 폭증하는 트래픽의 홍수는 기존 네트워크가 수용할 수 없는 지경에 이르렀다. 막대한 비용을 들여가며 네트워크를 확장해도 수요를 따라잡지 못하는 상황이 계속되자, 네트워크 설계에서부터 보다 근본적인 변화가 필요하다는 움직임이 일게 됐다. 소프트웨어정의네트워크(SDN: Software

More information

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378>

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378> 점접착제 1-No. 20150900 Multi Client Report 점 접착제시장분석및전망 (2015) Sep., 2015 화학경제연구원 CHEMICAL MARKET RESEARCH INC. #1204, JnK Digital Tower, 111 Digital 26th, Guro-gu, Seoul 152-050, Korea TEL : +822-6124-6660

More information

반도체 i ii iii iv v 2011 산업기술로드맵 정보통신 반도체분야 . 개요 3 2011 산업기술로드맵 정보통신 반도체분야 . 산업의환경변화 7 2011 산업기술로드맵 반도체분야 8 . 산업의환경변화 9 2011 산업기술로드맵 반도체분야 10 . 산업의환경변화 11 2011 산업기술로드맵 반도체분야 12 . 산업의환경변화 13 2011

More information

서현수

서현수 Introduction to TIZEN SDK UI Builder S-Core 서현수 2015.10.28 CONTENTS TIZEN APP 이란? TIZEN SDK UI Builder 소개 TIZEN APP 개발방법 UI Builder 기능 UI Builder 사용방법 실전, TIZEN APP 개발시작하기 마침 TIZEN APP? TIZEN APP 이란? Mobile,

More information

NIPA-Weekly_ICT(2014-07).hwp

NIPA-Weekly_ICT(2014-07).hwp [ Weekly ICT Issue 2014-07 ] 주간 ICT산업 주요 이슈 1. 주요 이슈 1 'MWC 2014', 한국 혁신 주도 중국 공격 거세 o 세계 모바일 시장의 최신 트렌드와 판도를 예측할 수 있는 MWC 2014 가 24일부터 27일까지 스페인 바르셀로나에서 개최 올해 28회째를 맞는 MWC는 '차세대 창조(Creating What's Next)'라는

More information

< 표 1> 폴란드의 3 대이동통신기업현황 구분사업현황영업현황주요주주 피티케이 센터텔 폴콤텔 l 2) 피티씨 - 설립연도 : 1994 년 - 시장점유율 : 30.9% - 가입자수 : 14.1 백만명 ( 선불제 : 7.3 백만명, 후불제 : 6.8 백만명 ) - 고용자수

< 표 1> 폴란드의 3 대이동통신기업현황 구분사업현황영업현황주요주주 피티케이 센터텔 폴콤텔 l 2) 피티씨 - 설립연도 : 1994 년 - 시장점유율 : 30.9% - 가입자수 : 14.1 백만명 ( 선불제 : 7.3 백만명, 후불제 : 6.8 백만명 ) - 고용자수 < 표 1> 폴란드의 3 대이동통신기업현황 구분사업현황영업현황주요주주 피티케이 센터텔 폴콤텔 l 2) 피티씨 - 설립연도 : 1994 년 - 시장점유율 : 30.9% - 가입자수 : 14.1 백만명 ( 선불제 : 7.3 백만명, 후불제 : 6.8 백만명 ) - 고용자수 : 3,659 명 - 설립연도 : 1996 년 - 시장점유율 : 29.8% - 가입자수 :

More information

8.µðÁöÅÐÄÜÅÙÃ÷ »ê¾÷º° º¸°í¼�.hwp

8.µðÁöÅÐÄÜÅÙÃ÷ »ê¾÷º° º¸°í¼�.hwp 구분 2004 2005 2006 2007 2008 2009 객체기반 지능형 학습관리를 위한 차세대 e-러닝 통합 기술개발 차세대 전자상거래를 위한 기반 콘텐츠 관리기술 개발 e-biz 차세대 전자문서 기반기술 개발 산업의 모바일화를 위한 유무선 통합기술 개발 신속 물류망 형성기술 지능형 물류 시스템 RFID 기반 유비쿼터스 전자물류시스템 기술 개발 모바일 기술을

More information

Microsoft Word - 110112_전기전자_2011 CES.doc

Microsoft Word - 110112_전기전자_2011 CES.doc X-book 전기전자(IT) OVERWEIGHT CES 참관기 : 호모 모빌리언스, 스마트로 진화하다 2011년 1월 12일 Analyst 권성률 02)369-3724 srkwon@dongbuhappy.com 2011년 CES(Consumer Electronics Show)가 끝났다. 올해 CES는 Tablet PC, Smart TV, 3D TV 등의 기술

More information

BC6HP Korean.ai

BC6HP Korean.ai 제품설명서 BC6HP Microprocessor controlled highperformance rapid charger/discharger with integrated balancer, 250watts of charging power USB PC link and Firmware upgrade, Temperature sensor Charge current up

More information

Microsoft Word - ICT Reprot

Microsoft Word - ICT Reprot 주간기술동향 2014. 8. 6. IoT 전개 동향 및 주요 이슈 * 1. IoT, 새로운 성장 동력으로 주목 IoT(Internet of Things, 사물인터넷)가 ICT 산업의 새로운 성장 동력으로 부상 - IoT 는 인간과 사물, 서비스 등 분산된 구성 요소들 간에 인위적인 개입 없이 상호 협력적으로 센싱, 네트워킹, 정보처리 등 지능적 관계를 형성하는

More information

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770>

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770> 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 연구위원 이 주 완 joowanlee@hanaif.re.kr 02)2002-2683 요 약 IT 산업에 미치는 영향 프리미엄 제품 공급자 중심으로 재편 스마트폰은 단순히 기능이 추가된

More information

귀하 vii - i - - ii - - iii - - iv - - v - - vi - o. o 5. o,,,,, 15% o, o (, ) - vii - o, o,,. - viii - . - ix - SUMMARY 2. Objective and Importance of Research Objective of Research Importance of Research

More information

[한반도]한국의 ICT 현주소(송부)

[한반도]한국의 ICT 현주소(송부) ICT 2016. 5. 3 SKT KT LGU+ ( ) ( ) ( ) 18,000 15939 16141 16602 17164 17137 18,000 21990 23856 23811 23422 22281 12,000 10905 11450 11000 10795 13,500 13,425 9,000 9185 9,000 8,850 6,000 4,500 4,275 3,000-0

More information

: (Evaluation and Perspective of the Broadcasting Policy: The Direction and Tasks of a New Broadcasting Policy in Korea) 2013. 1 : ⅶ 1 1. 연구의필요성및목적 1 2. 연구의구성및범위 2 2 제 1 절미디어환경의변화 4 1. 스마트미디어의확산과발달

More information

<32303133303832392DB9DFC1A6B9AE28BCF6C1A4292E687770>

<32303133303832392DB9DFC1A6B9AE28BCF6C1A4292E687770> 2013 한국방송학회 세미나 디지털 전환 이후 지상파방송의 활성화를 위한 전략과 과제 일시 2013년 8월 29일(목) 오후 3시~6시 장소 서울 광화문 프레스센터 19층 기자회견장 주최 한국방송학회 후원 방송인총연합회 2013 한국방송학회 세미나 디지털 전환 이후 지상파방송의 활성화를 위한 전략과 과제 일시: 2013년 8월 29일(목) 오후 3시~6시

More information

Microsoft PowerPoint - 권장 사양

Microsoft PowerPoint - 권장 사양 Autodesk 제품컴퓨터사양 PRONETSOFT.CO 박경현 1 AutoCAD 시스템사양 시스템요구사양 32 비트 AutoCAD 2009 를위한시스템요구사항 Intel Pentium 4 프로세서 2.2GHz 이상, 또는 Intel 또는 AMD 듀얼 코어프로세서 16GH 1.6GHz 이상 Microsoft Windows Vista, Windows XP Home

More information

<4D F736F F F696E74202D FB5A5C0CCC5CDC5EBBDC5B0FA20B3D7C6AEBFF6C5A9205BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D FB5A5C0CCC5CDC5EBBDC5B0FA20B3D7C6AEBFF6C5A9205BC8A3C8AF20B8F0B5E55D> 2011 년봄학기데이터통신 Chapter 01 데이터통신과네트워크 1 순서 1. 데이터통신과네트워크의개념 2. 컴퓨터네트워크의유형 3. 네트워크표준화 4. 인터넷표준화활동 5. 유무선네트워크의발전및진화 Copyright(c)2011 by Hyun-Ho Choi 2 Section 01 데이터통신과네트워크의개념 데이터통신 (Data Communication) 두개이상의통신장치사이에서전송미디어

More information

I (34 ) 1. (10 ) 1-1. (2 ) 1-2. (1 ) 1-3. (2 ) 1-4. (2 ) 1-5. (1 ) 1-6. (2 ) 2. (8 ) 2-1. (3 ) 2-2. (5 ) 3. (3 ) 3-1. (1 ) 3-2. (2 ) 4. (6 ) 4-1. (2 )

I (34 ) 1. (10 ) 1-1. (2 ) 1-2. (1 ) 1-3. (2 ) 1-4. (2 ) 1-5. (1 ) 1-6. (2 ) 2. (8 ) 2-1. (3 ) 2-2. (5 ) 3. (3 ) 3-1. (1 ) 3-2. (2 ) 4. (6 ) 4-1. (2 ) KS 인증공장심사항목해설서 2013. 3 한국표준협회 I (34 ) 1. (10 ) 1-1. (2 ) 1-2. (1 ) 1-3. (2 ) 1-4. (2 ) 1-5. (1 ) 1-6. (2 ) 2. (8 ) 2-1. (3 ) 2-2. (5 ) 3. (3 ) 3-1. (1 ) 3-2. (2 ) 4. (6 ) 4-1. (2 ) 4-2. (4 ) 5. (7 ) 5-1.

More information

Company Report 2014. 07. 07 N/R 현재주가 (2014/07/04) 9,960원 목표주가 (6M) -원 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 아이원스(114810) 선명해지는 실적 개선 반도체 및 디

Company Report 2014. 07. 07 N/R 현재주가 (2014/07/04) 9,960원 목표주가 (6M) -원 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 아이원스(114810) 선명해지는 실적 개선 반도체 및 디 Sector Report 2014. 07. 07 스몰캡 하반기 주목할 만한 중소형주 4선 선명해지는 실적 개선 신건식 미디어,엔터/스몰캡 (02) 3215-7503 gsshin@bsfn.co.kr 김태봉 퀀트/스몰캡 (02) 3215-1586 tbkim0901@bsfn.co.kr [아이원스] 반도체 및 디스플레이 정밀가공 부품업체로 투자 포인트는 다음과 같다.

More information

1_cover

1_cover Monitoring & Analysis Energy Saving Report Control Schedule Chart UI SICOMS SICOMS E n e rg y M an a g e m e n t S y s t e m To y o u r e f f i c i e n t an d s m a r t e n e rg y Our Service Network 구축

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

Microsoft Word - 유비쿼스.doc

Microsoft Word - 유비쿼스.doc 2013.02.12 YUHWA Securities Research 유비쿼스 (A078070) 꿈의 네트워크 SDN 시대의 주도주 BUY(재개) 목표주가(원) 8,300 현재주가(원) 5,810 상승여력(%) 42.9 KOSPI 지수 1,950.90 KOSDAQ 지수 504.94 시가총액(억원) 1,232 자본금(억원) 106 액면가(원) 500 발행주식수(만주)

More information

41-9....

41-9.... ISSN 1016-9288 제41권 9호 2014년 9월호 제 4 1 권 제 9 호 ( ) 2 0 1 4 년 9 월 첨 단 전 자 시 스 템 의 산 업 기 술 The Magazine of the IEIE vol.41. no.9 첨단 전자시스템의 산업기술 R&D 전략 최신의료기기 기술 및 산업동향 시스템반도체 현황 및 경쟁력 분석 통합모듈형항공전자(IMA) 기술동향

More information

IT & Future Strategy 보고서 는 21세기 한국사회의 주요 패러다임 변화를 분석하고 이를 토대로 미래 초연결 사회의 주요 이슈를 전망, IT를 통한 해결 방안을 모색하기 위해 한국정보화진흥원 (NIA) 에서 기획, 발간하는 보고서입니 다. NIA 의 승인

IT & Future Strategy 보고서 는 21세기 한국사회의 주요 패러다임 변화를 분석하고 이를 토대로 미래 초연결 사회의 주요 이슈를 전망, IT를 통한 해결 방안을 모색하기 위해 한국정보화진흥원 (NIA) 에서 기획, 발간하는 보고서입니 다. NIA 의 승인 모두를 위한 미래, 행복하고 안전한 초연결 사회 IT & Future Strategy 초연결 사회를 견인할 데이터화 전략 (Datafication) 제1 호(2015. 3. 20.) 목 차 Ⅰ. 초연결 사회 도래와 부상 / 1 Ⅱ. 데이터 분류 및 주요 내용 / 9 Ⅲ. 데이터 인프라 구축 방안 / 19 Ⅳ. 데이터 활용 방안 및 이슈 / 26 IT & Future

More information

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 (

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 ( 제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 02.003 생활용품검사 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 2017-032 호 (2017.2.8.)) 03. 재료및부품 03.001 자동차부품검사 기능안전심사 ISO 26262-2 : 2011

More information

1 2009 11. 2012 60% 2013 TV TV. TV,.,,.,,,...,. 2.,. 2012 12 3 1 9 1 13 1 13 2 16 1. 16 2. 17 2 19 1 19 1. 19 2. 22 3. 25 4. 28 2 31 1. 31 2. 35 3. 37 3 38 1 38 2 45 4 4 51 1 51 2 53 1. : (FGI) 53 2. :

More information

논단 : 제조업 고부가가치화를 통한 산업 경쟁력 강화방안 입지동향 정책동향 <그림 1> ICT융합 시장 전망 1.2 2.0 3.8 681 1,237 365 2010년 2015년 2020년 <세계 ICT융합 시장(조 달러)> 2010년 2015년 2020년 <국내 ICT

논단 : 제조업 고부가가치화를 통한 산업 경쟁력 강화방안 입지동향 정책동향 <그림 1> ICT융합 시장 전망 1.2 2.0 3.8 681 1,237 365 2010년 2015년 2020년 <세계 ICT융합 시장(조 달러)> 2010년 2015년 2020년 <국내 ICT 산업입지 Vol.61 ICT융합을 통한 제조업의 고부가가치화 방안 정보통신산업진흥원 수석연구원 김 민 수 1. 머리말 2. 국내외 ICT융합동향 3. ICT융합을 통한 국내 제조업의 고부가가치화 사례 4. 맺음말 1. 머리말 융합(convergence)이 세계적으로 화두가 된 것은 2002년 미국 국가과학재단(NsF)의 인간수행능력 향상을 위한 융합 기술 전략

More information

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe Smart & Green Technology Innovator 경선추 2-18. 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixer 등, MMIC 설계기술임. -0- 1 기술개요 2 개발기술의주요내용 3 기술적용분야및기술의시장성

More information

810 & 820 810 는 소기업 및 지사 애 플리케이션용으로 설계되었으며, 독립 실행형 장치로 구성하거 나 HA(고가용성)로 구성할 수 있습니다. 810은 표준 운영 체제를 실행하는 범용 서버에 비해 가격 프리미엄이 거의 또는 전혀 없기 때문에 화이트박스 장벽 을

810 & 820 810 는 소기업 및 지사 애 플리케이션용으로 설계되었으며, 독립 실행형 장치로 구성하거 나 HA(고가용성)로 구성할 수 있습니다. 810은 표준 운영 체제를 실행하는 범용 서버에 비해 가격 프리미엄이 거의 또는 전혀 없기 때문에 화이트박스 장벽 을 목적에 맞게 설계된 어플라 이언스 원격 용도로 최적화된 어플라이언스 관리 및 에너지 효율성 향상 원격 관리 LOM(Lights Out Management), IPMI 2.0 장치 식별 버튼/LED 실시간 시스템 환경 및 오류 모 니터링 Infoblox MIBS를 통한 SNMP 모니터링 고가용성 공급 장치 예비 디스크 예비 냉각 팬 전원 공급 장치 현장 교체

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 NuPIC 2013 2013.11.07~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교 2013.11.08 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

More information

[Brochure] KOR_TunA

[Brochure] KOR_TunA LG CNS LG CNS APM (TunA) LG CNS APM (TunA) 어플리케이션의 성능 개선을 위한 직관적이고 심플한 APM 솔루션 APM 이란? Application Performance Management 란? 사용자 관점 그리고 비즈니스 관점에서 실제 서비스되고 있는 어플리케이션의 성능 관리 체계입니다. 이를 위해서는 신속한 장애 지점 파악 /

More information

우리 스몰캡 이슈 1. IT 패러다임 변화는 소프트웨어가 주도 IT경쟁력은 HW SW 전 세계 IT회사 시가총액 상위 5위 중 3개가 소프트웨어 회사이며, 나머지 하드웨어 회사 조차도 소프트웨어 역량을 육성하는데 집중하고 있는 상황이다. 하드웨어의 차별성은 계속 떨어지

우리 스몰캡 이슈 1. IT 패러다임 변화는 소프트웨어가 주도 IT경쟁력은 HW SW 전 세계 IT회사 시가총액 상위 5위 중 3개가 소프트웨어 회사이며, 나머지 하드웨어 회사 조차도 소프트웨어 역량을 육성하는데 집중하고 있는 상황이다. 하드웨어의 차별성은 계속 떨어지 214. 1. 13 Issue Analysis 우리 스몰캡 이슈 Sector Index 12 11 1 9 8 '13.1 '13.4 '13.7 '13.1 업종 시가총액 IT S/W & SVC KOSDAQ 13,77.9십억원 (Market 비중 11.3%) 주: KSE업종 분류 기준, Market = KOSDAQ 214년에는 HW보다 SW가 더 매력적 IT의 경쟁력이

More information

Sector report focus 리포트 작성 목적 유료방송 경쟁 현황 분석 및 투자 매력 높은 업체 선정 유료방송 시장은 성장하기 어렵다는 의견이 많은데 부가서비스, 플 랫폼 매출 증가로 시장 규모의 성장 추세가 이어진다는 근거 제시 핵심 가정 및 valuation

Sector report focus 리포트 작성 목적 유료방송 경쟁 현황 분석 및 투자 매력 높은 업체 선정 유료방송 시장은 성장하기 어렵다는 의견이 많은데 부가서비스, 플 랫폼 매출 증가로 시장 규모의 성장 추세가 이어진다는 근거 제시 핵심 가정 및 valuation ` 유료방송 산업 산업분석 In-depth / 미디어 213. 12. 3 비중확대(유지) 종목 투자의견 목표주가 CJ헬로비전(3756) 매수 23,원(상향) 스카이라이프(5321) 중립 - 현대에이치씨엔(12656) 중립 - SK브로드밴드(3363) 중립 - 12개월 업종 수익률 (p) (%p) 25 25 2 2 15 15 1 5 1 5 KOSPI 대비(%p,

More information

COMFILE_VOL13_20140204.cdr

COMFILE_VOL13_20140204.cdr "다양한 산업현장에서 쓰이고 있는 컴파일 제품" 데이터 수집 데이터 수집용 필드 I/O 제품 "모드포트" 필드 I/O 전력 모니터링 로봇 제어 태양광 발전 트랙커 제어 CUPC-P80 CT1721C CB405 포장기 화력발전소-화력 감지 시스템 녹방지장치(용존산소제거장치) CT1721C CB280, CLCD-216 CUWIN3500 일회용 용기 성형기 항온항습기

More information

08연차보고서처음-끝

08연차보고서처음-끝 2008 IT Global Standardization & Certification Annual Report 1988 2007연혁 > >> 1988 12 재단법인 한국통신기술협회 (TTA: Telecommunications Technology Association) 설립 2008 연표 1989 07 지역 및 국가표준화 기구 등과 협력을 위한 양해 각서 체결

More information

Microsoft PowerPoint 통신과 통신망.ppt

Microsoft PowerPoint 통신과 통신망.ppt 통신과통신망 (Communication & Networks) Yang-Sae Moon Department of Computer Science Kangwon National University, Korea 1 통신 (Communication) 이란? 어원 : 라틴어의 Communication 은 공유한다 는의미 정의 : 일반적으로통신은송신자와수신자사이에전송매체

More information

슬라이드 1

슬라이드 1 Contents Prologue Chapter 01 Silicon Works Co., Ltd. Chapter 02 Market Expansion Chapter 03 Investment Highlights Appendix Prologue 01. 디스플레이시장의진화 02. 진화의중심, 실리콘웍스 01. 디스플레이시장의진화 지금도 LCD 는다양한 Application

More information

Disclaimer 본 자료는 제안된 IP공모와 관련하여 기관투자가와 일반투자자들을 대상으로 실시되는 presentation에서의 정보제공을 목적으로 티브이로직 (이하 회사 )에 의해 작성되었으며 이의 반출, 복사 또는 타인에 대한 재배포는 금지됨을 알려드리는 바입니다

Disclaimer 본 자료는 제안된 IP공모와 관련하여 기관투자가와 일반투자자들을 대상으로 실시되는 presentation에서의 정보제공을 목적으로 티브이로직 (이하 회사 )에 의해 작성되었으며 이의 반출, 복사 또는 타인에 대한 재배포는 금지됨을 알려드리는 바입니다 Investor Relations 2011 Disclaimer 본 자료는 제안된 IP공모와 관련하여 기관투자가와 일반투자자들을 대상으로 실시되는 presentation에서의 정보제공을 목적으로 티브이로직 (이하 회사 )에 의해 작성되었으며 이의 반출, 복사 또는 타인에 대한 재배포는 금지됨을 알려드리는 바입니다. 본 presentation에의 참석은 위와 같은

More information

Microsoft PowerPoint - 주간 NEWS-416회(2014.10.05) [호환 모드]

Microsoft PowerPoint - 주간 NEWS-416회(2014.10.05) [호환 모드] 전자업계 국내외 투자 활발 '어려울 때 투자하라' 삼성 LG전자, 베트남에 앞다퉈 라인 증설 아프리카에도 눈돌려 2014.10.05 삼성전자가 이번 주 3분기 잠정실적(가이던스) 발표에서 충격적인 성적표를 내놓을 것으로 예상되는 가운데 본격적인 실적 하강 국면에서도 국내외 투자를 꾸준히 진행하고 있다고 연합뉴스가 전했다. 스마트폰 사업을 정상궤도에 끌어올린

More information