<30352DBCF6C1A42DC8ABBCAEBFF85FBBE7C1F8C3DFB0A12E687770>

Size: px
Start display at page:

Download "<30352DBCF6C1A42DC8ABBCAEBFF85FBBE7C1F8C3DFB0A12E687770>"

Transcription

1 플렉시블 / 웨어러블일렉트로닉스최신연구동향 강석희 홍석원 大韓熔接 接合學會誌第 32 卷 3 號別冊

2 34 특집논문 ISSN Online ISSN 플렉시블 / 웨어러블일렉트로닉스최신연구동향 강석희 * 홍석원 *, * 부산대학교나노과학기술대학인지메카트로닉스공학과 Recent Progress in Flexible/Wearable Electronics Seok Hee Kang* and Suck Won Hong*, *Dept. of Cogno-Mechatronics Engineering, Pusan National University, Busan , Korea Corresponding author : swhong@pusan.ac.kr (Received June 12, 2014; Accepted June 17, 2014) Abstract Flexible devices have been developed from their rigid, heavy origins to become bendable, stretchable and portable. Such a paper displays, e-skin, textile electronics are emerging research areas and became a mainstream of overall industry. Thin film transistors, diodes and sensors built on plastic sheets, textile and other unconventional substrates have a potential applications in wearable displays, biomedical devices and electronic system. In this review, we describe current trends in technologies for flexible/wearable electronics. Key Words : Flexible device, Wearable device, E-textile, Printable electronics, Display 1. 서론 2000 년대초반미국의벨연구소에서유기물기반의플렉시블디스플레이의기초연구결과를제공한이후제반연구가꾸준히진행되어왔으며다양한소재의적용기술발전으로인해플렉시블을넘어웨어러블디바이스가전자산업의돌파구및새로운시장을창출하기위한큰연구관심사로인식되고있다 1-8). 1차모바일혁명이라고도명명된스마트폰기술의발전은 2009 년아이폰출시이후폭발적인증가세를보이며크게성장해왔으며이제성숙기에접어들었다. 현시점에서향후스마트폰을대체할수있을것으로예상되는차세대모바일기술로플렉시블 (flexible) 또는웨어러블 (wearable) 디바이스를꼽을수있다. 이러한새로운형식의디바이스시장은삼성전자, 애플, 구글등과같은 ICT 분야의기술선도기업뿐만아니라나이키, 리복, 아디다스와같은스포츠관련기업들까지포함하는다양한영역에서혁신적인아이디어를표현하는제 품들이출시되고있다 9-21). 더나아가전자정보기술과의료분야의융합, 군사및소방안전분야의혁신과같은다양한산업에서의새로운시도들이이루어지고있는데이를실현하기위해서는플렉시블소재 / 소자를기반하는웨어러블디바이스기술이반드시뒷받침되어야한다. MIT 대학미디어랩 (Media Lab) 에서내린정의에따르면, 웨어러블디바이스란 인체에부착하여컴퓨팅이가능한모든종류의전기 / 전자소자로구성된모든기기 를일컫는다. 이를구현하기위해선전기 / 전자소자의소형화, 경량화, 저전력구동화가필수적이며소재적관점에서보았을때마치우리가입는직물과유사한형태의다양한방향으로휘어지거나늘어나며이러한상황에서도성능이떨어지지않는전기 / 전자디바이스를구현하는요소기술의개발이요구된다. 본리뷰논문에서는플렉시블 / 웨어러블디바이스를구현하기위한새로운형식의공정요소기술의연구개발동향에대해기술하였으며향후시장형성및연구개발방향에대해서전망하였다. This is an Open-Access article distributed under the terms of the Creative Commons Attribution Non-Commercial License( which permits unrestricted non-commercial use, distribution, and reproduction in any medium, provided the original work is properly cited. Journal of Welding and Joining, Vol.32 No.3(2014) pp

3 플렉시블 / 웨어러블일렉트로닉스최신연구동향 35 Substrate -PI, PET, PEN - PDMS, Ecoplex -Fabric Materials -Organic - Inorganic - CNT, Graphene, MoS 2 Device -TFT -RFID - Sensor - Energy harvasting Febrication - Conventional - Printing process (inkjet, screen, spray, gravure, revers Offset, flexography - Transfer printing Application - Artificial skin -Display(OLED) - e-paper -Biosensor -SERS - BMI(Brain-Machine-Interface Fig. 1 Overview of flexible/wearable electronic devices 2. 플렉시블 / 웨어러블디바이스구성을위한요소기술 2.1 기판 플렉시블디바이스의구현을위해서는다양한공정에부합하는유연기판들이가장최우선적으로필요한데, 특히플렉시블기판은최종적으로완성된디바이스의공정기술, 성능, 신뢰성, 제품의가격을결정하는가장중요한부품으로서최근들어산업적인주목을받고있다. 플렉시블기판중에서는플라스틱이가공의용이성, 무게절감, 반도체연속공정의적합성으로인해적용이광범위하게검토되고있지만, 디바이스장착을위한기판으로서는많은문제점을안고있다. 이를해결하기위해플라스틱기판의열적, 화학적특성을향상시키거나, 플라스틱기판을이용한반도체공정에적합한저온형성용유 / 무기소재및공정을개발하는연구가많이진행되고있다. 일반적으로, 디바이스에휘어지는성질을부가할수있는대표적인기판으로 PI (Polyimide), PET (Polyethylene Terephthalate), PEN (Polyethylene Naphthalate) 과같은플라스틱이활용되고있으며, 신축성을부여하기위해고무소재로알려진 PDMS (Polydimethylsiloxane) 등을이용하는연구가꾸준히보고되고있다 22-23). 플렉시블기판으로산업계에서가장주목하는분야는휘어지는디스플레이기판으로획기적인플랫폼기술개발로이어질것으로예상되는투명플라스틱필름이다. 기존의범용투명플라스틱필름을개량한다양한소재가개발되어있거나개발이진행되고있다. 하지만기존투명플라스틱필름만으로는열처리에따른기판의 수축, 팽창에따른치수및형태의변화로인해디스플레이기판으로서취약한특성을보이므로이를극복하기위한방편으로기본열처리 (aging) 및다양한소재를이용한코팅기술이요구된다. 최근전자잉크기판으로적용이시도되어서크게주목을받고있는투명 PI(Polyimide) 소재를비롯해서 PC(polycarbonate), PES(polyethersulfone) 그리고 PAR(polyarylate) 와 COC(cyclic olefin copolymer) 등다양한소재의고분자필름들이플렉시블디스플레이기판으로사용가능한후보로서지속적인기판개발과플렉시블디스플레이개발에응용되고있다. 또한기존에쓰고있는 PET(Polyethylene Terephthalate) 의표면을개질하여쓰거나새로운형식의공정기술개발로플라스틱기판이갖고있는약점을극복하는연구개발이활발히추진중이다. 플라스틱기판의기본적인특성중미래형디스플레이로제시되고있는투과형디스플레이의경우광학적투명도와표면조도등이요구된다. 특히기판의광학등방성유지및내열성을향상을통한최대허용공정온도를상승이중요한특성으로고려되고있는데이에관한광학특성은헤이즈 (haze), yellow index 등이있다. 플라스틱기판으로반도체소자구현을위해요구되는또하나의특성은디스플레이공정에대한적합성이라할수있다. 기존디스플레이공정은유리기판을이용하여반도체공정을기반으로하는플라즈마고온공정, 금속증착및다양한화학적세정공정을포함한다. 이러한공정에대한공정적합성이플렉시블기판의사용여부를결정하는중요한요소가된다. 즉고온 大韓熔接 接合學會誌第 32 卷第 3 號, 2014 年 6 月 249

4 36 강석희 홍석원 안정성과정밀리소그래피공정을위한낮은열팽창계수그리고각종화학용제에대한내화학성등이필요하다. 따라서저온공정적용이가능한차세대신소재 ( 유-무기화합물 ) 의적용을통한표면개질또는현재의반도체공정을이용하지않는비전통방식의신개념공정을개발하거나, 기존합성기술을개량한기판의내열성을향상시켜최대허용공정온도를높이고자하는노력을하고있으며, 공정디자인설계시플라스틱의취약한치수안정성을고려한오차 (misalign) 범위를고려한설계로이를극복할수있음을여러논문으로보고되고있다. 또한플라스틱기판으로또한요구되는특성은제품완성후의신뢰성유지및안정성이다. 즉현재사용되고있는유리기판상에구현된디스플레이구현기술대비얼마나안정성을확보할수있는가하는것이다. 플렉시블기판을고분자필름으로구현하는경우유리기판과비교했을때가장큰차이점으로제시되고있는약점은기체에투과특성이다. 유리는기체투과를하지않는반면고분자필름은제품완성후시간이지남에따라공기중의수분이나산소를투과시켜완성된디스플레이발광소자 ( 특히 OLED, OTFT 와같은유기물소자 ) 내부로수분과산소가투과되어흑점이발생하거나소자의수명이급격히저하되는현상을보이게된다. 따라서고분자필름을디스플레이기판으로사용하는경우플라스틱기판상고효율의기체투과방지막을형성시켜수분과산소를차단해줄필요가있다. 섬유소재는최근가장활발하게웨어러블디바이스에적용되고있는소재로고도로발달된반도체소자구현기술의발전으로직조된섬유의유연기판으로써의가능성이꾸준히연구되어오고있다. 다시말하자면섬유가단순히전통적인의류에만국한되지않고, 반도체산업용으로도매우중요한기판소재로인식되면서새로운섬유의개발이활발하게진행되고있다 년대중반 MIT에서기초연구로제안되었던입을수있는컴퓨터의구현을위해서시작된스마트섬유는좁은의미로는환경대응또는자기감응기능을갖춘섬유를의미하지만, 현재는좀더폭넓은의미로미래지향적반도체기술기반으로기존섬유에서발전가능한전자소자를구성하는섬유를포괄하는넓은개념으로이해되고있다. 현재예고되는미래형기술은가젯 (gadget) 형태의단순히디지털기기를옷에부착하는단계를거쳐 2030 년경에는디지털연산기능을완전히내장하는단계까지발전할것으로예상되고있다. 이에따라웨어러블컴퓨터 (wearable computer) 구현과동시에스마트의류 (smart clothing), 디지털의류 (digital clothing), 인텔리전트웨어 (intelligent wear), 전자텍스타일 (electronic textile) 등의신조어들이더많이사용되고있다. 한국의경우도정부차원에서스마트섬유가미래유망산업이될것으로판단하고국가신성장동력으로육성하고있는데, 산업원천기술로드맵섬유의류분야를보면섬유물질자체에기능성을부여한생각하는섬유 (intelligent 섬유 ), 건강복지증진섬유 (LOHAS 섬유 ), 극한환경섬유 (super 섬유 ), 융합기능섬유 (6T 융합섬유 ) 등을포함하는스마트섬유라는용어가사용되고있으며의류패션소재, 생활환경개선용섬유, 반도체산업용섬유등 3대분야속에서다양한스마트섬유를개발하고있다. 플렉시블플라스틱소재와마찬가지로 e-textile 분야의가장문제가되고있는부분은온도변화에따른고분자기판의수축변화로이러한문제를제어하는것이가장주된관심사이며이를극복하기위해유리섬유 (fiber glass) 직물로내열성을강화한고분자필름기판이개발되는등섬유를이용한반도체구현기술과플렉시블디스플레이기술도점차기술범위를좁혀나가고있는중이다. 이를통한최근개발기술로는섬유 / 반도체기술융합이활발히이루어지면서실 (fiber) 형태의우수한전도성섬유가개발되고있으며, 일예로스웨덴의 Linköings 대학에서는스크린프린팅기법을이용하여직물구조를갖는유기트랜지스터 (textile organic transistor) 까지구현하는등미래전자섬유의새로운가능성을실현하고있다. 전자섬유의기술개발은섬유에유기전자소재를접목시켜안정성을확보하는기술과트랜지스터를이용한전자섬유회로설계기술, 전자섬유와단일소자연결기술등에집중하고있으며개발된직물기반전자부품으로는전도성실을이용한광소자개발, 안테나기술등의직물회로와 ph 센서, 비접촉정전용량센서, 압력센서, 온도센서, 습도센서등의다양한센서소자그리고물리적인움직임으로부터섬유자체가에너지를발생하는에너지하베스팅기술등이개발되고있고, 향후이러한전자부품을섬유속에부착또는삽입하거나직물형성그자체가소자가되는방법들이꾸준히제시되고있다. 2.2 배선소재일반적으로전자소자의배선에이용되는금, 은, 구리와같은금속소재가플렉시블웨어러블디바이스에직접적으로적용되기힘든이유는기계적인강직성에기인하는데, 실제사용범위에따라기판이휘어지거나 250 Journal of Welding and Joining, Vol. 32, No. 3, 2014

5 플렉시블 / 웨어러블일렉트로닉스최신연구동향 37 늘어나는경우에기판의상부와하부에는인장응력과압축응력이라는반대방향의힘이가해지게된다. 하지만이러한경우에도기계적중립면이존재하게되는데적절한소재의적용과더불어이러한원리를효과적으로활용하는것이필요하다 24). 이러한원리를이용하여금배선을플라스틱기판사이에위치시키고배선이뱀모양으로구부러지는디자인을적용하여신축성을향상시켜사람의표피에직접적으로접착이가능하도록만든전자디바이스가최근몇년간에걸쳐지속적으로보고되었다 25). 나아가온도와물리적변형까지측정이가능한다기능적인소자구현이가능하다는것까지구현함으로써다양한응용범위를갖는웨어러블디바이스에의적용가능성을확대시켰다. 현재가장주목받는배선관련연구분야는용액상으로분산되어있는절연체, 반도체, 또는금속기반도체나노입자소재를잉크젯프린팅이나여러종류의직접인쇄기술을통해서전자회로를다른추가적인리소그래피방법을사용하지않고플렉시블기판에직접인쇄하여제작하는방식의채택을가장선호하고있다. 이를인쇄전자로분류하는데이러한방식을이용하여전자소자를제작하기위해서가장중요한소재적인요구사항은우선플라스틱또는유연섬유소재기판을사용함으로재료의공정온도가월등히낮아야하며, 또한원하는소자에적용하기위해필요한전기적물성을보유하고있어야하며, 또한원재료의신뢰성을가장크게요구하고있다. 인쇄전자기술을응용한전도성잉크재료는 RFID (radio-frequency identification) 태그의안테나제작, 소자를직접연결하는접촉전극형성및각각의소자간의연결에필요한전극라인형성등에주로사용되는데, 이때형성되는전도성배선에필요한가장중요한물성은낮은저항값이다. 가장활발하게연구되어지는대표적인전도성잉크재료는전도성고분자용액, 금속나노입자가분산된용액, 탄소나노튜브 (carbon nanotubes) 분산용액및이를응용한복합소재를예로들수있다 26). 이들재료는각각단점과장점을동시에보유하고있어서현재소자구성을위한모든요구사항을충족시키기위해서는공정기술의확립을통한각각의융합성격을갖는복합소재개발이필요하다. 현재상업화가능성이가장크며대규모의연구투자가이뤄지는금속나노입자의경우 RFID 에응용되기위해충분할만큼패턴크기대비높은전도도를보유하고있으나, 이들을분산시키기위해사용되는화학물질을제거하기위해서비교적높은소결온도 (~150 C) 를요구하므로플렉시블기판상적용에어려움이있다. 따 라서좀더낮은온도에서공정이가능한인쇄공정의개발과소결온도에영향을주지않는분산물질을개발하는것이필요하다. 또한전도성고분자의경우높은분산특성과낮은공정온도로공정성이가장용이하나, 전도도가금속나노입자에비해물리적인특성이떨어지는단점이있어이를보완하는방편으로하이브리드형태의혼합물을합성하는것이제시되고있다. 탄소나노튜브분산용액은그물질자체로는일반적인물또는유기용매에분산이어려워표면이화학적으로개질된탄소나노튜브를용매에분산시켜잉크로사용하고있다. 금속나노입자보다는분산도는낮으나비교적높은전도도와낮은공정온도때문에최근에활발히연구되고있다. 인쇄전자기술로제시되는배선관련도체및반도체잉크재료는 RFID 태그의정류기, 인쇄전자기반트랜지스터및메모리소자등으로도사용되는핵심부품소재로서이동도나메모리특성과같은소자의성능에가장중요한영향을미치기때문에대규모연구투자가절실히요구되고있는재료이다. 2.3 구동소자박막트랜지스터소자를플렉시블디바이스에적용하기위한현재개발된최신기술로는기존의반도체공정에쓰이는장비를활용하여실리콘나노리본을제작하여적용하는방법이있다. 이러한박박실리콘리본은 SOI(silicon on insulator) 웨이퍼를이용해구현이가능한데 MEMS 기술과우수한반도체식각장비의기술발전으로일반적인리소그래피공정을통해산화실리콘박막위에실리콘전체공정을진행한후전사기술을거쳐, 원하는고분자플라스틱또는직조된섬유직물기판상전사가가능하다. 이러한공정을이용한단결정무기물기반의트랜지스터구현기술은산업에의직접적용이좀더용이하다는매우큰장점이있다. 나노두께를갖는실리콘트랜지스터의성능은일반웨이퍼형식의트랜지스터와유사한성능을갖고있으며기계적특성이우수하여단일소자의직접전사를통한집적화기술을응용한다면매우복잡한회로구성도가능하다는전망이다. 그외, 주로연구되고있는플렉시블트랜지스터형성기술은저가의공정이용이한유기물기반으로한공정이있다. 이러한유기물트랜지스터를제조하기위한방식으로는다양한프린팅기법, 스핀캐스팅, 기상증착법등이적용가능하며매우유연하여깨지지않는다는점이주목할만하며기존실리콘소자에비해안정성과재현성등이떨어진다는문제점이있으나꾸준 大韓熔接 接合學會誌第 32 卷第 3 號, 2014 年 6 月 251

6 38 강석희 홍석원 히연구되고있는분야이다. 유기물반도체재료가지닌물질의전기적인특성상이론적으로수십 cm²/vs 이상의전하이동도는얻을수없고실제로얻어지는전하의이동도는용액공정을통해서세계적으로가장우수한특성이 0.1~3 cm²/vs 정도로비교적낮은실정이다. 따라서이러한낮은성능으로인해기존의화합물반도체, ZnO 등금속산화물같은무기물반도체재료를나노입자형태로만들고용액상태로제조한무기물반도체재료에대한연구도최근활발히진행되고있다. 이들은모두인쇄전자기반프린팅공정의안정성확보에중요한분산도유지및입자간의균일성유지등이분산안정성이유기물재료에비해서상대적으로낮다. 하지만그소재들이갖는우수한물리적특성은미래트랜지스터, 다이오드등과같은투명전기소자제조에매우큰장점을갖고있다. 비정질금속산화물반도체의경우디스플레이용구동소자로서연구되고있는소재인데우수한전자이동도및높은밴드갭에너지를가짐으로인한투명성으로적용가능성이활발히대두되고있다. 마지막으로탄소기반나노소재의등장으로매우큰발전을이루고있는연구개발부분이탄소나노튜브나그래핀을이용한구동소자의구현이며이들소재의강한기계적, 물리적물질특성으로인해플렉시블또는웨어러블소자구현에매우적합하다는평가를받고있고수많은논문을통해그가능성을확인하고있다. 탄소나노튜브의경우플렉시블트랜지스터구현을위한가장큰주목을받는부분은매우월등한이동도특성으로인해실리콘을대체할수있는물질로제안되고있으며, 반도체특성을띄는단일벽탄소나노튜브의대량생상공정의확립으로웨어러블소자구현을위한가장큰대표소재로확인되고있다. 그래핀의경우탄소나노튜브의발전속도를앞지르는기술발전의눈부신성장을보이고추세이며, 트랜지스터로뿐만아니라투명전극으로사용될수있는가능성이있고투명소자, 전극의두가지특성을동시에활용할수있는매우우수한소재로각광받고있다. 다른종류의수나노급두께를갖는 2차원물질인 MoS 2 와같은소재또한활발히연구중이며화학기상증착에의한합성방식의개선을통해고품질화, 대량생산화, 고성능트랜지스터구현등의많은연구논문들이최근들어플라스틱기판적용예로제시되고있다. 2.4 공정플렉시블 / 웨어러블디바이스를구현하는데에는기존의전기 / 전자소재를합성하는방법과더불어새로운반 도체공정개발이요구되었고대표적인방법으로직접인쇄기술과전사기반인쇄기술로분류될수있다. 인쇄전자기술은책이나신문과같은인쇄물을찍어내는원리를이용하여다양한전자소자를제조하는방식을말한다. 플라스틱같은유연한소재를회전하는롤 (roll) 에감아인쇄하는방법을롤투롤 (roll-to-roll) 공정방식이라이르는데, 기본적으로필요한전자재료를기판에적용하는직접인쇄방법 (direct printing) 이며공정이단순하여제조단가를크게낮출수있다. 이는일반적인인쇄공정방식을응용한기술로아직기존의전자소자를제작하는방법을대체할만큼의정밀도나신뢰성이뒷받침되지는않으나반도체나디스플레이공정들이플렉시블기판기반전자소자를제작하는방향으로연구방향이진행되면서인쇄전자기술이제반기술로자리잡을것으로예상된다. 인쇄전자기술이적용가능한분야는흔히생각하기쉬운플렉시블디스플레이뿐만아니라인쇄형무선주파수인식 (RFID/NFC) 태그, 다양한종류의센서, 태양전지및이차전지같은산업전반에걸쳐존재한다. 인쇄공정에쓰이는공정을살펴보면잉크젯 (ink-jet), 스프레이 (spray), 그라비아 (gravure), 스크린 (screen), 리버스오프셋 (revers offset), 플렉소그래피 (flexography) 등이있다. 잉크젯방식은연속적으로용액을내보내는연속 (continuous) 방식과선택적으로용액을내보내는출력형 (on-demand) 방식이존재하는데후자의경우전자에비해고해상도의인쇄가가능하다. 일반적으로잉크젯방법의경우 20μ m 급의해상도가구현가능하며그라비아인쇄의경우요철부분에도포된잉크중표면부분의잉크를걷어내고홈안쪽에남은잉크를기판에전사하는방법이다. 홈의깊이를조절함으로써전사된잉크의두께를제어하기가용이한방법으로현재가장실용적인면에서큰가능성을보이고있다. 또한, 전기도금과인쇄공정의스프레이분사방식을적용하여플렉시블기판과미세범프를결합하여 LED 나 Cu 필라구조체를만드는연구가보고된바있는데 27-28), 이는플렉시블기판과소재와의접합에있어다양한분야의접목이가능하다는것을보여준다. 각공정별로적용가능해상도, 사용잉크의다양성, 연속공정의가능성, 대면적화의용이함과같은장단점이존재하기때문에각공정들을개발및활용하는연구가지속적으로진행되고있다. 전사기반인쇄기술 (transfer printing) 은희생층을이용한방법으로보조기판과전사 / 인쇄하고자하는물질또는소자사이에선택적으로제거가가능한희생층또는지지층을삽입한후에칭용액을통해희생층을제거함과동시에또는일괄제거후플렉시블또는웨어러블기 252 Journal of Welding and Joining, Vol. 32, No. 3, 2014

7 플렉시블 / 웨어러블일렉트로닉스최신연구동향 39 판상전사-인쇄하는기술이다 29-36) 반도체소자공정과정중에는본래의기판과전사층사이에강한결합력을유지하고플렉시블기판으로전사할경우, 화학적으로쉽게제거되는희생층은기판과반도체소자사이의결합력을약화시킨후고무스탬프 (elastomeric stamp) 를이용해전사하거나용액내에부유한전사층을유연한기판으로직접옮긴다. 앞서언급한 SOI(silicon on insulator) 웨이퍼공정으로일반적인포토리소그래피공정을통해패턴화한단결정실리콘을 HF 용액을이용하여희생층인 SiO 2 를제거한다음유연한고분자기판에전사하여기존유기물을이용한플렉시블트랜지스터보다높은성능을가지는소자를제조할수있다. 희생층물질로써무기물질로는일반적으로 SiO2 및알루미늄, 티타늄등이사용되며, 적절한식각용액만있으면가능하다. 단식각용액이다른소재에불필요한식각이나오염을일으키지않아야한다. 예를들어 HF용액같은경우식각선택성이좋지않아다른소재에영향을미치는경우가있다. 따라서, 효과적인공정을위해물이나아세톤, 톨루엔과같은유기용매에만선택적으로용해되는폴리비닐알콜 (PVA), 폴리메틸메타크릴레이트 (PMMA), 폴리스티렌 (PS) 같은물질이이용된다. PS나 PMMA같은물질은건식식각방법 (RIE) 로도선택적식각이가능한데이는식각속도의차이에기인한다. 필름구조의희생층을활용하는경우에는식각용액이희생층을제거하면서보조기판과전사하고자하는물질사이의결합력을약화시켜용액내에부유하거나틀어지는상황이발생한다. 이는인쇄전사공정을진행할때정렬도조정을통해해결이가능하다 ; 식각공정중상층부를지지해주는방법이있는데이는기판과전사물질간의접착력분배가가능하게하며식각시간의조정을통해잔여희생층이소재의지지체역할을하도록만든다. 다른방법으로, 폴리메틸실록산 (PDMS) 같은고무스탬프를이용하여소재에직접적으로접촉시켜원하는기판에전사시키는방식이있다. 이러한방식은전자디바이스를단순전사가어려운거친기판, 구형모양의렌즈, 인체의피부와같은복잡하고유연한기판으로의전사를가능하게한다. 유연하고불균일한기판에전사하는경우에는소재의유연성을향상시키기위해보통수마이크로수준의두께로소자를제작하게되는데, 소자자체의유연성으로인해이를지지해줄수있는보조기판을사용해야하고이를전사후제거시키는공정이필요하다. 현재사용기판의두께, 모양에따라결합력을조절하여최적의수율로대면적소자를전사하는여러가지방법이연구되고있다. 3. 플렉시블 / 웨어러블디바이스의응용 3.1 전자피부 현재인체의피부와같은생체표면에웨어러블디바이스를부착하여외부의자극이나미세한생체신호를측정하여건강상태를알려주는다기능성의전자피부개발이활발히연구되고있다. 국내의대표적인연구결과로미국스탠포드대학의 Zhenan Bao 연구팀은 PMDS 기판위에스프레이코팅을통하여탄소나노튜브막을형성시킨후두개의탄소나노튜브층사이에잘늘어가는고무층을삽입하여신축성이뛰어난고성능의투명하고휘어지는센서를개발하였다. 비슷한연구결과로서울대서갑양교수팀도압력, 전단응력, 비틀림등의미세자극을감지할수있는센서를개발하였는데, PDMS 를기판으로하여미세돌기구조를형성, 변형률이 5% 미만인상황에서게이지상수가압력의경우 11.5 이상, 전단응력의경우 0.75이상, 비틀림의경우 8.53이상나오는디바이스를제작하였다 37). 3.2 디스플레이신축성이있는디바이스는일반적인전자기기와달리, 피부와같이움직이는임의의표면에탈부착이가능하다. 이러한디바이스에대면적의디스플레이및센서등의소자가결합되게되면전자통신과의료분야에활용이가능하다. 하지만높은전도도를갖는신축성이뛰어난배선을대면적으로연결해야하는기술적난제가존재하였다. 이를해결하기위해최근일본도쿄대의 Someya 연구진은인쇄가능한탄성전도체인단일벽탄소나노튜브를블록공중합체합성방식을응용하여고무탄성체에고르게분산시킴으로써이러한문제의해결책을제시하였는데, 이러한전기배선은 100S/cm 의전도도와 100% 까지늘어나는신축성을보였다. 이들이구현한전도체배선을토대로유기물반도체와유기발광다이오드 (OLED) 결합하여최대 50% 까지늘어나는디스플레이를개발하였다 38). 3.3 전자종이웨어러블디바이스를구현하기위해섬유나종이위에전자소자를구현하는법이연구되고있다. 이러한방법은먼저전기 / 전자소자를제작한뒤섬유나종이위로전사하는방법이대표적이다. 섬유나종이처럼구겨지거나늘어나는기판위에서전자디바이스의성능이떨어지지않고유지하는것이관건인데이는소자의재료, 배선의디자인을효율적으로구성함으로써구현가 大韓熔接 接合學會誌第 32 卷第 3 號, 2014 年 6 月 253

8 40 강석희 홍석원 능하다. 그러한소자에활용되고있는물질로탄소나노튜브, 그래핀, 나노점, 나노선등을들수있다. 미국일리노이대학의 Rogers 교수팀은종이, 가죽, 비닐위에서뛰어난성능으로작동하는 CMOS 논리회로를구현하여보고하였다. 이소자는휘거나, 늘어나거나구겨지는상황에도소자의성능이떨어지지않았는데기존의간단한회로나센서보다더욱진일보한형태로볼수수있으며전자종이구현의진일보된프로토타입을제시하였다. 3.4 헬스케어헬스케어분야에서입는형태로의전자기기적용은착용하기에는무게가많이나가고구조로인한기능적제약등으로인해크게활용되지는못하고있었다. 하지만배터리를비롯한하드웨어의소형화, 경량화등웨어러블플랫폼의발전및기본전자기기와의연동성이크게향상되면서연구분야가매우크게확장되고있다 39-51). 피트니스, 웰니스등의건강관리부분뿐만아니라진단, 수술및치료부문에도확대되고있는데뇌-기계인터페이스분야와의융합으로새로운연구영역을확장하고있다. 뇌-기계인터페이스기술또한웨어러블디바이스에필요한인터페이스들과유사한부분이존재하며, 디바이스를 입는다 는정의에입각하였을때뇌기계인터페이스연구분야는플렉시블, 웨어러블디바이스의접점이확장될것으로예상된다. 아직까지는연구초기단계에있는분야로서플렉시블소자의제작을통한연구가활발히진행되고있다 년미국일리노이대의 Rogers 연구진은질병의진단과치료에활용가능한휘어지는뇌-기계인터페이스플랫폼을개발하였으며 52), 초박막의유연한실리콘트랜지스터디바이스를이용, 뇌의특정반응에대한반응을측정하였고대뇌신피질에서주기적으로발생하는발작이특정한전기적파장으로나타난다는것을보여주었다. 폴리이미드기판을이용한디바이스전극와간격은각각 300 µm, 500 µm 이며총 360 개의채널을제작하였다. 이동도는 ~350cm 2 V -1, 103 이상의점멸비 (on/off ratio) 성능을나타내었다. 그동안헬스케어에활용가능한뇌의자극과기록이가능한디바이스를실제로적용하는데에는많은제약이있었는데그이유는수동센서들이뇌의피질과전극의계면에서독립적으로연결되어야하기때문이었으므로뇌의피질과전극의계면에서고해상도를넓은면적에서유지하는것이문제점이었다. 상기제작된플렉시플디바이스는기존의침습적인, 유연하지않은마이크로전극어레이와비교하였을때, 시간이경과하여도신호의열화가생기지않 으며, 뇌조직이손상되는것을최소화할수있다. 또한, 개별적센서들간의배선문제를해결하여넓은면적에서고해상도의신호측정이용이하다. 4. 결론 1) 플렉시블, 웨어러블전기소자는다양한연구분야가융합, 발전됨에따라산업전반에걸쳐요구되고있는기술로서전자종이, 전자피부, 디스플레이, 센서, 뇌 -기계인터페이스와같은여러형태로발전하고있다. 2) 산업과연동된최적화된유연기판의연구가선행되어야하며, 플라스틱소재와직물형태의기판을이용한연구들이진행중이며, 플렉시블반도체소재또한기존의유 / 무기물에서유 / 무기복합소재, 탄소기반소재, 나노선등다양한구조나물질을활용하려는노력이진행되고있다. 3) 웨어러블디바이스에적용가능한전기전자소자들또한연구되고있으며박막트랜지스터, 센서등을이용한선진화된프로토타입의개발로산업전반에걸쳐적용가능함을보여주고있다. 후 기 이논문은부산대학교자유과제학술연구비 (2년 ) 에의하여연구되었음. Reference 1. R. H. Reuss et al. : Macroelectronics: perspectives on technology and applications, Proc. IEEE, 93 (2005) 1239~ S. P. Lacour et al. : Stretchable interconnects for elastic electronic surfaces, Proc. IEEE, 93 (2005) 1459~ G. M. Whitesides et al. : Microsolidics: fabrication of three-dimensional metallic microstructures in Poly(dimethylsiloxane), Adv. Mater., 19 (2007) 727~ T. Someya et al. : Integration of organic FETs with organic photodiodes for a large area, flexible, and lightweight sheet image scanners, IEEE Trans. Electron Devices, 52 (2005) 2502~ T. Someya et al. : Conformable, flexible, large-area networks of pressure and thermal sensors with organic transistor active matrixes, Proc. Natl. Acad. Sci. USA, 102 (2005) ~ J. A. Rogers et al. : Paper-like electronic displays: Large-area rubberstamped plastic sheets of electronics and microencapsulated electrophoretic inks, Proc. Natl. Acad. Sci. USA, 98 (2001) 4835~ S. R. Forrest. : The path to ubiquitous and low-cost 254 Journal of Welding and Joining, Vol. 32, No. 3, 2014

9 플렉시블 / 웨어러블일렉트로닉스최신연구동향 41 organic electronic appliances on plastic, Nature, 428 (2004) 911~918 8.T.-W. Lee et al. : Organic light-emitting diodes formed by soft contact lamination, Proc. Natl. Acad. Sci. USA, 101 (2004) 429~ M. S. White et al. : Ultrathin, highly flexible and stretchable PLEDs, Nat. Photonic., 7 (2013) 811~ H. M. Lee et al. : Highly conductive aluminum textile and paper for flexible and wearable electronics, Angew. Chem, 125 (2013) 7872~ J. A. Rogers et al. : Ultrathin silicon circuits with strain-isolation layers and mesh layouts for high-performance electronics on fabric, vinyl, leather, and paper, Adv. Mater., 21 (2009) 3703~ Y.-L. Yang et al. : Thick-film textile-based amperometric sensors and biosensors, Analyst, 135 (2010) 1230~ J. Wang et al. : Electrochemical sensing based on printable temporary transfer tattoos, Chem. Commun, 48 (2012) 6794~ M. Kaltenbrunner et al. : An ultra-lightweight design for imperceptible plastic electronics, Nature, 499 (2013) 458~ T. Sekitani et al. : Flexible organic transistors and circuits with extreme bending stability, Nat. Mater., 9 (2010) 1015~ A. Javey et al. : User-interactive electronic skin for instantaneous pressure visualization, Nat. Mater., 12 (2013) 899~ Z. Bao et al. : Light-emitting electronic skin, Nat. Photonics, 7 (2013) S.-I. Park et al. : Printed assemblies of inorganic light-emitting diodes for deformable and semitransparent displays, Science, 325 (2009) 977~ T.-I. Kim et al. : Injectable, cellular-scale optoelectronics with applications for wireless optogenetics, Science, 340 (2013) 211~ K. Suzuki et al. : Substitutional reality system: a novel experimental platform for experiencing alternative reality, Sci. Rep., 2:459 (2012) 1~9 21. L. M Castano et al. : Smart fabric sensors and e-textile technologies: a review, Smart Mater. Struct. 23 (2014) ~ D.-H. Kim et al. : Epidermal Electronics, Science, 333 (2011) 838~ Z. Bao et al. : Skin-like pressure and strain sensors based on transparent elastic flms of carbon nanotubes, Nat. Nanotechnol., 6 (2012) 788~ C.-W. Lee et al. : Fabrication and reliability test of device embedded flexible module, Journal of KWJS, 31 (2013) 84~88 (in Korean) 25.J. A. Rogers et al. : Multifunctional epidermal electronics printed directly onto the skin, Adv. Mater., 25 (2013) 2773~ Z. Bao et al. : A review of fabrication and applications of carbon nanotube film-based flexible electronics, Nanoscale, 5 (2013) 1727~ S. W. Hong et al. : Solderable and electro- platable flexible electronic circuit on a porous stretchable elastomer, Nat. Commun., 3 (2012) 1~8 28. C.-W. Lee et al. : Study on joint of micro solder bump for application of flexible electronics, Journal of KWJS, 31 (2013) 4~10 (in Korean) 29. J. A. Rogers et al. : Electronically programmable, reversible shape change in two- and three-dimensional hydrogel structures, Adv. Mater, 25 (2013) 1541~ J. A. Rogers et al. : Digital cameras with designs inspired by the arthropod eye, Nature, 497 (2013) 95~ S. Bauer et al. : Ultrathin and lightweight organic solar cells with high flexibility, Nat. Commun, 3:770 (2012) 1~7 32. L. Hu et al. : Stretchable, porous, and conductive energy textiles, Nano Lett, 10 (2010) 708~ J. A. Rogers et al. : Dynamically tunable hemispherical electronic eye camera system with adjustable zoom capability, Proc. Natl. Acad. Sci USA, 108 (2011) 1788~ J. A. Rogers et al. : Stretchable GaAs photovoltaics with designs that enable high areal coverage, Adv. Mater., 23 (2011) 986~ D. S. Gray et al. : High-conductivity elastomeric electronics, Adv. Mater., 16 (2004) 393~ D. Brosteaux et al. : Design and fabrication of elastic interconnections for stretchable electronic circuits, IEEE Electron Dev. Lett, 28 (2007) 552~ K.-Y. Suh et al. : A flexible and highly sensitive strain-gauge sensor using reversible inter- locking of nanofibres, Nat. Mater., 11 (2012) 795~ T. Someya et al. : Stretchable active-matrix organic light-emitting diode display using printable elastic conductors, Nat. Mater., 8 (2009) 494~ M. Rodgers et al. : A review of wearable sensors and systems with application in rehabilitation, Journal of NeuroEngineering and Rehabilitation, 9:21 (2012) 1~ P. A. Muennig et al. : What changes in survival rates tell us about US health care. Health Affair, 29 (2010) 2105~ S. P. Gulley et al. : If we build it, who will come? Working-age adults with chronic health care needs and the medical home. Medical Care, 49 (2011) 149~ S. P. Gulley et al. : Ongoing coverage for ongoing care: access, utilization, and out-of-pocket spending among uninsured working-aged adults with chronic health care needs. Am. J. Public Health, 101 (2011) 368~ X.-F. Teng et al. : Wearable medical systems for 大韓熔接 接合學會誌第 32 卷第 3 號, 2014 年 6 月 255

10 42 강석희 홍석원 p-health. IEEE Reviews in Biomedical Engineering, 1 (2008) 62~ P. Bonato et al. : Wearable sensors and systems. From enabling technology to clinical applications. IEEE Eng Med Biol Mag, 29 (2010) 25~ O. Brand et al. : Microsensor integration into systemson-chip. Proceedings of the IEEE, 94 (2006) 1160~ H. H. Asada et al. : Mobile monitoring with wearable photoplethysmographic biosensors. IEEE Eng. Med. Biol. Mag., 22 (2003) 28~ P. Corbishley et al. : Towards a miniaturized, wearable, battery-operated monitoring system. IEEE Trans. Biomed. Eng., 55 (2008)196~ C. H. Ahn et al. : Disposable smart lab on a chip for point-of-care clinical diagnostics. Proc. IEEE, 92 (2004) 154~ M. N. Nyan et al. : A wearable system for preimpact fall detection. J. Biomech., 41 (2008) 3475~ P. Bonato : Wearable sensors/systems and their impact on biomedical engineering. IEEE Eng. Med Biol. Mag., 22 (2003) 18~ X. Hu et al. : Stretchable inorganic- semiconductor electronic systems, Adv. Mater., 23 (2011) 2933~ D.-H. Kim et al. : Flexible, foldable, actively multiplexed, high-density electrode array for mapping brain activity in vivo. Nat. Neuroscience, 14 (2011) 1599~1607 강석희 1987년생, 공학사 부산대학교석사과정 탄소기반전자소자, 고분자자기조립 shkang2443@gmail.com 홍석원 1974년생, 공학박사 부산대학교조교수 탄소기반전자소자, 고분자자기조립 swhong@pusan.ac.kr 256 Journal of Welding and Joining, Vol. 32, No. 3, 2014

융합WEEKTIP data_up

융합WEEKTIP data_up 2016 FEBRUARY vol.07 07 융합 인쇄전자기술 동향 김준혁 융합연구정책센터 발행일 2016. 02. 22 발행처 융합정책연구센터 융합 2016 FEBRUARY vol.07 인쇄전자기술 동향 김준혁 융합연구정책센터 선정 배경 인쇄전자산업은 2016년 300억 달러 규모에 도달할 것으로 예상되는 거대 시장이며, 차세대 태양광과 디스플레이 등에 활용이

More information

<30352DB1E2C8B9C6AFC1FD2028C8ABB1E2C7F6292036302D36362E687770>

<30352DB1E2C8B9C6AFC1FD2028C8ABB1E2C7F6292036302D36362E687770> 3D 나노-마이크로 프린팅 기술의 현황 홍 기 현 한국기계연구원 부설 재료연구소 표면기술 연구본부 3D Nano-micro Printing Technology Kihyon Hong Korea Institute of Materials Science, Gyeongnam 642-831, Korea Abstract: 최근 3D 프린팅 기술을 이용하여 마이크로, 나노

More information

KAERIAR hwp

KAERIAR hwp - i - - ii - - iii - - iv - - v - - vi - Photograph of miniature SiC p-n and Schottky diode detector Photograph SiC chip mounted on a standard electrical package Photograph of SiC neutron detector with

More information

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials OLED 시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials Market, 2017-2 -

More information

jaeryomading review.pdf

jaeryomading review.pdf 4 5 6 7 8 9 10 11 12 13 1. S. Kim, H. Y. Jeong, S. K. Kim, S. Y. Choi and K. J. Lee, Nano Lett. 11, 5438 (2011). 2. E. Menard, K. J. Lee, D. Y. Khang, R. G. Nuzzo and J. A. Rogers, Appl. Phys. Lett. 84,

More information

<30312DC1A4BAB8C5EBBDC5C7E0C1A4B9D7C1A4C3A52DC1A4BFB5C3B62E687770>

<30312DC1A4BAB8C5EBBDC5C7E0C1A4B9D7C1A4C3A52DC1A4BFB5C3B62E687770> Journal of the Korea Institute of Information and Communication Engineering 한국정보통신학회논문지(J. Korea Inst. Inf. Commun. Eng.) Vol. 19, No. 2 : 258~264 Feb. 2015 ID3 알고리즘 기반의 귀납적 추론을 활용한 모바일 OS의 성공과 실패에 대한

More information

[Fig. 4] (a) Properties of OLED as IWO films as anode. (b)fabrication process of QDLED and image of QDLED device using IWO films as anode. [Fig. 3] 정보

[Fig. 4] (a) Properties of OLED as IWO films as anode. (b)fabrication process of QDLED and image of QDLED device using IWO films as anode. [Fig. 3] 정보 바이오인터페이스 기술의 현재와 미래 성균관대학교 정보재료소자연구실(IMDL) 김한기 최근 정보통신 분야의 발전에 따라 기존의 다양한 어플 리케이션들은 평면성을 벗어나 이전부터 요구된 투명유 연하고 깨지지 않는 특성과 더불어 신축성을 가진 특성까 지 요구되고 있다. 이러한 흐름 속에서 투명 전극은 투명 하면서 전도성을 가지고 있는 전극 물질로서 디스플레이, 터치센서,

More information

<91E6308FCD5F96DA8E9F2E706466>

<91E6308FCD5F96DA8E9F2E706466> 㓙 ࡐ ࡓ 㧢 㧝 ޓ ㅢ 㓙 ࡐ ࡓ 㓙 ࡐ ࡓ Si 8th Int. Conf. on Si Epitaxy and Hetero- structures (ICSI-8) & 6th Int. Symp. Control of Semiconductor Interfaces 25 6 2 6 5 250 Si 2 19 50 85 172 Si SiGeC Thin Solid Films

More information

05-1Ưº°±âȹ

05-1Ưº°±âȹ OLED OLED OLED Interlayer λ OLED OLED PM OLED α PM OLED Getter Cover glass Substrate Organic film structure Light emission Anode Sealant ~10VDC Glass Substrate Column: Data line Row: Scan line Metal

More information

Microsoft Word - BC litho.doc

Microsoft Word - BC litho.doc 블록공중합체리소그래피 (Block copolymer lithography) 블록공중합체는두가지이상의고분자가공유결합으로서로연결되어있는구조로 diblock copolymer, triblock copolymer 등으로분류될수있다. 두가지이상의서로성질의고분자가공유결합에의해연결되어있기때문에일정온도와압력에서상분리를하게되는데, 이때형성되는도메인의크기및모양은각각의고분자 segment

More information

I. 회사의 개요 1. 회사의 개요 (1) 회사의 법적ㆍ상업적 명칭 당사의 명칭은 주식회사 이그잭스라고 표기합니다. 영문으로는 exax Inc.라 표기합니다. (2) 설립일자 당사는 1999년 장("KOSDAQ")에 상장하였습니다. 12월 22일에 설립되었으며, 200

I. 회사의 개요 1. 회사의 개요 (1) 회사의 법적ㆍ상업적 명칭 당사의 명칭은 주식회사 이그잭스라고 표기합니다. 영문으로는 exax Inc.라 표기합니다. (2) 설립일자 당사는 1999년 장(KOSDAQ)에 상장하였습니다. 12월 22일에 설립되었으며, 200 반 기 보 고 서 (제 13 기) 사업연도 2011년 01월 01일 2011년 06월 30일 부터 까지 금융위원회 한국거래소 귀중 2011년 08월 16일 회 사 명 : (주)이그잭스 대 표 이 사 : 조근호 본 점 소 재 지 : 경상북도 구미시 공단동 310 (전 화) 054-461-7395 (홈페이지) http://www.exax.co.kr 작 성 책 임

More information

(2) 설립일자 당사는 1999년 장("KOSDAQ")에 상장하였습니다. 12월 22일에 설립되었으며, 2002년 6월 25일에 한국거래소 코스닥시 (3) 본사의 주소, 전화번호, 홈페이지 주소 가. 본사의 주소 : 경상북도 구미시 공단동 310 나. 전화번호 : 05

(2) 설립일자 당사는 1999년 장(KOSDAQ)에 상장하였습니다. 12월 22일에 설립되었으며, 2002년 6월 25일에 한국거래소 코스닥시 (3) 본사의 주소, 전화번호, 홈페이지 주소 가. 본사의 주소 : 경상북도 구미시 공단동 310 나. 전화번호 : 05 분 기 보 고 서 (제 13 기) 사업연도 2011년 01월 01일 2011년 03월 31일 부터 까지 금융위원회 한국거래소 귀중 2011년 05월 16일 회 사 명 : (주)이그잭스 대 표 이 사 : 조근호 본 점 소 재 지 : 경상북도 구미시 공단동 310 (전 화) 054-461-7395 (홈페이지) http://www.exax.co.kr 작 성 책 임

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA Journal of the Korea Institute of Information and Communication Engineering 한국정보통신학회논문지(J. Korea Inst. Inf. Commun. Eng.) Vol. 19, No. 5 : 1031~1039 May. 2015 정보보호 산업의 경제적 파급효과 및 기여도 분석 김방룡 1 홍재표 2* Economic

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

04 김영규.hwp

04 김영규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1121 1127. http://dx.doi.org/1.5515/kjkiees.214.25.11.1121 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Planar

More information

Microsoft PowerPoint - Flexible Display 인쇄본

Microsoft PowerPoint - Flexible Display 인쇄본 PowerPoint 에서는개인정보보호를돕기위해이외부그림을자동으로다운로드하지않습니다. 이그림을다운로드하여표시하려면메시지표시줄에서 [ 옵션 ] 을클릭하고 [ 외부콘텐츠사용 ] 을클릭하십시오. IT 기기의소통창구 : 차세대디스플레이기술동향 차례 I. 디스플레이개요 II. 기술및제품, 시장동향 III. 요약 2 1 디스플레이개요 전자디스플레이 Human Interface

More information

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

-

- World Top 10 by 2030 CONTENTS CONTENTS 02 03 PRESIDENT S MESSAGE 04 05 VISION GOALS VISION GOALS STRATEGIES 06 07 HISTORY 2007 2008 2009 2010 2011 08 09 UNIST POWER 10 11 MPI USTC UNIST UCI UTD U-M GT

More information

<31345FC3E1B0E8C7D0C8B8BBF3BCF6BBF3C0DAC7C1B7CEC7CA5F726576355F3139312D3139372E687770>

<31345FC3E1B0E8C7D0C8B8BBF3BCF6BBF3C0DAC7C1B7CEC7CA5F726576355F3139312D3139372E687770> 삼성고분자학술상 김종만 한양대학교 공과대학 화학공학과 교수 1994 University of Maryland-College Park (박사) 1994-1996 UC-Berkeley (박사후 연구원) 1996-2000 한국과학기술연구원 선임연구원 2000-현재 한양대학교 화학공학과 교수 2010 한양대학교 연구분야 최우수교수상 2010-2012 한양대학교 화공생명공학부

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

5 34-1 5 TEL (02)458-3078, 3079 / FAX (02)458-3077 Homepage http://www.kiche.or.kr / E-mail : kiche@kiche.or.kr NICE NICE NICE O A - 1 P - 1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 O

More information

00내지1번2번

00내지1번2번 www.keit.re.kr 2011. 11 Technology Level Evaluation ABSTRACT The Technology Level Evaluation assesses the current level of industrial technological development in Korea and identifies areas that are underdeveloped

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

17......-..

17......-.. 547-8( 307 ) TEL (02)458-3078, 3079 / FAX (02)458-3047, 3077 Homepage http://www.kiche.or.kr / E-mail : kiche@kiche.or.kr NICE , IC 4km 10km 500m 1,2 200m 5km 2.5km 7 7 12km, 10 5 A B C D E F NICE 2007

More information

KEIT PD Issue Report PD ISSUE REPORT MAY 2014 VOL 스트레쳐블디스플레이개요 스트레쳐블디스플레이는유리 / 실리콘등 rigid substrate 에만구현가능하였던기존의디스플레이와는달리 flexible 기판, 나아가서는 2

KEIT PD Issue Report PD ISSUE REPORT MAY 2014 VOL 스트레쳐블디스플레이개요 스트레쳐블디스플레이는유리 / 실리콘등 rigid substrate 에만구현가능하였던기존의디스플레이와는달리 flexible 기판, 나아가서는 2 스트레쳐블디스플레이기술개발동향 l 저자 l 이정노 PD / KEIT 디스플레이 PD 실 김짐선임 / KEIT 디스플레이 PD 실 양일호대리 / 한국디스플레이산업협회 SUMMARY 목적 미래디스플레이핵심기술중, 스트레쳐블디스플레이의시장전망, 관련 application 및기술동향을 제공하여향후디스플레이 R&D 추진방향가이드제공 주요현황디스플레이는초고해상도 / 저전력

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

탄소연속섬유복합체 제조기술 본분석물은교육과학기술부과학기술진흥기금을지원받아작성되었습니다.

탄소연속섬유복합체 제조기술 본분석물은교육과학기술부과학기술진흥기금을지원받아작성되었습니다. 탄소연속섬유복합체 제조기술 본분석물은교육과학기술부과학기술진흥기금을지원받아작성되었습니다. 머리말 제 1 장서론 1 제 2 장기술의개요 5 제 3 장기술동향분석 42 - i - 제 4 장탄소복합섬유시장전망 88 - ii - 제 5 장결론 107 참고문헌 111 표목차 - iii - 그림목차 - iv - - v - 1 서론 2 출처 : 한국섬유산업연합회, 최신섬유기술동향,

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

±èÇö¿í Ãâ·Â

±èÇö¿í Ãâ·Â Smartphone Technical Trends and Security Technologies The smartphone market is increasing very rapidly due to the customer needs and industry trends with wireless carriers, device manufacturers, OS venders,

More information

정보기술응용학회 발표

정보기술응용학회 발표 , hsh@bhknuackr, trademark21@koreacom 1370, +82-53-950-5440 - 476 - :,, VOC,, CBML - Abstract -,, VOC VOC VOC - 477 - - 478 - Cost- Center [2] VOC VOC, ( ) VOC - 479 - IT [7] Knowledge / Information Management

More information

03-서연옥.hwp

03-서연옥.hwp 농업생명과학연구 49(4) pp.31-37 Journal of Agriculture & Life Science 49(4) pp.31-37 Print ISSN 1598-5504 Online ISSN 2383-8272 http://dx.doi.org/10.14397/jals.2015.49.4.31 국가산림자원조사 자료를 적용한 충남지역 사유림경영율 추정 서연옥

More information

KEIT PD(15-8)-8.26.indd

KEIT PD(15-8)-8.26.indd / KEIT PD / KEIT PD / SUMMARY Society for Information Display(SID) Display Week 2015 R&D `SID 2015' Flexible Display, E-paper, Wearables, Digital signage, Printed electronics, 275 185, (Curved), 2~3, SID

More information

13_1_학회소식_rev5_454-462.hwp

13_1_학회소식_rev5_454-462.hwp 년도 학회상 수상자 프로필 제79회 총회 회의록 일 시 : 년 10월 7일 (수) 장 소 : 대구컨벤션센터/호텔인터불고엑스코 참석자 : 김정안외 228명(위임 84명 포함) 1. 개회사 2. 2016년도 수석부회장 선거 결과 보고 중견학술상 (상패와 상금 300만원) 김동하 회원 (이화여자대학교) 김원종 회원 (포항공과대학교) 벤처기술상 (상패) 백승준 ((주)미뉴타텍)

More information

슬라이드 1

슬라이드 1 공학컴퓨터활용입문 메카트로닉스시스템 메카트로닉스시스템정의 메카트로닉스시스템예 메카트로닉스시스템이란? 메카트로닉스정의 메카트로닉스란용어는메카틱스 ( 기계역학 ) 와일렉트로닉스 ( 전자 ) 의합성어로서 1960 년대말경일본 (Yaskawa Electoric Co.) 에서만들어져 1980 년전후로정착된신조어이며현재는일반적인용어임. 따라서, 메카트로닉스란기계기술과전자제어및정보처리기술을응용하여,

More information

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 Homework #2 [2] 답의예 ( 학생 1): 소폭수정 다양한외부환경을효과적으로검지할수있는센서기술은검지변환, 신호처리및지능화기술등융합 적특성을갖고있음. 현재대부분실용화중심의연구가주류를이루고있으며,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

45-51 ¹Ú¼ø¸¸

45-51 ¹Ú¼ø¸¸ A Study on the Automation of Classification of Volume Reconstruction for CT Images S.M. Park 1, I.S. Hong 2, D.S. Kim 1, D.Y. Kim 1 1 Dept. of Biomedical Engineering, Yonsei University, 2 Dept. of Radiology,

More information

(JBE Vol. 23, No. 5, September 2018) (Regular Paper) 23 5, (JBE Vol. 23, No. 5, September 2018) ISSN

(JBE Vol. 23, No. 5, September 2018) (Regular Paper) 23 5, (JBE Vol. 23, No. 5, September 2018)   ISSN (JBE Vol. 23, No. 5, September 2018) (Regular Paper) 23 5, 2018 9 (JBE Vol. 23, No. 5, September 2018) https://doi.org/10.5909/jbe.2018.23.5.636 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) The

More information

LG Business Insight 1142

LG Business Insight 1142 전자종이가 열어갈 새로운 디스플레이의 길 눈에 편하고 낮은 소비전력, 획기적 유연성으로 무장한 전자종이가 전자책 단말기를 넘어 새로운 응용 분야에 도전하고 있다. 전자종이가 LCD 등을 대신하여 집 거실의 TV까지 대체할 가능성은 현재로서는 거의 없지만 다른 디스플레이들이 갖지 못한 장점을 통해 차별적 가치를 만들어 갈 것으로 보인다. 문희성 책임연구원 hsmoon@lgeri.com

More information

04서종철fig.6(121~131)ok

04서종철fig.6(121~131)ok Development of Mobile Applications Applying Digital Storytelling About Ecotourism Resources Seo, Jongcheol* Lee, Seungju**,,,. (mobile AIR)., 3D.,,.,.,,, Abstract : In line with fast settling trend of

More information

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소 2012 년도기초과학연구소 대학중점연구소심포지엄 마이크로파센서를이용한 혈당측정연구 일시 : 2012 년 3 월 20 일 ( 화 ) 14:00~17:30 장소 : 서강대학교과학관 1010 호 주최 : 서강대학교기초과학연구소 Contents Program of Symposium 2 Non-invasive in vitro sensing of D-glucose in

More information

<30312D B1E2BCFAC6AFC1FD5FB3EBBFEBBFB528C3D6C1BE292E687770>

<30312D B1E2BCFAC6AFC1FD5FB3EBBFEBBFB528C3D6C1BE292E687770> 기술특집 Flexible display 용인쇄 backplane TFT 개발동향및전망 노용영, 한현, 배광태, 조아라, 이혜미 ( 한밭대학교화학공학과 ) Ⅰ. 서론 최근다양한모바일기기의폭발적인인기로인해서가볍고, 떨어뜨려도쉽게부서지지않으며, 구부리거나말아서휴대가가능한 Flexible display에대한연구개발이활발히진행되고있다. 실제로관련기업에서는향후 5년 ~

More information

Layout 1

Layout 1 2015 June vol.1 no.3 Convergence Research Review Convergence Research Review 2015 June vol.1 no.3 03 04 30 34 64 tel. 02-958-4984 http://crpc.kist.re.kr tel. 051-202-9201 Energy Harvesting 34 01 Convergence

More information

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및 (19) 대한민국특허청(KR) (12) 공개특허공보(A) (11) 공개번호 10-2014-0033653 (43) 공개일자 2014년03월19일 (51) 국제특허분류(Int. Cl.) G06F 9/44 (2006.01) G06F 15/16 (2006.01) (21) 출원번호 10-2012-0099738 (22) 출원일자 2012년09월10일 심사청구일자 없음

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 16 Jul.; 27(7), 64662. http://dx.doi.org/./kjkiees.16.27.7.646 ISSN 1226-3133 (Print)ISSN 2288-226 (Online) 2D Microwave Image

More information

½Éº´È¿ Ãâ·Â

½Éº´È¿ Ãâ·Â Standard and Technology of Full-Dimension MINO Systems in LTE-Advances Pro Massive MIMO has been studied in academia foreseeing the capacity crunch in the coming years. Presently, industry has also started

More information

전기전자뉴스레터-여름호수정2

전기전자뉴스레터-여름호수정2 EE-Newsletter 2011. Volume 2 02 04 05 06 2011 / SUMMER 08 09 10 12 14 16 18 20 02 / EE Newsletter Korea Advanced Institute of Science and Technology / 03 04 / EE Newsletter Korea Advanced Institute of

More information

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770>

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 2 pp. 866-871, 2012 http://dx.doi.org/10.5762/kais.2012.13.2.866 증강현실을 이용한 아동교육프로그램 모델제안 권미란 1*, 김정일 2 1 나사렛대학교 아동학과, 2 한세대학교 e-비즈니스학과

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

<31B1E8C0B1C8F128C6ED2E687770>

<31B1E8C0B1C8F128C6ED2E687770> 한국패션디자인학회지 제9권1호 The Korean Society of Fashion Design Vol. 9 No. 1 (2009) pp.1~17 모듈과 웨어넷을 이용한 유비쿼터스 패셔너블 컴퓨터 디자인 연구 - 탈ㆍ부착을 이용한 모듈러 시스템을 중심으로 - A Study on Ubiquitous Fashionable Computer Design Using

More information

00....

00.... Fig. 1 2.5%. 51.5%, 46.0%,.. /, Table 1 (U.V.; Ultraviolet 10-400 nm)/ (NIR; Near Infrared 700 nm - 5 µm) ( TiO 2, WO 3, ZnO, CeO, ATO, Sb 2O 3-ZnO, ITO.) (400 nm - 780 nm). /. Fig. 1.. 23 Table 1. / /

More information

12.077~081(A12_이종국).fm

12.077~081(A12_이종국).fm J. of Advanced Engineering and Technology Vol. 1, No. 1 (2008) pp. 77-81 y w» e wx Á w œw Fabrication of Ceramic Batch Composition for Porcelain by Using Recycled Waste Ceramic Powder Hyun Guen Han, and

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 25 특집 : 차세대잉크프린팅재료및배선기술 Manufacturing Technology of Nanoparticle for Digital Printing Using Clean Process Sung-Jei Hong, Tae-Whan Hong, Sang-Hern Kim and Jeong-In Han 1. 서론 최근전자 정보 통신제품의경 박 단 소화와다기능화추세에따라회로등소자의고밀도패턴닝기술이요구되고있고,

More information

Ⅰ. 석면 1 1) American Geological Institute, Glossary of geology, 2008, http://glossary.agiweb.org 2) US OSHA standard 29CFR1910.1001(b) 2 석면분석전문가양성교육교재 : 편광현미경을이용한고형시료중석면분석 1) Cornelis Klein, The Manual

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Mar.; 25(3), 304310. http://dx.doi.org/10.5515/kjkiees.2014.25.3.304 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

Journal of the Korean Society of Mechanical Engineers 기 계 저 널 2012 8 43 43 ISSN 1226-7287 Vol. 52, No. 8 August CONTENTS 04 이 달의 화보 05 11 인터뷰 14 16 18

Journal of the Korean Society of Mechanical Engineers 기 계 저 널 2012 8 43 43 ISSN 1226-7287 Vol. 52, No. 8 August CONTENTS 04 이 달의 화보 05 11 인터뷰 14 16 18 기 계 저 널 Vol. 52, No. 8 August 2012 8 8 테마기획 : BioMEMS 연구 동향 커버스토리-테마기획 BioMEMS 연구 동향 인터뷰 한국원자력안전기술원 박윤원 원장 동아리 탐방 포항공과대학교 로봇동아리, 파워온(POWER-ON) 특별회원사 소개 (주)에이치케이밸브 전문가 연재 한국기계공업사 Journal of the Korean Society

More information

ICT À¶ÇÕÃÖÁ¾

ICT À¶ÇÕÃÖÁ¾ Ver. 2012 T TA-11104-SA 4 21 21 42 65 91 103 124 140 161 187 Ver. 2012 ICT Standardization Strategy Map 4 Ver. 2012 Ver. 2012 5 ICT Standardization Strategy Map 6 Ver. 2012 Ver. 2012 7 ICT Standardization

More information

제목 차례

제목 차례 목 차 Ⅰ. 인쇄전자 기술, 시장현황 및 소재 장비동향 35 1. 인쇄전자 정의 및 개요 35 1-1. 인쇄전자 정의 및 개념 35 1) 인쇄전자 정의 35 2) 인쇄전자산업의 구조와 특징 39 3) 인쇄전자 산업분류 40 (1) 소재 41 (2) 장비 41 (3) 소자 41 4) 인쇄전자의 장단점 41 (1) 인쇄전자의 장점 41 (2) 인쇄전자의 단점 42

More information

인문사회과학기술융합학회

인문사회과학기술융합학회 Vol.5, No.5, October (2015), pp.471-479 http://dx.doi.org/10.14257/ajmahs.2015.10.50 스마트온실을 위한 가상 외부기상측정시스템 개발 한새론 1), 이재수 2), 홍영기 3), 김국환 4), 김성기 5), 김상철 6) Development of Virtual Ambient Weather Measurement

More information

10 이지훈KICS2015-03-068.hwp

10 이지훈KICS2015-03-068.hwp 논문 15-40-05-10 The Journal of Korean Institute of Communications and Information Sciences '15-05 Vol.40 No.05 http://dx.doi.org/10.7840/kics.2015.40.5.851 가로등 인프라를 활용한 안전한 스마트 방범 시스템 차 정 화, 이 주 용 *, 이

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

첨단과학 술과기존소재를이용한복합형스트레처블전극기술을 중점으로연구동향을살펴보려한다. 2. 스트레처블기판 (Stretchable substrate) 기술 [Fig. 2] Applications of stretchable electronics including stretch

첨단과학 술과기존소재를이용한복합형스트레처블전극기술을 중점으로연구동향을살펴보려한다. 2. 스트레처블기판 (Stretchable substrate) 기술 [Fig. 2] Applications of stretchable electronics including stretch 차세대스트레처블전극의기술개발동향 https://doi.org/10.5757/vacmac.4.2.15 이상목, 임지은, 김한기 Technical trend of stretchable electrodes Sang-Mok Lee, Ji-Eun Lim, Han-Ki Kim This article reviews technical trend in research of

More information

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis 그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Display Panel(PDP) 의상용화가급속히이루어지면서기존의브라운관을대체해가고있다. 특히,

More information

2010 산업원천기술로드맵요약보고서 - 화학공정소재

2010 산업원천기술로드맵요약보고서 - 화학공정소재 2010 산업원천기술로드맵요약보고서 - 화학공정소재 - 2010. 7 본요약보고서는한국산업기술진흥원주관으 로수립되고있는 2010 년도산업원천기술로 드맵의일부내용을발췌한것입니다. 산업원천기술로드맵전체내용을담은 2010 산업원천기술로드맵보고서 는오는 8월한국산업기술진흥원홈페이지 (www.kiat.or.kr) 를통해공개될예정입니다. 목 차 Ⅰ. 화학공정소재산업의정의및범위

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

09È«¼®¿µ 5~152s

09È«¼®¿µ5~152s Korean Journal of Remote Sensing, Vol.23, No.2, 2007, pp.45~52 Measurement of Backscattering Coefficients of Rice Canopy Using a Ground Polarimetric Scatterometer System Suk-Young Hong*, Jin-Young Hong**,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 연구논문 인쇄배선과이종재료기판과의접합계면 김근수 허석환 호서대학교융합기술연구소 오사카대학산업과학연구소 삼성전기 사업부 Interfacial Microstructures between Ag Wiring Layers and Various Substrates Keun-Soo Kim*, Katsuaki Suganuma** and Seok-Hwan Huh***, *Fusion

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Jan.; 25(1), 47 52. http://dx.doi.org/10.5515/kjkiees.2014.25.1.47 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Circuit

More information

한국전지학회 춘계학술대회 Contents 기조강연 LI GU 06 초강연 김동욱 09 안재평 10 정창훈 11 이규태 12 문준영 13 한병찬 14 최원창 15 박철호 16 안동준 17 최남순 18 김일태 19 포스터 강준섭 23 윤영준 24 도수정 25 강준희 26

한국전지학회 춘계학술대회 Contents 기조강연 LI GU 06 초강연 김동욱 09 안재평 10 정창훈 11 이규태 12 문준영 13 한병찬 14 최원창 15 박철호 16 안동준 17 최남순 18 김일태 19 포스터 강준섭 23 윤영준 24 도수정 25 강준희 26 2015 한국전지학회 춘계학술대회 2일차 한국전지학회 춘계 학술대회(신소재 및 시장동향 관련 주제 발표) 시간 제목 비고 세션 1 차세대 이차전지용 in-situ 분석기술 좌장 : 윤성훈 09:00~09:30 Real-time & Quantitative Analysis of Li-air Battery Materials by In-situ DEMS 김동욱(한국화학연구원)

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 113118. http://dx.doi.org/10.5515/kjkiees.2015.26.1.113 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) A Retro-Directive

More information

[ 모바일폰의변화와신규재료도입 ] 블디스플레이시장은 2022 년까지 5,000~8,000 만대 수준으로확대되고이후급격하게증가할것으로전 망되며, 스마트폰에서부터 TV, 자동차, VR 등의기 기로도점차용도가확대되고다양화될것이라예 측되고있다. 앞으로는모바일폰이태블릿 PC 로

[ 모바일폰의변화와신규재료도입 ] 블디스플레이시장은 2022 년까지 5,000~8,000 만대 수준으로확대되고이후급격하게증가할것으로전 망되며, 스마트폰에서부터 TV, 자동차, VR 등의기 기로도점차용도가확대되고다양화될것이라예 측되고있다. 앞으로는모바일폰이태블릿 PC 로 미래디스플레이소재기술을선도하는 SK 이노베이션 윤호철 Project Leader( 수석연구원 ) SK 이노베이션기술혁신연구원 starlight@sk.com 플렉서블디스플레이 (Flexible Display) 의대두 최근스마트폰, 노트북, 태블릿등에적용되는플 렉서블디스플레이에대한관심이높아지고있다. 플렉서블디스플레이란기존의딱딱한디스플레이 를접거나말수도있는디스플레이를말하며최근

More information

농학석사학위논문 폴리페닐렌설파이드복합재료의기계적및열적 특성에영향을미치는유리섬유 환원된 그래핀옥사이드복합보강재에관한연구 The combined effect of glass fiber/reduced graphene oxide reinforcement on the mecha

농학석사학위논문 폴리페닐렌설파이드복합재료의기계적및열적 특성에영향을미치는유리섬유 환원된 그래핀옥사이드복합보강재에관한연구 The combined effect of glass fiber/reduced graphene oxide reinforcement on the mecha 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

09오충원(613~623)

09오충원(613~623) A Study of GIS Service of Weather Information* Chung-Weon Oh**,..,., Web 2.0 GIS.,.,, Web 2.0 GIS, Abstract : Due to social and economic value of Weather Information such as urban flooding, demand of Weather

More information

untitled

untitled 2007 ITMA Consolidation Printing Contents Textile Printing TEXTILE PRINTING MARKET Fiber/Substrate Choice Polyamide 3% Polyester/ Cellulose 12% Rayon 14% Polyester 18% Wool/Silk 1% Cotton 52% Colorant

More information

<30332DB1E2C8B9C6AFC1FD2DC1B6C1A4C8A D E687770>

<30332DB1E2C8B9C6AFC1FD2DC1B6C1A4C8A D E687770> 김범준 조정호 숭실대학교유기신소재 파이버공학과 Organic Thin Film Transistors Fabricated by Printing Process Beom Joon Kim and Jeong Ho Cho Department of Organic Materials and Fiber Engineering Abstract: 가격이저렴하고충격에의해깨지지않으며구부리거나접을수있는미래형디스플레이의구현을위해이를구성하는기본단위소자인유기박막트랜지스터

More information

목차 생활용품오염물질방출시험및방출특성연구 (IV) - 전기 전자제품방출오염물질권고기준 ( 안 ) 도출 - ⅰ ⅱ ⅲ Abstract ⅳ 환경기반연구부생활환경연구과 Ⅰ,,,,,, 2010 Ⅱ i

목차 생활용품오염물질방출시험및방출특성연구 (IV) - 전기 전자제품방출오염물질권고기준 ( 안 ) 도출 - ⅰ ⅱ ⅲ Abstract ⅳ 환경기반연구부생활환경연구과 Ⅰ,,,,,, 2010 Ⅱ i 목차 2010-33-1208 11-1480523-000711-01 생활용품오염물질방출시험및방출특성연구 (IV) - 전기 전자제품방출오염물질권고기준 ( 안 ) 도출 - ⅰ ⅱ ⅲ Abstract ⅳ 환경기반연구부생활환경연구과 Ⅰ,,,,,, 2010 Ⅱ i 목차 목차 Ⅲ Ⅳ i ii 목차 Abstract iii iv Abstract Ⅰ. 서론 Ⅰ iv 1 Ⅰ.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

슬라이드 1

슬라이드 1 Various Aspects of Engineering 1. Design - Effective Design = Structure + Material 2. Manufacturing - Fabrication(-ing technology) - Performance Test 3. After-Service - Reliability and Maintenance - Failure

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

2 : (JEM) QTBT (Yong-Uk Yoon et al.: A Fast Decision Method of Quadtree plus Binary Tree (QTBT) Depth in JEM) (Special Paper) 22 5, (JBE Vol. 2

2 : (JEM) QTBT (Yong-Uk Yoon et al.: A Fast Decision Method of Quadtree plus Binary Tree (QTBT) Depth in JEM) (Special Paper) 22 5, (JBE Vol. 2 (Special Paper) 22 5, 2017 9 (JBE Vol. 22, No. 5, Sepember 2017) https://doi.org/10.5909/jbe.2017.22.5.541 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) (JEM) a), a), a) A Fast Decision Method of Quadtree

More information

<30312DC1A4BAB8C5EBBDC5C7E0C1A4B9D7C1A4C3A528B1E8C1BEB9E8292E687770>

<30312DC1A4BAB8C5EBBDC5C7E0C1A4B9D7C1A4C3A528B1E8C1BEB9E8292E687770> Journal of the Korea Institute of Information and Communication Engineering 한국정보통신학회논문지(J. Korea Inst. Inf. Commun. Eng.) Vol. 18, No. 11 : 2593~2599 Nov. 2014 오픈소스 모바일 UI컴포넌트 선정 절차 프레임워크 손효정 1 이민규 2 성백민

More information

TUT brochure Korean pptx

TUT brochure Korean pptx 국립대학 토요하시기술과학대학 Toyohashi University of Technology Korean Toyohashi Campus Master Technology, Create Technology Penang Campus City of Toyohashi 2018..8 Toyohashi University of Technology! 아이치현 Sapporo!

More information

(72) 발명자 강경태 서울서초구반포본동반포주공아파트 110 동 105 호 이상호 서울관악구봉천 9 동 윤규영 인천남구용현동 신권용 서울구로구천왕동천왕이펜하우스 6 단지 601 동 1203 호 이발명을지원한국가연구개발사업 과제고유번호 ES-1

(72) 발명자 강경태 서울서초구반포본동반포주공아파트 110 동 105 호 이상호 서울관악구봉천 9 동 윤규영 인천남구용현동 신권용 서울구로구천왕동천왕이펜하우스 6 단지 601 동 1203 호 이발명을지원한국가연구개발사업 과제고유번호 ES-1 (19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) (45) 공고일자 2013년11월20일 (11) 등록번호 10-1331589 (24) 등록일자 2013년11월14일 (51) 국제특허분류 (Int. Cl.) H01L 21/28 (2006.01) (21) 출원번호 10-2012-0052189 (22) 출원일자 2012 년 05 월 16 일 심사청구일자

More information

<30315F3037B3AAB3EBB8DEBDACC7FC20C5F5B8EDC0FCB1D820B1E2BCFAB5BFC7E25FB3EBBFEBBFB528BCF6C1A431292E687770>

<30315F3037B3AAB3EBB8DEBDACC7FC20C5F5B8EDC0FCB1D820B1E2BCFAB5BFC7E25FB3EBBFEBBFB528BCF6C1A431292E687770> 기술특집 나노메쉬형투명전극기술동향 김동윤 2, 김동유 2, 배광태 1, 한현 1, 노용영 1,* ( 1 한밭대학교화학공학과, 2 광주과학기술원신소재공학과 ) Ⅰ. 서론 최근평판디스플레이나태양전지의발달로인해서투명한전극소재에대한사용량이비약적으로증가하였다. 하지만기존의 ITO(indium tin oxide) 을기반으로하는투명전극 (Transparent electrode)

More information

29 Ⅰ. 서론 물리학자들이 전파의 이론을 정립한 이후, 이를 기술적으로 실현함은 물론 적정 수준의 19세기 물리학자인 페러데이, 맥스웰, 헤르츠 등의 연구 결과로 인류는 전기장과 자기장의 변화 에 따른 전파를 만들어 낼 수 있게 되었고, 인류에 게 있어 없어서는 안되

29 Ⅰ. 서론 물리학자들이 전파의 이론을 정립한 이후, 이를 기술적으로 실현함은 물론 적정 수준의 19세기 물리학자인 페러데이, 맥스웰, 헤르츠 등의 연구 결과로 인류는 전기장과 자기장의 변화 에 따른 전파를 만들어 낼 수 있게 되었고, 인류에 게 있어 없어서는 안되 Journal of Communications & Radio Spectrum SPECIAL ISSUE 28 TREND REPORT 통신 및 비통신용 전파응용 기술 이슈 및 시사점 글 황태욱 경희대학교 연구교수 (031) 201-3254, twhwang@khu.ac.kr 주제어: 밀리미터파, 테라헤르츠파, 전파응용 기술, ISM 기기 전파자원의 부족문제에 대한

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information