Microsoft PowerPoint - e2.ppt [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - e2.ppt [호환 모드]"

Transcription

1 임베디드시스템하드웨어구조 2. 임베디드시스템하드웨어 / 프로세서 SRAM/ROM CPU timer interrupt UART GPIO DMA USB I/F LAN ROM Flash SRAM 2 - 마이크로프로세서를사용한시스템 - 마이크로콘트롤러를사용한시스템 microprocessor timer interrupt DMA CPU micro-, SoC timer interrupt DMA SRAM/ROM UART GPIO USB I/F LAN SRAM/ROM UART GPIO USB I/F LAN ROM Flash SRAM ROM Flash SRAM 3 4

2 임베디드프로세서 AVR AVR 인텔 8051 인텔 386 ARM7, ARM9, ARM Cortex A7/A8/A9, Xscale AE3200 MIPS PPC (PowerPC) S390 2K * 16 Program Memory Instruction Register Instruction Register Control lines AVR CPU Program Counter Direct addressing Indirect addressing 8 비트 AVR RISC core 를내장한 Atmel 사의 micro Status & Control 32 * 8 General Purpose Registers ALU 128 * 8 Data SRAM 256 * 8 EEPROM 20 I/O Lines Interrupt Unit SPI Unit Serial UART 8-bit Timer /counter 16bit Timer /Counter with PWM Watchdog Timer A/D Converter Analog Comparator 5 6 -AVR 의종류 - Arduino 내장된메모리와외부접속장치규모에따라분류 tiny AVR (ATtiny..) 512B ~16KB program memory, 6~32 pin, 제한된입출력제어기 ( 용도 ) 메인마이컴보다여러회로를하나로구현한보조역할의칩 mega AVR (ATmega..) 4~512KB program memory, 28~100 pin, 추가된입출력제어기 확장명령어집합 ( 용도 ) 대형이고입 / 출력핀이많은시스템. 무선전화기, 프린터용제어기, FAX, CD-ROM 제어기, 통신장비 XMEGA (ATxmega..) 16~384KB program memory, 32/44/64/100 pin, 저전력, 고성능, 풍부한 peripherals (DMA, USB, LCD 제어기, Crypto 엔진등 ), advanced ADCs AVR32 : 32 비트 AVR UC3 마이크로콘트롤러 (AT32UC3..) 오픈소스기반의 AVR 기반마이크로콘트롤러보드 2005 년에 Italy 의 Interaction Design Institute Ivrea 에서학생들의교육을위한프로젝트로시작 Arduino 프로그램 ( 스케치 ) 은 C/C++ 언어로작성 Arduino 제어와입출력장치제어를위한 library 들이제공됨 많은수의간단한입출력장치들을입수가능 Arduino USB ATMega328P 사용 Arduino Mega ATMega2560 사용 7 8

3 8051 마이크로콘트롤러 80386EX Embedded Microprocessor 1980 대초부터현재까지사용되고있는 Intel 사의 8 비트 micro 모뎀, 타자기, 게임기등에광범위하게사용됨 현재도 Atmel, Maxim, NXP, TI, Cypress 등많은회사에서호환제품출시 Intel 80386SX core 를내장 large software 기반 Interrupt Control 4KByte ROM 128 byte RAM Timer1 Timer0 CPU 버스제어부 8-bit 병렬 I/O 포트 4 개 직렬포트 P0 P1 P2 P3 TxD RxD 9 10 ARM ARM Architecture 의종류 영국 ARM (Advanced RISC Machine) 사에서설계한 RISC CPU core 반도체회사 /SoC 제조사에서주변장치를추가하여 SoC 형태로판매 Apple, Atmel, Broadcom, Cypress, Freescale, Nvidia, NXP, Qualcomm, Samsung, ST Microelectonics, Texas Instruments 32bit RISC Architecture 간단하고도강력한명령어지원 32bit ARM 명령과 16bit Thumb 명령을제공 Jazelle core 를확장한경우 8bit Java byte 코드도실행가능 저전력소모 Fast interrupt 지원 빠른인터럽트처리를위해별도의 fast 인터럽트방식제공 fast 인터럽트를위한별도의레지스터를가지고있어서비스루틴 (Interrupt Service Routine) 을작성할때레지스터를저장하고복구하는시간을줄일수있다 Thumb ARMv8 32/64-bit ARMv7 Cortex ARM1176 Java Enhanced DSP 11 12

4 ARM Architecture 의종류 (2) Cortex Application Processors Cortex Application Processors (Cortex-A..) 복잡한운영체제를수행시킬수있는성능제공, MMU 내장 Linux, Android/Chrome, Windows CE/Embedded 등 single-core 또는 multi-core 내장 optional NEON multimedia processing blocks and advanced Floating Point execution units. Applications Smartphone Smartbook & Netbooks ebook Readers Digital TV Set-top Box & Sattellite Receiver Home Gateways High-End Printers 등 Cortex Embedded Processors ARM-based processor Samsung S3C6410 Cortex-M series processors (Cortex-M..) - embedded have been developed primarily for the micro domain where the need for fast, highly deterministic, interrupt management is coupled with the desire for extremely low gate count and lowest possible power consumption. Applications: Micros, Mixed signal devices, Smart sensors, Automotive body electronics and airbags Cortex-R series processors (Cortex-R..) real-time however have been developed for deeply embedded real-time applications where the need for low power and good interrupt behaviour are balanced with exceptional performance and strong compatibility with existing platforms. Applications: Automative braking systems, Powertrain solutions, Mass storage, Networking & Printing ARM1176 ARMv6KZ 15 16

5 Samsung S5C110 ARM Cortex A8 based Mobile Application Processor Samsung Exynos 4210 ARM Cortex A9 (dual core) Samsung Exynos 5420 ARM Cortex A15 (quad core) + ARM Cortex A7 (quad core) - Samsung Exynos bit ARM Cortex A57 (quad) + ARM Cortex A53 (quad) Galaxy S5 Galaxy S

6 ARM-based processor Intel PXA255 ARM-based processor Marvell PXA320 Now Marvell PXA255 PXA270 PXA300/310 PXA320 XScale core Marvell ARMADA610 Qualcomm Snapdragon Krait Snapdragon 에서사용하는 ARM-based CPU Cortex-A15 와유사한구조 (ARMv7-A instruction set) Galaxy S

7 - Snapdragon 820 ARM 기반소형보드 BeagleBone Kryo CPU 64-bit ARMv8-A instruction set ARM Cortex-A53 과유사한구조 Beagle Board Beaglebone Black Hexagon DSP - TI OMAP3530 사용 (ARM Cortex-A8, TI DSP core 내장 ) - 리눅스지원 - TI AM3358 Sitara processor (ARM Cortex-A8) Galaxy S ARM 기반소형보드 : Raspberry Pi Raspberry Pi Raspberry Pi 2 / Raspberry Pi 3 - Broadcom BCM2835 사용 (ARM1176JZ-F, VideoCoreIV multimedia 프로세서내장 ) - 리눅스지원, Phython 언어 - Broadcom BCM2836 (Cortex A7) / BCM2837 (Cortex A8, 64-bit) 사용 TI AM335x 27 28

8 PowerPC 계열프로세서 PowerPC: IBM, Apple, Motorola( 현재 Freescale) 3 사가공동개발 ( 예 ) AMCC(AppliedMicro) 사의 PPC405GP embedded processor - NXP freescale MPC5748 Automotive & Industrial Control and gateway 용 powerpc MCU NXP( 네덜란드회사 ) 2015 년 freescale 을인수 Freescale(now NXP) ColdFire/68K ColdFire - Motorola 680x0 프로세서구조를 Freescale 사에서 embedded 시스템용으로개선한프로세서, 68K 와 assembly source compatible MIPS 계열프로세서 Cisco 라우터, Sony Playstation 등에사용됨 ( 예 ) Cavium Network 사의 OCTEON II CN68xx Freescale MCF51Qx 31 32

9 MIPS 계열프로세서 (2) Tilera NetLogic 사의 XLR500 scalable communication processor MIPS64 기반, 최대 8 MIPS core, 각 core 는 4-way multi-threaded 개의 VLIW processor cores(tiles) 를 imesh on-chip network 으로연결한고성능임베디드프로세서 Processor core 내장 FPGA Cyclone V ARM based SoC Altera Excalibur ARM922T core 내장 FPGA Xilinx Virtex 4 PowerPC405 core 내장 FPGA Xilinx Microblaze Xilinx사의 FPGA에내장될수있는 softcore processor Altera NIOS-II Altera사의 FPGA에내장될수있는configurable processor Altera Cyclone V SoC, Arria 10 SoC dual-core ARM cortex-a9 MPCore 내장 FPGA Xilinx Zynq-7000 SoC dual-core ARM Cortex-A9 Processor 내장 FPGA 35 36

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3,

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3, 삼성전자 005930 Jul 18, 2012 Buy [유지] TP 1,850,000원 [유지] Company Data 현재가(07/17) 1,168,000 원 액면가(원) 5,000 원 52 주 최고가(보통주) 1,410,000 원 52 주 최저가(보통주) 680,000 원 KOSPI (07/17) 1,821.96p KOSDAQ (07/17) 480.61p

More information

Integ

Integ HP Integrity HP Chipset Itanium 2(Processor 9100) HP Integrity HP, Itanium. HP Integrity Blade BL860c HP Integrity Blade BL870c HP Integrity rx2660 HP Integrity rx3600 HP Integrity rx6600 2 HP Integrity

More information

Microsoft Word - 최신IT동향.doc

Microsoft Word - 최신IT동향.doc 주간기술동향 통권 1466 호 2010. 10. 6. 프로세서 시장에서 전면적 경쟁에 들어선 인텔과 퀄컴 * PC 프로세서와 휴대전화 프로세서 시장의 절대강자인 인텔과 퀄컴이 중간지대인 휴대형 모바 일 단말 프로세서 시장에서 정면 충돌하고 있는 가운데, 인텔은 성능을, 퀄컴은 통신 처리 기능 을 앞세워 시장경쟁에 돌입 인텔과 퀄컴은 태블릿 PC 를 비롯하여

More information

ARM01

ARM01 0 1 Chapter 1.1 1.2 1.3 1.4 1.5 ARM System Developer s guide 32, ARM., ARM,,,. ARM 1985, ARM1, 2001 20 ARM. ARM,., ARM,., ARM ARM7TDMI, 120 Dhrystone MIPS 1),. ARM7TDMI. ARM, RISC(Reduced Instruction Set

More information

Microsoft Word - 20160119172619993.doc

Microsoft Word - 20160119172619993.doc 반도체 in 2016 CES 메모리 반도체 응용처 확대 가능성 확인 2016년 CES 전시 주요 기술과 Device 모두 향후 메모리 반도체 수요 견인 가능성 충분 반도체 Analyst 박영주 02-6114-2951 young.park@hdsrc.com RA 주영돈 02-6114-2923 ydjoo89@hdsrc.com VR 시장의 성장 개시.. IT 기기의

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례

AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례 모바일 클라우드 서비스 융합사례와 시장 전망 및 신 사업전략 2011. 10 AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례 AGENDA 01. 모바일 산업의 환경 변화 가치 사슬의 분화/결합 모바일 업계에서도 PC 산업과 유사한 모듈화/분업화 진행 PC 산업 IBM à WinTel 시대 à

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte 스마트폰을 위한 A/V 신호처리기술 편집위원 : 김홍국 (광주과학기술원) 스마트폰에서의 영상처리를 위한 GPU 활용 박인규, 최호열 인하대학교 요 약 본 기고에서는 최근 스마트폰에서 요구되는 다양한 멀티미 디어 어플리케이션을 embedded GPU(Graphics Processing Unit)를 이용하여 고속 병렬처리하기 위한 GPGPU (General- Purpose

More information

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Microprocessor) 1. 마이크로프로세서란? 1 작은실리콘칩위에트랜지스터를수천만개집적한소자 2 마이크로 [μ] 는매우작은크기, 프로세서는처리기혹은 CPU를뜻하므로

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

<4D6963726F736F667420576F7264202D203036B1C7BFF8BFC128C6AFC1FD292DC3D6C1BE>

<4D6963726F736F667420576F7264202D203036B1C7BFF8BFC128C6AFC1FD292DC3D6C1BE> 마이크로서버 기술동향 소프트웨어 기술동향 특집 권원옥 (W.O. Kwon) 김학영 (H.Y. Kim) 김영우 (Y.U. Kim) 최용석 (Y. S. Choi) 정영우 (Y.U. Jung) 정병권 (B.K. Jung) 오명훈 (M..-H. Oh) 박찬호 (C.H. Park) 권혁제 (H.J. Kwon) 서버플랫폼연구실 실장 서버플랫폼연구실 책임연구원 Ⅰ. 서론

More information

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770>

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770> 표지 면지와 동일 SW 플랫폼 해법: SoC 융합으로 임채덕, 김선태, 정영준, 김태호, 유현규 목 차 1. 서론 1 2. 플랫폼 현주소 2 2.1. 모바일 OS 엿보기 2 2.2. SW 기업의 최신 동향 4 2.3. SoC 기업의 최신 동향 7 2.4. 시사점 11 3. SW 플랫폼 Innovative Mover 전략 13 3.1. 후발 주자의 고민 13

More information

EmbeddedSoC_1주차.PDF

EmbeddedSoC_1주차.PDF 1 H/W 2 Example) PC is NOT an embedded system. 3 RCW Mirus 4 TRON OS Real-time Operating System MS WinCE 5 Must provide correct results at required time deadline For examples Security system that checks

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit System-on-Module(SoM) 솔루션입니다. 1 GHz ARM Cortex -A8 core의높은성능,

More information

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770>

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770> 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 연구위원 이 주 완 joowanlee@hanaif.re.kr 02)2002-2683 요 약 IT 산업에 미치는 영향 프리미엄 제품 공급자 중심으로 재편 스마트폰은 단순히 기능이 추가된

More information

[ 마이크로프로세서 1] 1 주차 2 차시. 마이크로프로세서와마이크로컨트롤러발달과정 1 주차 2 차시마이크로프로세서와마이크로컨트롤러발달과정 학습목표 1. 마이크로프로세서발달과정과종류를설명할수있다. 2. 인텔 8051 계열과아트멜 (Atmel) 의특성을설명할수있다. 학습

[ 마이크로프로세서 1] 1 주차 2 차시. 마이크로프로세서와마이크로컨트롤러발달과정 1 주차 2 차시마이크로프로세서와마이크로컨트롤러발달과정 학습목표 1. 마이크로프로세서발달과정과종류를설명할수있다. 2. 인텔 8051 계열과아트멜 (Atmel) 의특성을설명할수있다. 학습 1 주차 2 차시마이크로프로세서와마이크로컨트롤러발달과정 학습목표 1. 마이크로프로세서발달과정과종류를설명할수있다. 2. 인텔 8051 계열과아트멜 (Atmel) 의특성을설명할수있다. 학습내용 1 : 마이크로프로세서발달과정 1. 마이크로프로세서종류 1 인텔 ( intel) 80 계열 2 모토롤라 (motorola) 68 계열 2. 인텔 80 계열 1 최초 1971

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

Microsoft PowerPoint - 발표_090513_IBM세미나_IPTV_디디오넷_완료.ppt

Microsoft PowerPoint - 발표_090513_IBM세미나_IPTV_디디오넷_완료.ppt 신후랑 팀장, 디디오넷 (010-8752-4952, hrshin@dideonet.com) 05/20/2009 BIZ in a box - Solution for Enterprise IPTV 2 UNIX vs. x86 Non-x86 UNIX 2008 2007 0% Y/Y Total x86 2008 2007-25.3% Y/Y 0 200 400 600 800 3 Why

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

1. PVR Overview PVR (Personal Video Recorder), CPU, OS, ( 320 GB) 100 TV,,, Source: MindBranch , /, (Ad skip) Setop BoxDVD Combo

1. PVR Overview PVR (Personal Video Recorder), CPU, OS, ( 320 GB) 100 TV,,, Source: MindBranch , /, (Ad skip) Setop BoxDVD Combo PVR 1. PVR Overview 2. PVR 3. PVR 4. PVR 2005 10 MindBranch Asia Pacific Co. Ltd 1. PVR Overview 1.1. 1.1.1. PVR (Personal Video Recorder), CPU, OS, ( 320 GB) 100 TV,,, Source: MindBranch 1.1.2., /, (Ad

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

KEY 디바이스 드라이버

KEY 디바이스 드라이버 KEY 디바이스드라이버 임베디드시스템소프트웨어 I (http://et.smu.ac.kr et.smu.ac.kr) 차례 GPIO 및 Control Registers KEY 하드웨어구성 KEY Driver 프로그램 key-driver.c 시험응용프로그램 key-app.c KEY 디바이스드라이버 11-2 GPIO(General-Purpose Purpose I/O)

More information

Microsoft Word - 21_반도체.doc

Microsoft Word - 21_반도체.doc 서원석 02)2004-4520, wonseo@nhis.co.kr 반도체 투자의견 비중확대(유지) 새로운 수요 패러다임의 시작 관심 종목 DRAM: 하반기 가격 흐름은 3분기 유지, 4분기 하락 전망 3분기까지 현 가격 수준에서 안정세를 보이다 계절적 수요가 약세에 접어드는 10월 이후 본격적인 가격 하락 전망. DRAM 수요는 PC 출하량이 이전 전망보다 저조하지만,

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt ctober 2006 2006 Q4 AMD 데스크탑 프로세서 소개 2006 / 11 4분기 새 소식! 이제 본격적인 AM2 시즌! 소켓 939와 소켓754는 일부재고제품으로운영후단종 Quad-core, DDR2 메모리, 가상화기술 지원- 미래형 플랫폼 최고 성능의 명예를 이어가는 FX-70, -72 & -74 출시 4X4 Platform, 2-Processor

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

Chapter ...

Chapter ... Chapter 4 프로세서 (4.9절, 4.12절, 4.13절) Contents 4.1 소개 4.2 논리 설계 기초 4.3 데이터패스 설계 4.4 단순한 구현 방법 4.5 파이프라이닝 개요*** 4.6 파이프라이닝 데이터패스 및 제어*** 4.7 데이터 해저드: 포워딩 vs. 스톨링*** 4.8 제어 해저드*** 4.9 예외 처리*** 4.10 명령어 수준

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

SW테스트베드 장비 리스트

SW테스트베드 장비 리스트 SW테스트베드 장비 리스트(04년) 번호 장비명 수량 용도 제품 사양 Bluetooth를 3.CM OBD SCAN ELM37 0 지원하는 차량 ECU 초 미니 안드로이드폰 용 OBD 스캐너 스캐너 OBD 분배기, OBD 연장케이블 Chip : Broadcm BCM83 Soc 라즈베리파이 MODELB+ ARM 기반 컴퓨팅 보드 Core architecture

More information

정보보안 개론과 실습:네트워크

정보보안 개론과 실습:네트워크 ` 마이크로프로세서설계및실습 2 주차강의자료 2/31 Contents 학습목표 다양한 AVR 패밀리와소자특징을알아보고, 제어환경에따라 AVR 소자를선택하여개발할수있는융통성을이해할수있다. ATmega128 내부구조에서특수레지스터, 범용레지스터의역할을이해할수있다. ATmega128 내부에포함된명령어인출과실행절차를알아보고, 명령어유형을통해동작을이해할수있다. 내용

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

마이크로프로세서 개요

마이크로프로세서 개요 1 주 개요 메카트로닉스공학부 조철우 8051 Pin Layout 8051 3 Atmel 89C2051 4 강의의개요 컴퓨터및의기원과동작원리를학습 마이크로컨트롤러를배우기위한기초원리 마이크로컨트롤러를활용하기위한도구사용법 마이크로컨트롤러를활용하기위한기초시스템설계및프로그래밍 History of Computer 컴퓨터의역사. 최초의컴퓨터 - 1946년 ENIAC,

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

계수를 결정하는 과정이며, 순방향 경로는 이러한 보정 계수를 데이터 경로에 적용하는 과정이다. 적응 서브시스템은 기준 신호로 송신된 데이터로부터 샘플을 캡처하고, 이를 PA로부터 출력된 신 호의 관찰 경로에 의한 동시 캡처된 신호와 비교함으로써 지속적으로 PA 특성에

계수를 결정하는 과정이며, 순방향 경로는 이러한 보정 계수를 데이터 경로에 적용하는 과정이다. 적응 서브시스템은 기준 신호로 송신된 데이터로부터 샘플을 캡처하고, 이를 PA로부터 출력된 신 호의 관찰 경로에 의한 동시 캡처된 신호와 비교함으로써 지속적으로 PA 특성에 이 글은 알테라(Altera ) SoC 상에서 실행하는 디지털 전치 왜곡(DPD) 알고리즘에 대한 알고 리즘 효율성을 분석하는 방법을 설명한다. 최적화를 안내하기 위해 ARM 개발 스튜디오 DS- 5 (Development Studio 5) 알테라 에디션 툴킷에 포함된 스트림라인 툴을 사용하여 설계 공간 을 분석하고, 코드를 프로파일링한다. 메모리 다항식에

More information

<4D F736F F F696E74202D D FB8B6C0CCC5A9B7CEC7C1B7CEBCBCBCADBFCD20B8B6C0CCC5A9B7CEC4C1C6AEB7D1B7AF2DB9E8C6F7BFE

<4D F736F F F696E74202D D FB8B6C0CCC5A9B7CEC7C1B7CEBCBCBCADBFCD20B8B6C0CCC5A9B7CEC4C1C6AEB7D1B7AF2DB9E8C6F7BFE 한밭대학교정보통신공학과 김진수 마이크로프로세서와마이크로컨트롤러 C 언어로배우는 8051 마이크로프로세서 학습이수체계도 실시간응용플랫폼응용통신인프라가전기기유비쿼터스컴퓨팅 자동제어 의료 스마트폰 PDA 테블릿 PC 게이트웨이 라우터 스위치 액세스포인트 디지털카메라 디지털 TV 셋탑박스 PVR/DVR 액티브배지 센서 단말기 RF 통신모듈 임베디드시스템 디지털시스템설계

More information

Microsoft Word - ICT Reprot

Microsoft Word - ICT Reprot 주간기술동향 2014. 8. 6. IoT 전개 동향 및 주요 이슈 * 1. IoT, 새로운 성장 동력으로 주목 IoT(Internet of Things, 사물인터넷)가 ICT 산업의 새로운 성장 동력으로 부상 - IoT 는 인간과 사물, 서비스 등 분산된 구성 요소들 간에 인위적인 개입 없이 상호 협력적으로 센싱, 네트워킹, 정보처리 등 지능적 관계를 형성하는

More information

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63>

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63> 2013. 09. 09 [유진 더리치 스몰캡] 이슈 분석 갤럭시노트3, 갤럭시기어 출시 수혜주 스몰캡 팀장 박종선 Tel. 368-6076 jongsun.park@eugenefn.com 스몰캡 담당 윤혁진 Tel. 368-6499 hjyoon@eugenefn.com Summary < Samsung Unpacked 2013 Episode 2> 행사 개최 지난

More information

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc 산업분석 Report / 터치스크린 211. 4. 5 비중확대(신규) 종목 투자의견 목표주가(원) 멜파스(9664) 매수(-) 67,( ) 일진디스플레이(276) 매수(신규) 14,5(-) 에스맥(9778) 매수(신규) 18,(-) 이엘케이(9419) 매수(-) 27,( ) 삼성전자 태블릿 PC 공급업체에 주목 터치스크린 산업 올해 9% YoY 성장 비중확대

More information

Microsoft PowerPoint - LN_1_ARM_CPU_0.ppt [호환 모드]

Microsoft PowerPoint - LN_1_ARM_CPU_0.ppt [호환 모드] 프로젝트 1 1 ARM CPU Architecture 단국대학교컴퓨터학과 2009 백승재 ibanez1383@dankook.ac.kr k k http://embedded.dankook.ac.kr/~ibanez1383 강의목표 2 ARM 종류와특징및최신동향파악 ARM CPU Architecture 이해 ARM Assembly 숙지 ARM 3 ARM? Advanced

More information

PowerPoint Presentation

PowerPoint Presentation Korea Tech Conference 2005 년 5 월 14 일, 서울 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 1 Parallel port 를이용한가전제품 제어 임효준 LG 전자 imhyo@lge.com 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 2

More information

ESP1ºÎ-04

ESP1ºÎ-04 Chapter 04 4.1..,..,.,.,.,. RTOS(Real-Time Operating System)., RTOS.. VxWorks(www.windriver.com), psos(www.windriver.com), VRTX(www.mento. com), QNX(www.qnx.com), OSE(www.ose.com), Nucleus(www.atinudclus.

More information

5" TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공

5 TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공 CT210-FPGA Platform-ARM Developer Kit 규격서 1. 특징 CT210 FPGA 플랫폼은 Samsung 의 32bit Application Processor 인 S5PV210 을기반을설계된안드로이드 / 임베디드 FPGA 플랫폼입니다. CT210 FPGA 플랫폼은삼성 PV210 기반의임베디드시스템에서 FPGA 를연결하여사용할수있도록하였습니다.

More information

08SW

08SW www.mke.go.kr + www.keit.re.kr Part.08 654 662 709 731 753 778 01 654 Korea EvaluationInstitute of industrial Technology IT R&D www.mke.go.kr www.keit.re.kr 02 Ministry of Knowledge Economy 655 Domain-Specific

More information

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 -------------

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 ------------- [ CALLAS Network Player ] Owner s Manual ( 주 ) 금 잔 디 음 향 예.술.을.담.는.스.피.커.과.학 Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

Windows Embedded Compact 2013 [그림 1]은 Windows CE 로 알려진 Microsoft의 Windows Embedded Compact OS의 history를 보여주고 있다. [표 1] 은 각 Windows CE 버전들의 주요 특징들을 담고

Windows Embedded Compact 2013 [그림 1]은 Windows CE 로 알려진 Microsoft의 Windows Embedded Compact OS의 history를 보여주고 있다. [표 1] 은 각 Windows CE 버전들의 주요 특징들을 담고 OT S / SOFTWARE 임베디드 시스템에 최적화된 Windows Embedded Compact 2013 MDS테크놀로지 / ES사업부 SE팀 김재형 부장 / jaei@mdstec.com 또 다른 산업혁명이 도래한 시점에 아직도 자신을 떳떳이 드러내지 못하고 있는 Windows Embedded Compact를 오랫동안 지켜보면서, 필자는 여기서 그와 관련된

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

삼성전자는 Windows 를 권장합니다. PC 소프트웨어 PC 솔루션 삼성 삼성전자만의 편리하고 다양한 소프트웨어를 통해 초보자도 보다 쉽고 빠르게 이용 가능합니다. Easy Settings 삼성 패스트 스타트 Easy File Share (PC to PC) (삼성 컨

삼성전자는 Windows 를 권장합니다. PC 소프트웨어 PC 솔루션 삼성 삼성전자만의 편리하고 다양한 소프트웨어를 통해 초보자도 보다 쉽고 빠르게 이용 가능합니다. Easy Settings 삼성 패스트 스타트 Easy File Share (PC to PC) (삼성 컨 삼성전자는 Windows 를 권장합니다. PC 소프트웨어 PC 솔루션 삼성 삼성전자만의 편리하고 다양한 소프트웨어를 통해 초보자도 보다 쉽고 빠르게 이용 가능합니다. Easy Settings 삼성 패스트 스타트 Easy File Share (PC to PC) (삼성 컨트롤센터 대체) 전원버튼을 누르거나 덮개를 열면 몇초 내에 작업 시작 무선으로 PC간 (최대

More information

기술 이력서 2.0

기술 이력서 2.0 Release 2.1 (2004-12-20) : : 2006/ 4/ 24,. < > Technical Resumé / www.novonetworks.com 2006.04 Works Projects and Technologies 2 / 15 2006.04 Informal,, Project. = Project 91~94 FLC-A TMN OSI, TMN Agent

More information

Hynix_K_pdf

Hynix_K_pdf 2007 Annual Report Hynix 2007 Annual Report 16_17 the for the year 2007, 2007, Will is strength. But it s also vision. Because... 3 Hynix 2007 Annual Report 16_3 2006 Highlights Financial Highlights (Three-year

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

NV9_Ver1.2

NV9_Ver1.2 VLUU NV9 1 1 2 3 1 2 3 4 5 6 2 1 7 8 5 4 4 3 6 4 w r e 5 t 6 4 5 4 4 7 7 2 4 7 5 1 3 8 1 기본 조작법 1 기본 조작법 각 부분의 명칭과 역할, 화면 아이콘, 기본 촬영 방법, 컴퓨터와 연결해 파일 전송하는 방법을 설명합니다. 각 부분의 명칭과 역할... 10 촬영 화면 아이콘 설명... 12

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오.

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오. 메 뉴 다음 사항을 꼭 확인하세요! --------------------------------- 2p 안전을 위한 주의 사항 --------------------------------- 3p 구성품 --------------------------------- 4p 각 부분의 명칭 --------------------------------- 5p 제품의 규격

More information

Microsoft Word - zfs-storage-family_ko.doc

Microsoft Word - zfs-storage-family_ko.doc 데이터 관리 용이성과 스토리지 효율성을 하나로 결합 주요 기능 및 이점 획기적인 가격 대비 성능과 혁신적인 단순성을 하나로 결합 특징 문제를 손쉽게 발견 및 수정하고 성능을 최적화할 수 있는 탁월한 관리 툴 포괄적이고 통합된 데이터 서비스 및 프로토콜 액티브-액티브 클러스터 옵션 데이터 압축 및 인라인 중복 제거 지속적인 데이터 증가로 인해 오늘날 IT 인프라는

More information

처음에 읽어 주십시오 본 제품을 사용하시기 전에 이 사용설 명서를 자세히 읽고 장래에 참조할 수 있도록 소중히 보관하여 주십시오. 한국내 고객용 경고 화재 또는 감전의 위험을 줄이기 위해 장치를 비 또는 습기에 노출시 키지 않도록 하여 주십시오. 배터리를 햇빛, 불 등

처음에 읽어 주십시오 본 제품을 사용하시기 전에 이 사용설 명서를 자세히 읽고 장래에 참조할 수 있도록 소중히 보관하여 주십시오. 한국내 고객용 경고 화재 또는 감전의 위험을 줄이기 위해 장치를 비 또는 습기에 노출시 키지 않도록 하여 주십시오. 배터리를 햇빛, 불 등 4-282-650-32(1) 디지털 HD 비디오 카메라 레코더 사용설명서 목차 시작하기 녹화/재생 고급 조작 컴퓨터를 사용해서 동영상과 사진 저장하기 외부 장치를 사용하여 영상 저장하기 캠코더 사용자 정의하기 추가 정보 조견표 HDR-CX130/CX160/CX180 처음에 읽어 주십시오 본 제품을 사용하시기 전에 이 사용설 명서를 자세히 읽고 장래에 참조할

More information

임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 Outline n n n n n n 보드개요보드연결필수패키지, Tool-Chain 설치 Kernel, file system build Fastboot 및 Tera Term설치 Kernel, file system 이미지전송및설치 - 2 - Young-Jin Kim X-Hyper320TKU

More information

Microsoft Word - VB_May

Microsoft Word - VB_May SK securities Research center June.13 1 반도체최성제 dchoi@sk.com / +82-3773-94 / 디스플레이정한섭 hanchong@sk.com / +82-3773-9 Contents 1. Global IT Company Peer Group Table 3 2. Global IT Company Valuation Chart 3.

More information

설명서 보기 본 카메라에는 내장 설명서가 탑재되어 있습니다. MENU (카메라 내장 설명서) 카메라 내장 설명서 MENU 항목 및 설정 값에 대한 설명이 표시됩니다. 1 2 MENU 버튼을 누릅니다. 원하는 MENU 항목을 선택한 다음에 버튼을누릅니다. (카메라 내장

설명서 보기 본 카메라에는 내장 설명서가 탑재되어 있습니다. MENU (카메라 내장 설명서) 카메라 내장 설명서 MENU 항목 및 설정 값에 대한 설명이 표시됩니다. 1 2 MENU 버튼을 누릅니다. 원하는 MENU 항목을 선택한 다음에 버튼을누릅니다. (카메라 내장 사용설명서 설명서 보기 본 카메라에는 내장 설명서가 탑재되어 있습니다. MENU (카메라 내장 설명서) 카메라 내장 설명서 MENU 항목 및 설정 값에 대한 설명이 표시됩니다. 1 2 MENU 버튼을 누릅니다. 원하는 MENU 항목을 선택한 다음에 버튼을누릅니다. (카메라 내장 설명서) 촬영 팁 선택한 촬영 모드에 대한 촬영 팁이 표시됩니다. 1 2 촬영 모드에서

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Text-LCD Device Control - Device driver Jo, Heeseung M3 모듈에장착되어있는 Tedxt LCD 장치를제어하는 App 을개발 TextLCD 는영문자와숫자일본어, 특수문자를표현하는데사용되는디바이스 HBE-SM5-S4210 의 TextLCD 는 16 문자 *2 라인을 Display 할수있으며, 이 TextLCD 를제어하기위하여

More information

Nero BackItUp

Nero BackItUp 사용자 설명서 Nero BackItUp 저작권 및 상표 정보 여기서 설명하는 소프트웨어와 마찬가지로 이 문서는 라이센스로 제공되며 사용권 계약에 따라서만 사용하거나 복제할 수 있습니다. 제공되는 소프트웨어와 이 문서의 내용은 예고 없이 변경될 수 있습니다. Nero AG는 이 문서 내용의 정확성에 대해 어떠한 책임도 지지 않으며 계약서 조항의 범위를 벗어난

More information

1부. 임베디드시스템

1부. 임베디드시스템 2. 마이크로프로세서시스템의 기본개념 마이크로프로세서시스템 하드웨어와소프트웨어가조합되어특정한목적을수행하는시스템 특정한기능을수행하도록마이크로프로세서와입출력장치를 내장하며, 이를제어하기위한프로그램이내장되어있는우리의일상 생활에서사용되는각종전자기기, 가전제품, 제어장치등 1 마이크로프로세서시스템응용분야 정보가전 : 세탁기, 오디오, 인터넷냉장고, HDTV 등 제어분야

More information

Microsoft PowerPoint - 강의2.ppt

Microsoft PowerPoint - 강의2.ppt Chap 2. Embedded Processors 서경대학교컴퓨터공학과교수이광엽 kylee@skuniv.ac.kr Embedded Processors Embedded processors 발전 Microprocessors 발전 better fabrication technology standalone microcontrollers integrated processors

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

¨ìÃÊÁ¡2

¨ìÃÊÁ¡2 2 Worldwide Converged Mobile Device Shipment Share by Operating System, 2005 and 2010 Paim OS (3.6%) BiackBerry OS (7.5%) 2005 Other (0.3%) Linux (21.8%) Symbian OS (60.7%) Windows Mobile (6.1%) Total=56.52M

More information

<32303132B3E2C1A632C8B8BFF6B5E531B1DE42C7FC2E687770>

<32303132B3E2C1A632C8B8BFF6B5E531B1DE42C7FC2E687770> 국 가 기 술 자 격 검 정 무 단 전 재 금 함 형별 제한 시간 수험번호 성 명 다음 문제를 읽고 가장 알맞은 것을 골라 답안카드의 답란 (1, 2, 3, 4)에 표기하시오 워드프로세싱 용어 및 기능 1. 다음 중 워드프로세서의 입력 기능에 대한 설명으로 옳지 1 행두 금칙 문자로는 (, [,,< 등이 있다. 2 KS X 1001 완성형 한글

More information

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp 주간 IT산업 주요 이슈 (2013-21 (2013-21호) 1. 주요 이슈 - 13.05.31(금), NIPA 산업분석팀 1 빅데이터, 애널리틱스, 클라우드 기업용 SW시장 성장 견인 지난해 글로벌 소프트웨어 시장 성장은 둔화하였으나, 빅데이터와 클라우드 관련 데이터 분석, 협업 애플리케이션, 보안 등은 성장세 ㅇ 12년 세계 기업용 SW 시장 규모는 3,426억달러로

More information

wp1_120616.hwp

wp1_120616.hwp 1과목 : 워드프로세싱 용어 및 기능 1. 다음 중 문서의 효력 발생에 대한 견해로 우리나라에서 채택하 고 있는 1 표백주의 2 발신주의 3 도달주의 4 요지주의 2. 다음 중 워드프로세서의 표시기능에 대한 설명으로 옳은 1 포인트는 화면을 구성하는 최소 단위로 1포인트는 보통 0.5mm이다. 2 자간이란 문자와 문자 사이의 간격을 의미하며 자간을 조절 하여

More information

Flute-GR_BV199_DOS.indb

Flute-GR_BV199_DOS.indb 안전을 위한 주의사항 사용자의 안전을 지키고 재산상의 손해 등을 막기 위한 내용입니다. 반드시 읽고 올바르게 사용해 주세요. BV-199 사용설명서 차례 1 장. 컴퓨터 시작 차례 3 제품의 특장점 6 사용설명서를 읽기 전에 7 안전을 위한 주의사항 10 사용시 올바른 자세 20 제품의 구성물 23 기본 구성물 23 각 부분의 명칭 24 앞면 24 뒷면 25

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

5+6월호-pdf

5+6월호-pdf Korea Institute of Industrial Technology 2007:05+06 Editor s Letter 2007:05+06 Korea Institute of Industrial Technology Theme Contents 04 14 Biz & Tech People & Tech 32 Fun & Tech 46 06 2007 : 5+6 07

More information

ÀüÀÚ Ä¿¹ö-±¹¹®

ÀüÀÚ Ä¿¹ö-±¹¹® 1 TV 20011 TV TV Top 3. 63 TV 40 TFT-LCD TV, TFT-LCD LCoS(Liquid Crystal on Silicon). 2002 TV 15, 17, 22, 24, 29 40 TFT-LCD TV 1. VCR & 1979 VCR 2001., VCR, AOL Time Warner TV. 2002 SDTV HDTV,,. DVD DVD/VCR

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

04서종철fig.6(121~131)ok

04서종철fig.6(121~131)ok Development of Mobile Applications Applying Digital Storytelling About Ecotourism Resources Seo, Jongcheol* Lee, Seungju**,,,. (mobile AIR)., 3D.,,.,.,,, Abstract : In line with fast settling trend of

More information

1. 회사소개 및 연혁 - 회사소개 회사소개 회사연혁 대표이사: 한종열 관계사 설립일 : 03. 11. 05 자본금 : 11.5억원 인 원 : 18명 에스오넷 미도리야전기코리 아 미도리야전기(일본) 2008 2007 Cisco Premier Partner 취득 Cisco Physical Security ATP 취득(진행) 서울시 강남구 도심방범CCTV관제센터

More information

Microsoft Word - s.doc

Microsoft Word - s.doc 오라클 백서 2010년 9월 WebLogic Suite를 위해 최적화된 오라클 솔루션 비즈니스 백서 개요...1 들어가는 글...2 통합 웹 서비스 솔루션을 통해 비즈니스 혁신 추구...3 단순화...4 기민한 환경 구축...5 탁월한 성능 경험...6 판도를 바꾸고 있는 플래시 기술...6 오라클 시스템은 세계 최고의 성능 제공...6 절감 효과 극대화...8

More information

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료 오리온 알토는 Windows 7을 권장합니다. DESKTOP PC 이 기기는 가정용(B급)으로 전자파적합기기로서 주로 가정에서 사용하는 것을 목적으로 하며, 모든 지역에서 사용할 수 있습니다. * 제품 연결 및 작동 등 올바른 사용을 위해서 이 설명서를 주의 깊게 읽어 주시기 바랍니다. 또한, 향후 사용을 위해서 매뉴얼을 보관하여 주십시오. * 본 이미지는

More information

Microsoft PowerPoint - 휴대폰13년전망_2012.10_IR협의회.ppt

Microsoft PowerPoint - 휴대폰13년전망_2012.10_IR협의회.ppt 휴대폰산업 IT총괄 권성률 2)369-3724 srkwon@dongbuhappy.com 변화의 시대 동부 리서치센터 _ 기업분석팀 자동차/타이어 임은영 2)369-3713 휴대폰 시장 성장률 한자리수 시대 13년 휴대폰 시장은 6.3% 성장으로 12년 4.7% 성장 대비 소폭 개선 하지만 스마트폰 성장률은 4%대에서 2%대로 둔화 13년 전세계 스마트폰 비중은

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Nov.; 25(11), 11351141. http://dx.doi.org/10.5515/kjkiees.2014.25.11.1135 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

/ TV 80 () DAB 2001 2002 2003 2004 2005 2010 Analog/Digital CATV Services EPG TV ( 60 ) TV ( Basic, Tier, Premiums 60 ) VOD Services Movies In Demand ( 20 ) Education N- VOD (24 ) Digital Music

More information

반도체의종류 < 그림 1> 반도체계통도 Discrete Power Tr Optoelectronics Image Sensor 센서 & Actuator c System LSI Analog IC 반도체 Logic IC Standard Special Communication

반도체의종류 < 그림 1> 반도체계통도 Discrete Power Tr Optoelectronics Image Sensor 센서 & Actuator c System LSI Analog IC 반도체 Logic IC Standard Special Communication 반도체개요및전망 Mobile Logic IC와삼성전자를중심으로 92 Analyst 송명섭 mssong@hi-ib.com (02-2122-9207) 1 반도체의종류 < 그림 1> 반도체계통도 Discrete Power Tr Optoelectronics Image Sensor 센서 & Actuator c System LSI Analog IC 반도체 Logic IC

More information

Here is a "PLDWorld.com"... // EXCALIBUR... // Additional Resources // µc/os-ii... Page 1 of 23 Additional Resources: µc/os-ii Author: Source: HiTEL D

Here is a PLDWorld.com... // EXCALIBUR... // Additional Resources // µc/os-ii... Page 1 of 23 Additional Resources: µc/os-ii Author: Source: HiTEL D Page 1 of 23 Additional Resources: µc/os-ii Author: Source: HiTEL Digital Sig Date: 2004929 µ (1) uc/os-ii RTOS uc/os-ii EP7209 uc/os-ii, EP7209 EP7209,, CPU ARM720 Core CPU ARM7 CPU wwwnanowitcom10 '

More information

<4D F736F F D20B0B3B9DFC8AFB0E65FB4D9BFEEB7CEB5E55FB5F0B9F6B1EBBCB3C1A42E646F63>

<4D F736F F D20B0B3B9DFC8AFB0E65FB4D9BFEEB7CEB5E55FB5F0B9F6B1EBBCB3C1A42E646F63> 1 1. Introduction 1.1. About this Manual This manual is intended to provide the user with an overview of the board and benefits, complete features specifications, and set up procedures. It contains important

More information