Here is a "PLDWorld.com"... // EXCALIBUR... // Additional Resources // µc/os-ii... Page 1 of 23 Additional Resources: µc/os-ii Author: Source: HiTEL D

Size: px
Start display at page:

Download "Here is a "PLDWorld.com"... // EXCALIBUR... // Additional Resources // µc/os-ii... Page 1 of 23 Additional Resources: µc/os-ii Author: Source: HiTEL D"

Transcription

1 Page 1 of 23 Additional Resources: µc/os-ii Author: Source: HiTEL Digital Sig Date: µ (1) uc/os-ii RTOS uc/os-ii EP7209 uc/os-ii, EP7209 EP7209,, CPU ARM720 Core CPU ARM7 CPU wwwnanowitcom10 ' ' uc/os-ii, Stack 4 OSTaskStkInit 5 IRQ OSTickISR 6 OSStartHighRdy 7 OSCtxSw 8 OSIntCtxSw 9

2 Page 2 of 23 (2) EP7209 ARM7 StrongARM uc/os Context Switcher,, CPU EP7209 uc/os-ii wwwnanowitcom uc/os-ii 1 EP7209 CPU ARM720T 720 ARM7 MMU StrongARM MMU psos 25 ARM Software Development 251 Tool, ARM 1 Evaluation CD Linux, Linux SDT ARM7 C ASM 2 Board NANOWIT EP7209, 1Mega Byte Flash 375K SRAM RAM uc/os-ii, Serial Flash Write, uc/os Serial Timer 3 Kernel Source uc/os-ii labrosse, CPU Kernel 8086 wwwucos-iicom CPU ARM7 CPU,, ARM7 4 MicroC/OS-II (labrosse)

3 Page 3 of 23, uc/os-ii, uc/os-ii , CPU, ARM7 5 ARM7 Data sheet EP7209 Data sheet ARM7, ARM7 CPU, CPU Data Sheet EP7209 Data Sheet IRQ, Serial ARM7, Core uc/os OS OS, OS, OS WinCE Embedded Linux, psos, VxWorks uc/os-ii OS, Linux, uc/os, (3) Stack uc/os RTOS Multi-Tasking Time Sharing,, CPU ( ) OS,? Context Switching CPU

4 Page 4 of 23,, 8086 CPU ( - ) 5 6,, Multi-Tasking CPU 10, CPU ( ) Tasking , 3, ( CPU ),,, 3, CPU, Multi-Tasking,,? 10 10, 1, CPU C, Single-Tasking, Multi-Tasking?, Task Stack Task,?, Multi-Tasking, Task Stack,, Context Switching,,

5 Page 5 of 23 RTOS Multi-Tasking Task Task Process Thread (?) CPU RTOS Task, Task TCB Task Control Block TCB Task Stack Task Task Priority Multi-Tasking Stack, Context Switching, Task Stack OS OS, uc/os CPU C Context Switcher Stack,,, Linux psos uc/os CPU CPU,,, Task Context Switching, Task * ARM7 Context Context CPU ARM Context? ARM r0-r12, r13 (sp), r14 Link r15 r0-r12, Stack 8086 Stack 226Page Stack (High Memory) 15 r15(pc) 14 r14(lr) 13 r12 12 r11 11 r10 10 r9 9 r8 8 r7 7 r6 6 r5 5 r4 4 r3 3 r2 2 r1 1 r0

6 Page 6 of 23 0 CPSR <- ptcb->ostcbstkptr Stack (Low Memory) CPSR ARM7 Flag? Task A Task TCB TCB ptcb ptcb- >OSTCBStkPtr Task CPU r13 r13 ARM7?, Task, ptcb- >OSTCBStkPtr r13, r13 TCB, r13 r15 r15,, JUMP, r15 Task ( Linux psos uc/os OS Linux ) (4) OSTaskStkInit uc/os C 8086, lx86l 8086 Os_cpu_cc OSTaskStkInit Hook,, C OSTaskStkInit

7 Page 7 of 23 OSTaskStkInit * OSTaskStkInit Task? Task TCB, TCB Task Stack, Task,, TCB Stack OSTaskStkInit Task uc/os, Task OSTaskCreate RTOS Task Visual C Java Thread OSTaskCreate OS_Taskc OSTaskCreate INT8U OSTaskCreate (void (*task)(void *pd), -> 1 void *pdata, -> 2 OS_STK *ptos, -> 3 INT8U prio) -> 4, Task, Task, Task Task, Task, Task, Task Task ( ),,?, Context Switching "Context Switching,, ", Task,, Task Task?,?, Stack TASK Ready Q, Task TCB CPU Task Stack '?

8 Page 8 of 23 OSTaskStkInit Stack Task * OSTaskStkInit, Stack Stack Ready Q ( Task ) ' ' Stack (High Memory) 15 r15(pc) 14 r14(lr) 13 r12 12 r11 11 r10 10 r9 9 r8 8 r7 7 r6 6 r5 5 r4 4 r3 3 r2 2 r1 1 r0 0 CPSR <- ptcb->ostcbstkptr Stack (Low Memory) OSTaskStkInit 01: void * OSTaskStkInit(void (*task)(void *pd),void *pdata, 02: void *ptos,int16u opt) 03: { 04: INT32U *stk; 05: opt = opt; 06: stk = (INT32U *)ptos; 07: *(--stk) = (INT32U)task; // r15 08: *(--stk) = (INT32U)0; // r14 09: *(--stk) = (INT32U)0; // r12 10: *(--stk) = (INT32U)0; // r11 11: *(--stk) = (INT32U)0; // r10 12: *(--stk) = (INT32U)0; // r9 13: *(--stk) = (INT32U)0; // r8 14: *(--stk) = (INT32U)0; // r7 15: *(--stk) = (INT32U)0; // r6 16: *(--stk) = (INT32U)0; // r5 17: *(--stk) = (INT32U)0; // r4 18: *(--stk) = (INT32U)0; // r3 19: *(--stk) = (INT32U)0; // r2 20: *(--stk) = (INT32U)0; // r1 21: *(--stk) = (INT32U)pdata; // r0

9 Page 9 of 23 22: *(--stk) = (INT32U)0; // CPSR 23: return ((void *)stk); 24: } 24,? OSTaskStkInit Task, Task CPU Program Counter Task Stack, INT16U *stk; INI32U ARM7 32, 5, 6 stk 7 22 *(--stk), Full & Decrement Stack r15 r0, CPSR r15, Task r15 Task r14 r1 r13 sp r0 pdata 8086, C 8086 Stack ARM, r0 pdata r0 C ARM7,,, ' ',?,,,,,

10 Page 10 of 23, (5) IRQ OSTickISR IRQ uc/os RTOS, RTOS RTOS, 1/100 OSTimeTick, OSTickISR, 1/100, OSTimeTick, Timer Context Switching TaskA, 100 Tick Block, Block, TaskB Ready TaskB TaskB 100 Tick, TaskA TaskB TaskA Context Switching Tick RTOS, 1 Timer 1/100, 100 Tick 1, 100 Tick Context Switching Tick, 100,? Stack A A? (Stack), Context Switching,?, Context Switching, Context Switching, Context Switching,

11 Page 11 of 23!!!!!! Stack Context Switching? Stack r15 r0, CPSR ARM7 ARM7 Data Sheet EP Supervisor -, IRQ, CPU IRQ, r13 r14 IRQ r13 Stack Pointer, IRQ Stack?, Task Stack, IRQ SVC r13, 8086, ARM, ARM : IRQHandler 02: stmfd sp!,{r0-r3} 03: mov r1,#register_base 04: ldr r2,=intsr1 05: ldr r0,[r1,r2] 06: tst r0,#0x100 07: bne TimerIRQ ; Check Timer IRQ 08: ldmfd sp!,{r0-r3} 09: subs pc,lr,#4 10: TimerIRQ 11: ldr r2,=tc1eoi ; Timer 1 Interrupt Clear 12: str r0,[r1,r2] 13: 14: mov r2,sp ; copy IRQ's sp -> r2 15: add sp,sp,#16 ; recover IRQ's sp 16: sub r3,lr,#4 ; copy return address -> r3 17: 18: LDR r0,=irq_2 19: MOVS pc,r0 20: IRQ_2 21: stmfd sp!,{r3} ; push SVC's pc 22: stmfd sp!,{r4-r12,lr} ; push SVC's r14, r12-r4 23: mov r4,r2 24: ldmfd r4!,{r0-r3} 25: stmfd sp!,{r0-r3} ; push SVC's r3-r0

12 Page 12 of 23 26: mrs r5,cpsr 27: stmfd sp!,{r5} ; push SVC's PSR 28: B OSTickISR ; Real Body Timer IRQ, Vector Table 01, IRQ 1 CPU IRQ, 2 r13 r14 IRQ, 3 IRQ CPSR SPSR, CPSR IRQ 4 irq r14 ' +4' ARM7 Exception 2 stmfd sp!,{r0-r3} r0 r3 4?! IRQ, SVC Task 3 6, IRQ Timer IRQ EP r0 IRQ, 6 Timer IRQ, 10 8,9 IRQ Return IRQ 10, 11,12, CPU, IRQ, 14 Stack r0 r3 IRQ IRQ,, 14, r0-r3 IRQ 14 mov r2,sp r2 IRQ IRQ SVC, r0 r3 15 add sp,sp,#16, IRQ, IRQ sp,, 16 sub r3,lr,#4 lr, r14_irq? ' +4' r3? r3 IRQ SVC IRQ r14 r13_irq(sp) r2

13 Page 13 of 23 18: LDR r0,=irq_2 19: MOVS pc,r0 20: IRQ_2 IRQ SVC MOVS pc IRQ_2,, IRQ SVC, IRQ 1 SPSR IRQ PSR CPSR 2 r13 r14 SVC r13 r14 (1) CPSR IRQ IRQ IRQ IRQ (2), r13 add sp,sp,#16 stmfd 21 stmfd sp!,{r3} r3 Stack Stack??? IRQ SVC Task!!!, r15 r3? sub r3,lr,#4 r3 (!!!) 22 stmfd sp!,{r4-r12,lr} ARM? r14 r4 r12, Stack? r0 r3 irq? IRQ IRQ? r2 IRQ sp r0 r3 IRQ 23,24 25 Task r0-r3? CPSR CPSR Stack, r5 Stack 26, 27!!! IRQ Stack?, TT

14 Page 14 of 23,!!! 8086, 28 OSTickISR Pseudocode OSTaskISR 1 2 OSIntEnter(), OSIntNesting 3 OSTimeTick() 4 OSIntExit() 5 6 (1) IRQ (2) OSTickISR : OSTickISR 02: LDR r0,=osintnesting ; Notify uc/os-ii of ISR 03: LDRB r1,[r0] 04: ADD r1,r1,#1 05: STRB r1,[r0] 06: BL OSTimeTick ; Process system tick 07: BL OSIntExit ; Notify uc/os-ii of end of ISR 08: LDMFD sp!,{r0} 09: MSR CPSR_xsf,r0 10: LDMFD sp!,{r0 - r12, lr, pc} OSIntNesting++ 6 OSTimeTick(), 7 OSIntExit 8 10 ( ) Stack 8 CPSR (9 ), 10 IRQ ARM7 uc/os-ii ARM7 uc/os-ii 70%

15 Page 15 of 23 (6) OSStartHighRdy OSStartHighRdy uc/os 198 Page OS_CPU_AASM OSStartHighRdy uc/os Task uc/os? 01: void main (void) 02: { 03: PC_DispClrScr(DISP_FGND_WHITE + DISP_BGND_BLACK); 04: OSInit(); 05: PC_DOSSaveReturn(); 06: PC_VectSet(uCOS, OSCtxSw); 07: RandomSem = OSSemCreate(1); 08: OSTaskCreate(TaskStart, (void *)0, 09: (void *)&TaskStartStk[TASK_STK_SIZE - 1], 0); 10: OSStart(); 11: } 8086 main 8 Task, 10 OSStart, OSStartHighRdy, OSStartHighRdy Context Switching, Task Context Switching Task, Task Stack, OSStartHighRdy Task,? OSStartHighRdy OSStartHighRdy 5 1 OSTaskSwHook() 2 Task Stack Point sp 3 OSRunning TRUE 4 sp 5 Task, : OSStartHighRdy 02: BL OSTaskSwHook 03: LDR r0,=osrunning

16 Page 16 of 23 04: MOV r1,#1 05: STRB r1,[r0] 06: LDR r0,=ostcbhighrdy 07: LDR r0,[r0] 08: LDR sp,[r0] 09: LDMFD sp!,{r0} 10: MSR CPSR_xsf,r0 11: LDMFD sp!,{r0 - r12, lr, pc} Hook, 3-4 OSRunning TRUE 6-8 TCB Task Stack sp 9-11 Task Stack 5, (7) OSCtxSw OSCtxSw OSCtxSw() os_cpuh OS_TASK_SW() OS_TASK_SW() OSSched() 8086 #define OS_TASK_SW() asm INT ucos, ARM7 INT SWI, 8086 OSCtxSw OSSched() uc/os Task, Task Task OSCtxSw Context Switching

17 Page 17 of 23, Task OSIntCtxSw,, OSCtxSw, OSStartHighRdy, Task, 201 OSCtxSw 1 Register Task Stack 2 Stack Pointer TCB OSTCBStkPtr 3 OSTaskSwHook() 4 OSTCBCur = OSTCBHighRdy 5 OSPrioCur = OSPrioHighRdy 6 Task Stack Pointer sp 7 8 Task (1),(2) (3) User Hook, (4) (5) OSTCBCur Task TCB OSTCBHighRdy ReadyQ Task TCB, uc/os, Task, OSSched(), OS_TASK_SW(), Context Switching OSCtxSw Context Switching, (4) (5) (6) (8) OSStartHighRdy() Task : OSCtxSw 02: STMFD sp!,{lr} 03: STMFD sp!,{r0 - r12, lr} 04: MRS r0,cpsr 05: STMFD sp!,{r0} 06: LDR r0,=ostcbcur 07: LDR r0,[r0] 08: STR sp,[r0] 09: BL OSTaskSwHook 10: LDR r0,=ostcbcur 11: LDR r1,=ostcbhighrdy 12: LDR r2,[r1] 13: STR r2,[r0] 14: LDR r0,=ospriocur 15: LDR r1,=ospriohighrdy

18 Page 18 of 23 16: LDRB r3,[r1] 17: STRB r3,[r0] 18: LDR sp,[r2] 19: LDMFD sp!,{r0} 20: MSR CPSR_xsf,r0 21: LDMFD sp!,{r0 - r12, lr, pc} (1) 6 8 (2) OSTCBCur->OSTCBStkPtr=sp 9 (3) Hook (4), (5) OSTCBCur = OSTCBHighRdy OSPrioCur = OSPrioHighRdy 18 (6) Task Stack sp 19,20,21 Stack Task, 2 (8) OSIntCtxSw OSIntCtxSw uc/os,,, uc/os Context Switching OSCtxSw OSIntCtxSw, OSCtxSw, OSIntCtxSw OSCtxSw, Call, Context Switching Context Switching

19 Page 19 of 23 OSCtxSw, CPU, Task, Call OSIntCtxSw,, OSIntExit Context Switching OSIntCtxSw OSIntCtxSw Task ( ) CPU, Context Switching Task Task ( ) 2 Stack Pointer TCB OSTCBStkPtr 3 OSTaskSwHook 4 OSTCBCur = OSTCBHighRdy 5 OSPrioCur = OSPrioHighRdy 6 Task Stack Pointer sp 7 8 Task OSCtxSw, (1) OSCtxSw 1 Register Task Stack 2 (1) 4, CPU 3, Stack (High Memory) 15 r15(pc) 14 r14(lr) 13 r12 12 r11 11 r10 10 r9 9 r8 8 r7 7 r6

20 Page 20 of 23 6 r5 5 r4 4 r3 3 r2 2 r1 1 r0 0 CPSR <- ptcb->ostcbstkptr Stack (Low Memory),,, sp OSIntCtxSw sp CPSR sp OSIntCtxSw (2) TCB OSTCBStkPtr (1) OSTickISR LDR r0,=osintnesting LDRB r1,[r0] ADD r1,r1,#1 STRB r1,[r0] BL OSTimeTick BL OSIntExit <- OSIntCtxSw LDMFD sp!,{r0} MSR CPSR_xsf,r0 LDMFD sp!,{r0 - r12, lr, pc} 4 OSIntExit, OS_Corec OSIntExit, OSIntExit, OSIntCtxSw, OSIntExit OSIntCtxSw, OSIntExit lr OSTickISR OSIntExit lr lr OSIntExit lr,, 01: OSIntCtxSw 02: ADD sp,sp,#4

21 Page 21 of 23 03: LDR r0,=ostcbcur 04: LDR r0,[r0] 05: STR sp,[r0] 06: BL OSTaskSwHook 07: LDR r0,=ostcbcur 08: LDR r1,=ostcbhighrdy 09: LDR r2,[r1] 10: STR r2,[r0] 11: LDR r0,=ospriocur 12: LDR r1,=ospriohighrdy 13: LDRB r3,[r1] 14: STRB r3,[r0] 15: LDR sp,[r2] 16: LDMFD sp!,{r0} 17: MSR CPSR_xsf,r0 18: LDMFD sp!,{r0 - r12, lr, pc} OSIntCtxSw 3 OSCtxSw 6 OSIntCtxSw 3 ADD sp,sp,#4 ARM 32, OSTickISR 4 (9) uc/os * OS_CPUH CPU ARM

22 Page 22 of 23 - Type INT16U 16 unsigned, 86 unsigned int, ARM unsigned short - OS_STK_GROWTH OS_STK_GROWTH, ARM 1 Decrement Stack, IDLE Task - OS_ENTER_CRITICAL(), OS_EXIT_CRITICAL() Critical Section, CPSR I 1 splx() - OS_TASK_SW() 86 asm INT ucos, OSCtxSw() * EP7209 EP7209 SYSCON1,TC1D,INTMR1,TC1EOI SYSCON1 1 Prescale, 2KHz EP7209 Data Sheet 76 Page TC1D INTMR1 IRQ Enable, TC1EOI EP7209, ARM7 wwwucos-iicom ucos-ii ARM uc/os (?) Cirrus Logic EP7209 Processor Cirrus Logic Website

23 Page 23 of 23 EP7209 Product Data Sheet (DEC '99, DS453PP2 : 212 Kb) EP7209 Development Kit Product Bulletin (JAN '00, DK453PP04 : 322 Kb) EP7209 Product Bulletin (DEC '99, PB453PP2 : 970 Kb) EP7209 Development Kit Quick Start User's Guide (SEP '01, DS453DKQS-1 : 463 Kb) Errata: EP7209 Data Sheet Change (JAN '00, ER453C1 : 6 Kb) Errata: EP7209 Rev D Silicon Change (JUN '00, ER453D1 : 12Kb) Send to a colleague Print this document Copyleft 1998~2003,2004 Chang-woo YANG Last Modified: 09/30/ :30:31

untitled

untitled Embedded System Lab. II Embedded System Lab. II 2 RTOS Hard Real-Time vs Soft Real-Time RTOS Real-Time, Real-Time RTOS General purpose system OS H/W RTOS H/W task Hard Real-Time Real-Time System, Hard

More information

Microsoft PowerPoint - ARM9_uCOS-II_2004_10_14_for_print1.ppt

Microsoft PowerPoint - ARM9_uCOS-II_2004_10_14_for_print1.ppt 교육목표및대상 교육목표 대상 Real-Time OS 와 ARM9 의이해 Real-Time OS 시스템을직접작성해보는개발경험축적 임베디드시스템개발자의능력배가 프로그램언어 (ASM,C) 사용가능자및임베디드시스템개발경험자 Real-Time OS 나 ARM 에대한개념을공부하고자하는개발자, 연구책임자및학생 -1 - 임베디드시스템교육센터 교육구성 학습 실습 RTOS 의이해

More information

Microsoft Word - 1. ARM Assembly 실습_xp2.doc

Microsoft Word - 1. ARM Assembly 실습_xp2.doc ARM asm 의구조 ARM Assembly 실습 1. 기본골격 AREA armex,code, READONLY ;Mark first instruction to execute start MOV r0, #10 MOV r1,#3 ADD r0, r0, r1 ; r0 = r0 + r1 stop NOP NOP B stop ; Mark end of file 위의 asm의구조를이해하고실행해보세요.

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

Microsoft PowerPoint - 05-ARM-Instruction-Set.ppt

Microsoft PowerPoint - 05-ARM-Instruction-Set.ppt ARM 명령어집합 임베디드시스템소프트웨어 I 내용 ARM 구조 프로세서수행모드 레지스터구조 예외처리과정 ARM 명령어형식 조건수행접미사 데이터처리명령어 곱셈명령어 Load/Store 명령어 다중레지스터 Load/Store 스택명령어 SWI 명령어 Branch 명령어 상태레지스터접근명령어 명령어요약 ARM 명령어집합 2 ARM 구조특징 모든명령어가 32bit

More information

Deok9_Exploit Technique

Deok9_Exploit Technique Exploit Technique CodeEngn Co-Administrator!!! and Team Sur3x5F Member Nick : Deok9 E-mail : DDeok9@gmail.com HomePage : http://deok9.sur3x5f.org Twitter :@DDeok9 > 1. Shell Code 2. Security

More information

PowerPoint Presentation

PowerPoint Presentation ARM & ucos Ajou University Chang-yeon, Cho. Copyright c 2006 by iprinceps No parts of this document may be reproduced in any form, in an electronic e retrieval system or otherwise,

More information

ESP1ºÎ-04

ESP1ºÎ-04 Chapter 04 4.1..,..,.,.,.,. RTOS(Real-Time Operating System)., RTOS.. VxWorks(www.windriver.com), psos(www.windriver.com), VRTX(www.mento. com), QNX(www.qnx.com), OSE(www.ose.com), Nucleus(www.atinudclus.

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

ARM Reverse Engineering

ARM Reverse Engineering singi@hackerschool Facebook : @sjh21a http://kernelhack.co.kr/netsec-singi.zip - First Phase About ARM ARM Operating Mode and Registers Basic ARM Instruction Thumb Mode - Second Phase Configuration of

More information

슬라이드 제목 없음

슬라이드 제목 없음 < > Target cross compiler Target code Target Software Development Kit (SDK) T-Appl T-Appl T-VM Cross downloader Cross debugger Case 1) Serial line Case 2) LAN line LAN line T-OS Target debugger Host System

More information

기술 이력서 2.0

기술 이력서 2.0 Release 2.1 (2004-12-20) : : 2006/ 4/ 24,. < > Technical Resumé / www.novonetworks.com 2006.04 Works Projects and Technologies 2 / 15 2006.04 Informal,, Project. = Project 91~94 FLC-A TMN OSI, TMN Agent

More information

hlogin2

hlogin2 0x02. Stack Corruption off-limit Kernel Stack libc Heap BSS Data Code off-limit Kernel Kernel : OS Stack libc Heap BSS Data Code Stack : libc : Heap : BSS, Data : bss Code : off-limit Kernel Kernel : OS

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

2013년 1회 정보처리산업기사 실기.hwp

2013년 1회 정보처리산업기사 실기.hwp 국가기술자격검정실기시험문제 2013년도 기사실기시험 제 1회 자격종목(선택분야) 시험시간 수험번호 성명 감독위원 확 인 정보처리산업기사 3시간 ** 수험자 유의사항 ** 1. 시험문제지 총면수, 문제번호 순서, 인쇄상태 등을 확인한다. 2. 문제의 내용을 충분히 파악한 후, 각 문제 번호별 중에서 가장 적절한 답 한가지만을 선택하여 OMR 카드에

More information

02 C h a p t e r Java

02 C h a p t e r Java 02 C h a p t e r Java Bioinformatics in J a va,, 2 1,,,, C++, Python, (Java),,, (http://wwwbiojavaorg),, 13, 3D GUI,,, (Java programming language) (Sun Microsystems) 1995 1990 (green project) TV 22 CHAPTER

More information

Microsoft PowerPoint - LN_1_ARM_CPU_0.ppt [호환 모드]

Microsoft PowerPoint - LN_1_ARM_CPU_0.ppt [호환 모드] 프로젝트 1 1 ARM CPU Architecture 단국대학교컴퓨터학과 2009 백승재 ibanez1383@dankook.ac.kr k k http://embedded.dankook.ac.kr/~ibanez1383 강의목표 2 ARM 종류와특징및최신동향파악 ARM CPU Architecture 이해 ARM Assembly 숙지 ARM 3 ARM? Advanced

More information

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3 열차운행정보 승무원 확인시스템 구축 제 안 요 청 서 2014.6. 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3.시운전 및 하자보증 10

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

q ARM (Advanced RISC Machines) v 1990년설립 v UK-based joint venture Ø Apple Computer, Acorn Computer Group, and VLSI Technology q 32-bit RISC Intellectu

q ARM (Advanced RISC Machines) v 1990년설립 v UK-based joint venture Ø Apple Computer, Acorn Computer Group, and VLSI Technology q 32-bit RISC Intellectu ARM 아키텍쳐 - ARM 아키텍쳐 - Programming 모델 - ARM 프로세서명령어 - 예외처리와시스템리셋 - ARM9TDMI 프로세서 q ARM (Advanced RISC Machines) v 1990년설립 v UK-based joint venture Ø Apple Computer, Acorn Computer Group, and VLSI Technology

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 4 (Object) (Class) (Instance) (Method) (Constructor) Memory 1 UML 1 @ & 1 (Real World) (Software World) @ &.. () () @ & 2 (Real World) (Software World) OOA/ Modeling Abstraction Instantiation

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

Microsoft Word - FunctionCall

Microsoft Word - FunctionCall Function all Mechanism /* Simple Program */ #define get_int() IN KEYOARD #define put_int(val) LD A val \ OUT MONITOR int add_two(int a, int b) { int tmp; tmp = a+b; return tmp; } local auto variable stack

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

ARM01

ARM01 0 1 Chapter 1.1 1.2 1.3 1.4 1.5 ARM System Developer s guide 32, ARM., ARM,,,. ARM 1985, ARM1, 2001 20 ARM. ARM,., ARM,., ARM ARM7TDMI, 120 Dhrystone MIPS 1),. ARM7TDMI. ARM, RISC(Reduced Instruction Set

More information

1217 WebTrafMon II

1217 WebTrafMon II (1/28) (2/28) (10 Mbps ) Video, Audio. (3/28) 10 ~ 15 ( : telnet, ftp ),, (4/28) UDP/TCP (5/28) centralized environment packet header information analysis network traffic data, capture presentation network

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

INTRO Basic architecture of modern computers Basic and most used assembly instructions on x86 Installing an assembly compiler and RE tools Practice co

INTRO Basic architecture of modern computers Basic and most used assembly instructions on x86 Installing an assembly compiler and RE tools Practice co Basic reverse engineering on x86 This is for those who want to learn about basic reverse engineering on x86 (Feel free to use this, email me if you need a keynote version.) v0.1 SeungJin Beist Lee beist@grayhash.com

More information

ARM 아키텍쳐 - ARM 아키텍쳐 - Programming 모델 - ARM 프로세서명령어 - 예외처리와시스템리셋 - ARM9TDMI 프로세서 한국기술교육대학교 전기전자통신공학부 장영조 한국기술교육대학교임베디드 SOC 설계및실습

ARM 아키텍쳐 - ARM 아키텍쳐 - Programming 모델 - ARM 프로세서명령어 - 예외처리와시스템리셋 - ARM9TDMI 프로세서 한국기술교육대학교 전기전자통신공학부 장영조 한국기술교육대학교임베디드 SOC 설계및실습 ARM 아키텍쳐 - ARM 아키텍쳐 - Programming 모델 - ARM 프로세서명령어 - 예외처리와시스템리셋 - ARM9TDMI 프로세서 한국기술교육대학교 전기전자통신공학부 장영조 한국기술교육대학교임베디드 SOC 설계및실습 ARM (Advanced RISC Machines) v 1990년설립 v UK-based joint venture Ø Apple Computer,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 3 if, if else, if else if, switch case for, while, do while break, continue : System.in, args, JOptionPane for (,, ) @ vs. logic data method variable Data Data Flow (Type), ( ) @ Member field

More information

untitled

untitled 9 hamks@dongguk.ac.kr : Source code Assembly language code x = a + b; ld a, %r1 ld b, %r2 add %r1, %r2, %r3 st %r3, x (Assembler) (bit pattern) (machine code) CPU security (code generator).. (Instruction

More information

임베디드 시스템 구조

임베디드 시스템 구조 ARM 프로세서요약 www.dignsys.com Contents 1. 임베디드시스템의구조 2. 임베디드시스템의개발 3. ARM 아키텍처 4. ARM 프로세서의구조 5. ARM 프로세서명령어 6. Cached ARM 7. 임베디드소프트웨어개발 8. S3C2410 및실습용보드의이해 9. 디바이스제어 1 프로세서의구조 레지스터 (Register) 산술논리연산장치

More information

JMF2_심빈구.PDF

JMF2_심빈구.PDF JMF JSTORM http://wwwjstormpekr Issued by: < > Document Information Document title: Document file name: Revision number: Issued by: JMF2_ doc Issue Date: Status: < > raica@nownurinet

More information

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는 (19)대한민국특허청(KR) (12) 공개특허공보(A) (51) Int. Cl. H04N 5/44 (2006.01) H04N 7/08 (2006.01) (11) 공개번호 (43) 공개일자 10-2007-0071942 2007년07월04일 (21) 출원번호 10-2005-0135804 (22) 출원일자 2005년12월30일 심사청구일자 없음 (71) 출원인 주식회사

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

untitled

untitled Step Motor Device Driver Embedded System Lab. II Step Motor Step Motor Step Motor source Embedded System Lab. II 2 open loop, : : Pulse, 1 Pulse,, -, 1 +5%, step Step Motor (2),, Embedded System Lab. II

More information

9

9 9 hamks@dongguk.ac.kr : Source code Assembly language code x = a + b; ld a, %r1 ld b, %r2 add %r1, %r2, %r3 st %r3, x (Assembler) (bit pattern) (machine code) CPU security (code generator).. (Instruction

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

EmbeddedSoC_1주차.PDF

EmbeddedSoC_1주차.PDF 1 H/W 2 Example) PC is NOT an embedded system. 3 RCW Mirus 4 TRON OS Real-time Operating System MS WinCE 5 Must provide correct results at required time deadline For examples Security system that checks

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc 산업분석 Report / 터치스크린 211. 4. 5 비중확대(신규) 종목 투자의견 목표주가(원) 멜파스(9664) 매수(-) 67,( ) 일진디스플레이(276) 매수(신규) 14,5(-) 에스맥(9778) 매수(신규) 18,(-) 이엘케이(9419) 매수(-) 27,( ) 삼성전자 태블릿 PC 공급업체에 주목 터치스크린 산업 올해 9% YoY 성장 비중확대

More information

1

1 1 1....6 1.1...6 2. Java Architecture...7 2.1 2SDK(Software Development Kit)...8 2.2 JRE(Java Runtime Environment)...9 2.3 (Java Virtual Machine, JVM)...10 2.4 JVM...11 2.5 (runtime)jvm...12 2.5.1 2.5.2

More information

Microsoft Word - ExecutionStack

Microsoft Word - ExecutionStack Lecture 15: LM code from high level language /* Simple Program */ external int get_int(); external void put_int(); int sum; clear_sum() { sum=0; int step=2; main() { register int i; static int count; clear_sum();

More information

IDA 5.x Manual 07.02.hwp

IDA 5.x Manual 07.02.hwp IDA 5.x Manual - Manual 01 - 영리를 목적으로 한 곳에서 배포금지 Last Update 2007. 02 이강석 / certlab@gmail.com 어셈블리어 개발자 그룹 :: 어셈러브 http://www.asmlove.co.kr - 1 - IDA Pro 는 Disassembler 프로그램입니다. 기계어로 되어있는 실행파일을 어셈블리언어

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

<4D F736F F F696E74202D20322DBDC7BDC3B0A320BFEEBFB5C3BCC1A6>

<4D F736F F F696E74202D20322DBDC7BDC3B0A320BFEEBFB5C3BCC1A6> 컴퓨터시스템구성 2. 실시간운영체제 1 2 운영체제의주요기능 프로세스관리 (Process management) 메모리관리 (Memory management) 인터럽트핸들링 (Interrupt handling) 예외처리 (Exception handling) 프로세스동기화 (Process synchronization) 프로세스스케쥴링 (Process scheduling)

More information

Figure 5.01

Figure 5.01 Chapter 4: Threads Yoon-Joong Kim Hanbat National University, Computer Engineering Department Chapter 4: Multithreaded Programming Overview Multithreading Models Thread Libraries Threading Issues Operating

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

R50_51_kor_ch1

R50_51_kor_ch1 S/N : 1234567890123 Boot Device Priority NumLock [Off] Enable Keypad [By NumLock] Summary screen [Disabled] Boor-time Diagnostic Screen [Disabled] PXE OPROM [Only with F12]

More information

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & /

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & / SINUMERIK 840C Service and Uer Manual DATA SAVING & LOADING & & / / NC, RS232C /. NC NC / Computer link () Device ( )/PC / / Print erial Data input RS232C () Data output Data management FLOPPY DRIVE, FLOPPY

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

<32303132B3E2C1A632C8B8BFF6B5E531B1DE42C7FC2E687770>

<32303132B3E2C1A632C8B8BFF6B5E531B1DE42C7FC2E687770> 국 가 기 술 자 격 검 정 무 단 전 재 금 함 형별 제한 시간 수험번호 성 명 다음 문제를 읽고 가장 알맞은 것을 골라 답안카드의 답란 (1, 2, 3, 4)에 표기하시오 워드프로세싱 용어 및 기능 1. 다음 중 워드프로세서의 입력 기능에 대한 설명으로 옳지 1 행두 금칙 문자로는 (, [,,< 등이 있다. 2 KS X 1001 완성형 한글

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

wp1_120616.hwp

wp1_120616.hwp 1과목 : 워드프로세싱 용어 및 기능 1. 다음 중 문서의 효력 발생에 대한 견해로 우리나라에서 채택하 고 있는 1 표백주의 2 발신주의 3 도달주의 4 요지주의 2. 다음 중 워드프로세서의 표시기능에 대한 설명으로 옳은 1 포인트는 화면을 구성하는 최소 단위로 1포인트는 보통 0.5mm이다. 2 자간이란 문자와 문자 사이의 간격을 의미하며 자간을 조절 하여

More information

ARM 프로세서 입문

ARM 프로세서 입문 ARM 프로세서입문 내용 배경과역사주요특징 ARM 계열들개발도구 역사, 파생계열과도구들 제작 EMBEDDED SYSTEMS ACADEMY 내용 Part 1 배경과역사 RISC / CISC Acorn / Advanced RISC Machine Part 2 주요특징 Registers Interrupts Memory Thumb 3-단계명령파이프라인 5-단계명령파이프라인

More information

프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어

프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어 개나리 연구소 C 언어 노트 (tyback.egloos.com) 프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어먹고 하더라구요. 그래서,

More information

untitled

untitled Push... 2 Push... 4 Push... 5 Push... 13 Push... 15 1 FORCS Co., LTD A Leader of Enterprise e-business Solution Push (Daemon ), Push Push Observer. Push., Observer. Session. Thread Thread. Observer ID.

More information

LCD Monitor

LCD Monitor LCD MONITOR quick start guide 400FP-2 460FP-2 400FPn-2 460FPn-2 ii Floor standing type) Note LCD Display MagicInfo Software CD MagicInfo Manual CD (FPn-2.) (AAA X 2) (FPn-2.) BNC to RCA (46.) D-Sub DVI

More information

Integ

Integ HP Integrity HP Chipset Itanium 2(Processor 9100) HP Integrity HP, Itanium. HP Integrity Blade BL860c HP Integrity Blade BL870c HP Integrity rx2660 HP Integrity rx3600 HP Integrity rx6600 2 HP Integrity

More information

歯MW-1000AP_Manual_Kor_HJS.PDF

歯MW-1000AP_Manual_Kor_HJS.PDF Page 2 Page 3 Page 4 Page 5 Page 6 Page 7 Page 8 Page 9 Page 10 Page 11 Page 12 Page 13 Page 14 Page 15 Page 16 Page 17 Page 18 Page 19 Page 20 Page 21 Page 22 Page 23 Page 24 Page 25 Page 26 Page 27 Page

More information

(72) 발명자 이동희 서울 동작구 여의대방로44길 10, 101동 802호 (대 방동, 대림아파트) 노삼혁 서울 중구 정동길 21-31, B동 404호 (정동, 정동상 림원) 이 발명을 지원한 국가연구개발사업 과제고유번호 2010-0025282 부처명 교육과학기술부

(72) 발명자 이동희 서울 동작구 여의대방로44길 10, 101동 802호 (대 방동, 대림아파트) 노삼혁 서울 중구 정동길 21-31, B동 404호 (정동, 정동상 림원) 이 발명을 지원한 국가연구개발사업 과제고유번호 2010-0025282 부처명 교육과학기술부 (19) 대한민국특허청(KR) (12) 등록특허공보(B1) (45) 공고일자 2015년01월13일 (11) 등록번호 10-1480424 (24) 등록일자 2015년01월02일 (51) 국제특허분류(Int. Cl.) G06F 12/00 (2006.01) G11C 16/00 (2006.01) (21) 출원번호 10-2013-0023977 (22) 출원일자 2013년03월06일

More information

Chap04(Signals and Sessions).PDF

Chap04(Signals and Sessions).PDF Signals and Session Management 2002 2 Hyun-Ju Park (Signal)? Introduction (1) mechanism events : asynchronous events - interrupt signal from users : synchronous events - exceptions (accessing an illegal

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

歯2350h.PDF

歯2350h.PDF I C- 2350H. ( ).. ( ) (0 2 ) 3443-8844 (HITOP) : (02)704-9104 ICOM IN C. ( ) 1 1-1 1-2 2 3 - PANEL - - - - - / - - DTMF - 1 1-1 IC- 2350H" VHF/ UHF FM, 144.000MHz - 146.000MHz 430.000MHz - 440.000MHz,

More information

??뀁?뀁?

??뀁?뀁? ver. 2013-1 Leader of Digital PA-System C O N T E N T S DIGITAL PA 9000 SERIES 07 (ECS - EMERGENCY CALL SYSTEM) 23 29 38 43 46 51 Digital PA-SYSTEM 1984. 03 1985. 05 1988. 10 1990. 12 Historical Outline

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

Analytics > Log & Crash Search > Unity ios SDK [Deprecated] Log & Crash Unity ios SDK. TOAST SDK. Log & Crash Unity SDK Log & Crash Search. Log & Cras

Analytics > Log & Crash Search > Unity ios SDK [Deprecated] Log & Crash Unity ios SDK. TOAST SDK. Log & Crash Unity SDK Log & Crash Search. Log & Cras Analytics > Log & Crash Search > Unity ios SDK [Deprecated] Log & Crash Unity ios SDK. TOAST SDK. Log & Crash Unity SDK Log & Crash Search. Log & Crash Unity SDK... Log & Crash Search. - Unity3D v4.0 ios

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

(72) 발명자 서진교 경기 용인시 수지구 풍덕천2동 1167 진산마을 삼성5차아파트526동 1004호 조필제 경기 용인시 풍덕천동 725-1 유스빌 401호 - 2 -

(72) 발명자 서진교 경기 용인시 수지구 풍덕천2동 1167 진산마을 삼성5차아파트526동 1004호 조필제 경기 용인시 풍덕천동 725-1 유스빌 401호 - 2 - (51) Int. Cl. (19) 대한민국특허청(KR) (12) 공개특허공보(A) G06F 12/14 (2006.01) (21) 출원번호 10-2006-0056087 (22) 출원일자 2006년06월21일 심사청구일자 전체 청구항 수 : 총 18 항 2006년06월21일 (54) 유에스비 메모리 도난 방지 시스템 및 방법 (11) 공개번호 10-2007-0121264

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

<B1E2BCFAB9AEBCAD28C0CCB5BFBCF6295F494454486F6F6B696E672E687770>

<B1E2BCFAB9AEBCAD28C0CCB5BFBCF6295F494454486F6F6B696E672E687770> IDT Hooking을 이용한 Simple KeyLogger 이동수 alonglog@is119.jnu.ac.kr 개 요 커널 Hooking에 관하여 공부하는 중에 IDT Hooking에 관하여 알게 되었다. 이전에 공부하 였던 SSDT Hooking과는 다른 요소가 많다. IDT Hooking을 공부하면서 컴퓨터의 인터럽트 과정을 이해할 수 있는 좋은 계기가

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

No Slide Title

No Slide Title Copyright, 2017 Multimedia Lab., UOS 시스템프로그래밍 (Assembly Code and Calling Convention) Seong Jong Choi chois@uos.ac.kr Multimedia Lab. Dept. of Electrical and Computer Eng. University of Seoul Seoul, Korea

More information

훈련교재 목록-내지-0520.indd

훈련교재 목록-내지-0520.indd 1 2 HRD Book HUMAN RESOURCES DEVELOPMENT SERVICE OF KOREA 3 4 5 03 01 02 04 2015 HRD Book CONTENTS Section 01 Section 02 10 20 HRD Book Section 03 60 Section 04 70 Section 05 80 Section 06 86 Section 07

More information

untitled

untitled - -, (insert) (delete) - - (insert) (delete) (top ) - - (insert) (rear) (delete) (front) A A B top A B C top push(a) push(b) push(c) A B top pop() top A B D push(d) top #define MAX_STACK_SIZE 100 int

More information

Windows Embedded Compact 2013 [그림 1]은 Windows CE 로 알려진 Microsoft의 Windows Embedded Compact OS의 history를 보여주고 있다. [표 1] 은 각 Windows CE 버전들의 주요 특징들을 담고

Windows Embedded Compact 2013 [그림 1]은 Windows CE 로 알려진 Microsoft의 Windows Embedded Compact OS의 history를 보여주고 있다. [표 1] 은 각 Windows CE 버전들의 주요 특징들을 담고 OT S / SOFTWARE 임베디드 시스템에 최적화된 Windows Embedded Compact 2013 MDS테크놀로지 / ES사업부 SE팀 김재형 부장 / jaei@mdstec.com 또 다른 산업혁명이 도래한 시점에 아직도 자신을 떳떳이 드러내지 못하고 있는 Windows Embedded Compact를 오랫동안 지켜보면서, 필자는 여기서 그와 관련된

More information

FARA PLC N70plus 시스템 사용자 메뉴얼

FARA PLC N70plus 시스템 사용자 메뉴얼 FARA PLC N70plus FARA PLC N70plus FARA PLC N70plus FARA PLC N70plus RUN h P U S H h i RUN PROG. ERROR COMM1 COMM2 REMOTE PROG. INITIALIZE (CPL9216A) (CPL9215A) FARA PLC N70plus CPL9215A CPL9216A CPL93023

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

VxWorks소개 및 디버깅 환경.ppt

VxWorks소개 및 디버깅 환경.ppt VxWorks support-kr@windriver.com Copyright 2005 Wind River Systems, Inc. Wind River & VxWorks? Network Equipment Industrial & Automotive Aerospace & Defense Consumer 30% 20% 25% 25% Media gateways Wireless

More information

임베디드시스템설계강의자료 6 system call 1/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 6 system call 1/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 6 system call 1/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 시스템호출개요 리눅스에서는사용자공간과커널공간을구분 사용자프로그램은사용자모드, 운영체제는커널모드에서수행 커널공간에대한접근은커널 ( 특권, priviledged) 모드에서가능 컴퓨팅자원 (CPU, memory, I/O 등 ) 을안전하게보호 커널수행을안전하게유지

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

Microsoft PowerPoint - Introduction.pptx

Microsoft PowerPoint - Introduction.pptx Introduction to Embedded Linux 임베디드시스템 정의 어떤특정한기능을위해 Microprocessor/Microcontroller 가내장된시스템 특징 제한된하드웨어자원 ( 최소한의필요한자원 ) Processor, RAM, Flash memory, interfaces 경량의 OS 및 Real-Time OS 사용 WinCE, Vxworks,

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

Chap06(Interprocess Communication).PDF

Chap06(Interprocess Communication).PDF Interprocess Communication 2002 2 Hyun-Ju Park Introduction (interprocess communication; IPC) IPC data transfer sharing data event notification resource sharing process control Interprocess Communication

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

2. GCC Assembler와 AVR Assembler의차이 A. GCC Assembler 를사용하는경우 i. Assembly Language Program은.S Extension 을갖는다. ii. C Language Program은.c Extension 을갖는다.

2. GCC Assembler와 AVR Assembler의차이 A. GCC Assembler 를사용하는경우 i. Assembly Language Program은.S Extension 을갖는다. ii. C Language Program은.c Extension 을갖는다. C 언어와 Assembly Language 을사용한 Programming 20011.9 경희대학교조원경 1. AVR Studio 에서사용하는 Assembler AVR Studio에서는 GCC Assembler와 AVR Assmbler를사용한다. A. GCC Assembler : GCC를사용하는경우 (WinAVR 등을사용하는경우 ) 사용할수있다. New Project

More information

일반적인 네트워크의 구성은 다음과 같다

일반적인 네트워크의 구성은 다음과 같다 W5200 Errata Sheet Document History Ver 1.0.0 (Feb. 23, 2012) First release (erratum 1) Ver 1.0.1 (Mar. 28, 2012) Add a solution for erratum 1, 2 Ver 1.0.2 (Apr. 03, 2012) Add a solution for erratum 3

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

ODS-FM1

ODS-FM1 OPTICAL DISC ARCHIVE FILE MANAGER ODS-FM1 INSTALLATION GUIDE [Korean] 1st Edition (Revised 4) 상표 Microsoft, Windows 및 Internet Explorer는 미국 및 / 또는 다른 국가에서 Microsoft Corporation 의 등록 상표입 Intel 및 Intel Core

More information

PRO1_16E [읽기 전용]

PRO1_16E [읽기 전용] MPI PG 720 Siemens AG 1999 All rights reserved File: PRO1_16E1 Information and MPI 2 MPI 3 : 4 GD 5 : 6 : 7 GD 8 GD 9 GD 10 GD 11 : 12 : 13 : 14 SFC 60 SFC 61 15 NETPRO 16 SIMATIC 17 S7 18 1 MPI MPI S7-300

More information

PWR PWR HDD HDD USB USB Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC DDNS (

PWR PWR HDD HDD USB USB Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC DDNS ( PWR PWR HDD HDD USB USB Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC DDNS (http://ddns.hanwha-security.com) Step 1~5. Step, PC, DVR Step 1. Cable Step

More information

Massive yet responsive turning centers without compromise. The most powerful machines in their class. 02 Powerful, Heavy Duty Turning Center Powerful, Heavy Duty Turning Center 03 PUMA 480 series PUMA

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information