CONTENTS 1. 3D NAND Big Cycle 도래 6 1) 2017년삼성전자와 SK하이닉스의 3D NAND 투자 6 2) 3D NAND: 무엇이달라지나? 8 3) 3D NAND 관련증착장비업체 10 4) 3D NAND 투자로수혜받는소재업체 11 5) 소재업체

Size: px
Start display at page:

Download "CONTENTS 1. 3D NAND Big Cycle 도래 6 1) 2017년삼성전자와 SK하이닉스의 3D NAND 투자 6 2) 3D NAND: 무엇이달라지나? 8 3) 3D NAND 관련증착장비업체 10 4) 3D NAND 투자로수혜받는소재업체 11 5) 소재업체"

Transcription

1

2 CONTENTS 1. 3D NAND Big Cycle 도래 6 1) 217년삼성전자와 SK하이닉스의 3D NAND 투자 6 2) 3D NAND: 무엇이달라지나? 8 3) 3D NAND 관련증착장비업체 1 4) 3D NAND 투자로수혜받는소재업체 11 5) 소재업체 : 실적안정성및중장기성장성확보 반도체장비개요 15 1) 반도체전공정장비시장은 336 억달러로 NAND 시장과대등 15 2) 각공정별시장규모및점유율 반도체소재 : 액체및가스의용도와현황 2 1) 국내반도체공정용액체및가스업체에주목 2 2) 반도체소재기술및업체정리 21 Appendix. 반도체공정 A~Z 26 1) 반도체공정의흐름 26 2) 웨이퍼의제조 28 3) 산화 ( 성막 ) 29 4) 포토공정 3 5) 식각공정 31 6) 박리 / 세정 32 7) 확산 ( 이온주입 ) 33 8) 증착공정 ( 성막 ) 34 9) 연마 /CMP(Chemical Mechanical Polishing) 36 1) 게이트형성 37 11) Probe Test 38 12) Grinding / Wafer Saw 39 13) Die Attach / Wire Bonding 4 14) Molding / Marking 41 15) Package Test 42 16) 패키지의유형 Top Picks 및관심종목 48 솔브레인 (3683) 49 SK 머티리얼즈 (3649) 56

3 216 년 11 월 2 일 I Equity Research 반도체공정이해하기 : 3D NAND 에투자하자 3D NAND 투자로인해 Big Cycle 도래 217년은삼성전자와 SK하이닉스의 3D NAND 투자가본격화될전망이다. 삼성전자는평택공장을 3D NAND 투자로활용한다고언급했으며, 최종적으로는 1~12만장 / 월규모의라인을설치할것으로예상된다. SK하이닉스는 3D NAND 투자에대한구체적인계획을확정하지않았지만, 소정의결과물이연내로도출되어의사결정이조만간이루어질것으로예상한다. 국내반도체업체들의 3D NAND 투자규모와시기가구체적이지않지만, 217~218년안에투자가활발할가능성은상당히높다는판단이다. 국내반도체장비및소재업체에게는큰기회가제공될것으로판단된다. 반도체소재업체가실적안정성확보국내반도체업체들의점유율확대와지위상승에따라국내소재및장비업체들의수혜가지속되고있다. 특히 3D NAND는삼성전자가선도적으로개척한영역으로국내장비및소재업체의실적레벨업에기여했다는판단이다. 실적의규모측면에서글로벌선도업체들에미치지못하지만, 3D NAND에서중요시되는증착과식각공정에필요한장비와소재를공급중에있다. 향후최소 2년동안투자가진행될가능성이높아해당업체들에대한투자전략은유효하다는판단이다. 장비와소재모두투자매력이높지만, 실적의중장기안정성을감안해서소재업체에대한비중확대전략을제시한다. Top Picks: 솔브레인, SK머티리얼즈 Top picks Industry In-depth Overweight 종목명투자의견 TP(12M) CP(11 월 1 일 ) 솔브레인 (3683) BUY 84, 원 64,5 원 SK 머티리얼즈 (3649) BUY 2, 원 155,4 원 Financial Data 투자지표단위 F 217F 218F 매출액십억원 ,174 1,318 1,466 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 19,25 54,2 72,823 82,41 92,231 증감율 % (17.79) PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 278, ,54 371, ,41 52,76 DPS 원 665 1,31 1,31 1,31 1,31 주 : 위종목의합산실적 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 반도체소재업종최선호주로솔브레인, SK머티리얼즈를제시한다. 3D NAND에서공정수가증가하는증착, 식각에사용되는소재와가스를제공하고있어수혜가예상되기때문이다. 솔브레인은 3D NAND 증설에따라식각과 CMP의수혜가예상되며, PER 1.배로주가매력도높다. SK머티리얼즈는공정내특수가스의수요증가와증착용가스및전구체공급확대가기대된다. 3

4 Summary 그림 1. Global NAND CAPEX 증가 글로벌업체들의 NAND 투자증가 ( 백만달러 ) 25, DRAM NAND 2, 15, 1, 5, F 17F 18F 자료 : Gartner, 하나금융투자 그림 2. 삼성전자의 NAND CAPA 현황및전망 삼성전자는 17 라인과평택공장에 3D NAND 투자 ( 천장 / 월 ) 6 Fab12 Fab16 XiAn Fab 17 평택 Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F 자료 : 삼성전자, Gartner, 하나금융투자 그림 3. SK 하이닉스의 NAND CAPA 현황및전망 SK 하이닉스는 M14 라인에 3D NAND 투자 ( 천장 / 월 ) 3 25 M11 M12 M Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F 자료 : SK 하이닉스, Gartner, 하나금융투자 4

5 그림 4. 국내반도체업체의투자와반도체소재업체들의외형성장추이 소재업체들의외형성장률낮지만, 실적안정성확보 ( 조원조원 ) Fab11B Fab12,13 M1 삼성전자 하이닉스 소재매출액지수 ( 우 ) Fab11A Fab15 Wuxi 46nm Fab nm M12 M14 1Q3 1Q4 1Q5 1Q6 1Q7 1Q8 1Q9 1Q1 1Q11 1Q12 1Q13 1Q14 1Q15 44nm 35nm 38nm 28nm 29nm Fab16 25nm (PT, 1Q3 = 1) Line 17 23/2nm 21nm 자료 : 각사, 하나금융투자 그림 5. 국내반도체업체의투자와반도체장비업체들의외형성장추이 장비업체들은실적변동성있지만, 외형성장률높음 ( 조원 ) 삼성전자 (PT, 1Q3 = 1) 7 8 하이닉스 Fab16 Line 17 28nm 6 7 장비매출액지수 ( 우 ) Fab Fab11A 4 3 Fab11B Fab15 23/2nm Fab12, nm 35nm 21nm 2 25nm 2 38nm 29nm Wuxi 1 M1 44nm 1 M12 25nm M14 1Q3 1Q4 1Q5 1Q6 1Q7 1Q8 1Q9 1Q1 1Q11 1Q12 1Q13 1Q14 1Q15 자료 : 각사, 하나금융투자 그림 6. 소재업체들과글로벌동종업체밸류에이션비교 소재업체들은글로벌동종업체대비 성장률높은데고불구하고저평가 AIR PRODS (PER) LINDE MERCK 원익머트리얼즈 MITSUB GAS CHEM TAIYO NIPPON SAN CABOT HITACHI SK머티리얼즈한솔케미칼 CHEMICAL 솔브레인이엔에프테크놀로지 후성 (EPS Growth) -2% -1% % 1% 2% 3% 4% 자료 : Bloomberg, 각사, 하나금융투자 5

6 1. 3D NAND Big Cycle 도래 1) 217년삼성전자와 SK하이닉스의 3D NAND 투자 3D NAND 투자증가삼성전자와 SK하이닉스양사모두 217년에 3D NAND 투자를집행할것으로예상된다. 삼성전자는평택공장을 216년내에완공할예정이며, 217년연초에장비발주를시작할것으로추정된다. 16년하반기 17라인에 3D NAND 투자를집행하며장비반입이진행되고있는것으로파악된다. 평택공장은 17라인투자와별개로추가적인 CAPA 를확보하는것이다. 평택공장은월 12만장의웨이퍼투입이가능한정도의규모로파악되며, 217년내에최소월 3~6만장이상의라인을확보할것으로예상된다. SK하이닉스는아직 3D NAND의투자에대한구체적인언급이없는상황이다. 다만 Toshiba, Micron 등의업체가 217년 3D NAND 투자를단행하는만큼 SK하이닉스의 3D NAND 투자에대한결정도가까운시일안에결정될것으로판단된다. 북미고객사향 48단 NAND 품질승인이연내에가능할것으로추정되기때문이다. 그림 7. 삼성전자 NAND 생산능력현황및향후전망 17 라인과평택에 3D NAND 투자 ( 천장 / 월 ) 6 Fab12 Fab16 XiAn Fab 17 평택 Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F 자료 : 삼성전자, 하나금융투자 그림 8. SK 하이닉스의 NAND 생산능력현황및향후전망 M14 라인에 3D NAND 투자 ( 천장 / 월 ) 3 M11 M12 M Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F 자료 : SK 하이닉스, 하나금융투자 6

7 글로벌업체의 217 년 NAND 투자 전년대비 13% 증가전망 218 년까지도증가추세지속 국내업체를필두로글로벌업체들의 NAND 투자금액역시증가할것으로전망된다. 217 년글로벌 NAND 투자금액은 178억달러에달해전년대비 13% 증가할것으로추정된다. 삼성전자와 SK하이닉스의투자금액및규모가확정되지않았지만, 218년까지투자가진행될것으로보여진다. 삼성전자가시안공장에월 12만장정도의생산시설을갖추기위해투자한금액은 1조원이넘는것으로파악된다. 화성공장의 16라인의최대생산량이 2만장이고 2조원에근접한금액이투자되었기때문에투자규모와금액을감안하면만장당 1조원내외의금액이투자된셈이다. 평택공장의규모면에서최대시설이며, 또한시안공장보다단수가올라간 64 단중심의생산라인이기때문에투자금액이높아질것으로추정된다. 1차적으로 3만장이상의생산시설을확보하는초기투자금액은 15조원이상으로알려졌다. 219년안에는평택공장의생산능력은월 12만장규모로증가할것이며, 그에따라추가적으로 1조원이상이투자될것으로추정된다. 그림 9. 글로벌 NAND CAPEX 전망 218 년까지 NAND 투자증가 ( 백만달러 ) 25, DRAM NAND 2, 15, 1, 5, 자료 : Gartner, 하나금융투자 F 17F 18F 표 1. 삼성전자 fab 별투자금액 ( 단위 : 십억원 ) 메모리 11라인 메모리 12라인 메모리 13라인 메모리 14라인 메모리 15라인 3,17 1,22 2 2,53 2,75 1,359 메모리 16라인 3,94 3, 메모리 17라인 3,757 2,75 메모리 18라인 ( 평택 ) 439 LSI S라인 1, LSI S1라인 925 4, LSI 1라인재건축 LSI S3라인 증설및보완투자 6,24 6,533 4,953 5,68 4,99 4,542 Total 12,193 12,892 14,94 1,64 12,59 12,14 YoY Grwoth 153% 6% 9% -25% 13% % 자료 : 삼성전자, 하나금융투자 7

8 2) 3D NAND: 무엇이달라지나? 3D NAND 는미세공정한계에봉착해 수직으로쌓아올리는구조 3D NAND는평면미세공정전환으로는셀간의간격이좁아져전자가누설되는간섭현상이심화되어한계에봉착해도입된제조공정이다. 구조적인측면에서보면기존 2D NAND 가평면으로배열하던것을 3D NAND는원기둥모양의트랜지스터를수직으로쌓아올린후에쌓인기둥들을배열하는형태이다. NAND는 DRAM과달리트렌지스터하나로구성되어있어구조가단순해수직으로쌓을수있다. DRAM은데이터를저장하는캐퍼시터와트렌지스터로구성되어있어구조가상대적으로복잡하다. 그림 1. 2D NAND vs 3D NAND 3D NAND 는원기둥모양으로 적층하는구조 Floating Gate 2D CTF 3D CTF 3D V-NAND Control Gate Layer 32 S Substrate-Si D S Gate Insulator Si-Channel Substrate-Si D Control Gate Insulator Layer 1 자료 : PC PERSPECTIVE, 하나금융투자 그림 11. 3D NAND 구조 그림 12. DRAM 은트랜지스터와캐퍼시터로구성 Capacitor Source Gate SiO 2 2-D CHANNEL Drain Cell Periphery P-Si SUBSTRATE 1 Channel 2 Gate Stack 3 3D Shaping 자료 : 삼성전자, 하나금융투자 8

9 3D NAND 의공정특성상 증착과식각이중요 3D NAND는원통모양의트랜지스터를수직으로쌓아올리기때문에증착공정의횟수증가가불가피하다. 그리고증착공정이진행되며두꺼워진층및막에구멍을뚫거나특정층을제거하기위한식각공정의난이도및횟수도동반상승한다. 따라서 3D NAND의투자집행및향후단수증가에직접적인영향을받는공정은증착과식각으로판단한다. 공정의난이도와중요성을고려했을때관련장비및소재업체의수혜가기대된다. 그림 13. 3D NAND 공정흐름도 적층후에식각으로관통홀을생성 Oxide Nitride Oxide Nitride Oxide Nitride Oxide Silicon Substrate 산화막 / 질화막증착 관통홀 ETHCHING 폴리실리콘증착 산화막증착 내부질화막증착착 내부산화막증착 질화막식각 원통형구조로식각 유전체증착 컨트롤게이트형성 컨트롤게이트간분리 그림 14. 3D NAND 원통형구조의축적 셀간공간확보로데이터간섭현상감소 자료 : 삼성전자, 하나금융투자 9

10 3) 3D NAND 관련증착장비업체 PE CVD 와 ALD 장비중용 3D NAND의 ONO, ACL, ARC 증착을위한 PE CVD장비수요가증가할전망이다. 높이쌓으면서휘어지는현상을막기위해얇은막질을구현하는 ALD(Atomic Layer Deposition: 원자층증착 ) 장비도중요해질것이다. 장비단수를올릴때마다 ONO 증착횟수가늘어나는데, ONO는 Oxide-Nitride-Oxide( 산화물- 잘화물- 산화물 ) 의약자로산화물과질화물을순차적으로쌓는공정이다. O는절연막질을형성하고, N은임시적인층으로이후공정에서식각하는막질이다. 또한단수가올라갈수록더깊은식각공정이필요하기때문에더두꺼운막질구현이필요하다. 보다두꺼운막질인 ACL(Amorphous Carbon Layer: 비결정질탄소막 ) 을증착하는수요가증가할것이다. ARC(Anti Reflective Coating: 무반사코팅 ) PE CVD는포토공정상난반사를막기위해사용되는장비이다. 원익IPS 는 ONO증착과 ALD장비를테스는 ACL증착장비를공급하고있어수혜가예상된다. 그림 15. 증착과식각공정의시장규모성장률이양호할것으로전망 ( 백만달러 ) 12, 노광증착식각, 박리및세정공정컨트롤 1, 8, 6, 4, 2, F 217F 218F 자료 : Gartner, 하나금융투자 표 2. 공정별장비공급업체 공정장비 주요업체 연마 (CMP) 케이씨텍, Applied Materials, Ebara 포토 (Lithography) ASML, Nikon, Canon 식각 (Etching) Lam, Tokyo Electron, Applied Materials 박리 (Strip) 피에스케이, Lam, Hitachi High Tech 세정 (Cleaning) 케이씨텍, 피에스케이, 테스, Screen Semiconductor, Tokyo Electron 이온 / 불순물주입 (Doping) Applied Materials, Axcelis, SMIT 증착 (Deposition) 원익IPS, 테스, 주성엔지니어링, 유진테크, Applied Materials, Lam, Tokyo Electron 주 : 3D NAND의직접적인수혜종목 Bold 처리 1

11 4) 3D NAND 투자로수혜받는소재업체 솔브레인 : 식각 SK머티리얼즈 : 증착, 세정원익머트리얼즈 : 증착, 식각한솔케미칼 : 세정 3D NAND의증착및식각공정에사용되는특수가스및소재의수요도증가할것으로기대된다. 3D NAND에서는질화물 (N) 을고선택비인산액 (HSN: High Selectivity Nitride) 으로식각한후에메탈 CVD로텅스텐게이트를올린다. 텅스텐증착공정이란 WF6( 육불화텅스텐 ) 과 H2( 수소 ) 를반응시켜텅스텐막질을형성하는것을말한다. 관련소재인 WF6는 SK 머티리얼즈와후성이공급한다. 또한원익머트리얼즈도 PE CVD 공정에필요한특수가스를공급중이다. 앞서언급했듯이단수가올라갈수록식각의기술도상향되어야한다. 예를들어, 48층 3D 낸드는종전 32층제품보다 5% 이상긴구멍을뚫어야한다. 구멍이길어지면서난이도가올라가는것은물론이고, 구멍이깊어지면서균일한두께로뚫는것또한어려워진다. 이에따라건식식각기술이고도화되어야한다. 건식식각에필요한특수가스는원익머트리얼즈가공급중이며, 공정후에세정을위한가스는 SK머티리얼즈가공급한다. 막질두께가얇은질화물식각을위해서는보다세밀하게공정을진행할수있는습식식각공정이필요하다. 식각소재는솔브레인과이엔에프테크놀로지가공급중인것으로파악된다. 증착과식각도중요하지만, CMP(Chemical Mechanical Polishing: 화학적기계연마 ) 공정도수혜는있다. CMP에필요한재료인슬러리는솔브레인과케이씨텍이공급한다. 표 3. 식각방식에다른차이 건식 습식 소재 플라즈마가스 식각액 방식 물리 + 화학 화학 선택도 낮다 높다 Etch Etch 식각형태 미세패턴가공용이어렵다 생산성낮다높다 표 4. 공정별소재공급업체 공정재료 CMP슬러리 Photoresist( 감광제 ) Etchant( 습식식각 ) Etching Gas( 건식식각 ) 솔브레인, 케이씨텍, Hitachi Chem, AirProduct, Cabot 동진쎄미켐, 동우화인켐, Merck 주요업체 솔브레인, 이엔에프테크, Mitsubishi Chem, Sumitomo Chem, Hitachi Chem SK 머티리얼즈, 원익머트리얼즈, 후성, Sumitomo Seika Chem, Kanto Denka, Taiyo Nippon Sanso, Air Product, Showa Denko, Linde 세정재료한솔케미칼, SK머트리얼즈, tsubishi Gas Chem 전구체원익머트리얼즈, 디엔에프, 한솔케미칼, 후성, 솔브레인, Air Product 증착 Gas SK머티리얼즈, 원익머트리얼즈, 후성, Sumitomo Seika Chem, Kanto Denka, Taiyo Nippon Sanso, Air Product, Showa Denko 주 : 3D NAND의직접적인수혜종목 Bold 처리 11

12 5) 소재업체 : 실적안정성및중장기성장성확보 반도체소재업체가 실적안정성은확보 3D NAND의투자와관련해서장비와소재업체모두수혜가있기때문에전반적으로비중확대전략이유효하다는판단이다. 장비업체의매출액은고객사의투자금액과상관계수는.85로매우높게나타났다. 소재의경우에는고객사의투자보다는생산라인의가동과매출액이연동될것이다. 다만투자가감소한다는것은업황의부진을의미하기때문에소재업체의매출액감소도불가피하다. 그럼에도소재업체의매출액변동폭은장비업체보다작게나타난다. 반면에투자금액의증가시에는외형확대가단기간에크게나타나며그에따른시가총액변동폭도동반되는것으로확인된다. 따라서투자자의성향에따라장비와소재의상대적인비중확대전략이합리적일것으로판단한다. 하나금융투자는실적이안정적인, 즉변동성이작아위험부담이적은소재업체를추천한다. 그림 16. 삼성전자, SK 하이닉스의투자금액과반도체소재업체들의외형성장 생산라인의가동과함께하기 때문에안정적성장이특징 ( 조원조원 ) Fab11B Fab12,13 M1 삼성전자 하이닉스 소재매출액지수 ( 우 ) Fab11A Fab15 Wuxi 46nm Fab nm M12 M14 1Q3 1Q4 1Q5 1Q6 1Q7 1Q8 1Q9 1Q1 1Q11 1Q12 1Q13 1Q14 1Q15 44nm 35nm 38nm 28nm 29nm Fab16 25nm (PT, 1Q3 = 1) Line 17 23/2nm 21nm 자료 : 각사, 하나금융투자 그림 17. 삼성전자, SK 하이닉스의투자금액과반도체장비업체들의외형성장 고객사의투자금액에따라 외형성장이크게나타나지만, 반대급부로실적변동성상존 ( 조원 ) (PT, 1Q3 = 1) 삼성전자 7 8 하이닉스 Fab16 Line 17 28nm 6 7 장비매출액지수 ( 우 ) Fab11B Fab12,13 M1 Fab11A Fab15 Wuxi 25nm M12 M14 1Q3 1Q4 1Q5 1Q6 1Q7 1Q8 1Q9 1Q1 1Q11 1Q12 1Q13 1Q14 1Q15 46nm Fab nm 35nm 38nm 29nm 25nm 23/2nm 21nm 자료 : 각사, 하나금융투자 12

13 소재업체의안정적인실적이매력 장비업체는고객사의투자감소로인해매출액의변동폭이크게나타났고, 업체전반적으로 영향이불가피했을것이다. 그에따라영업이익도그에동반되었고시가총액의변동도동행 되는것을확인할수있다. 소재업체의영업이익이 13~14년에걸쳐악화된원인은솔브레인의글래스슬리밍쪽의대폭영업적자와후성의냉매가스의적자전환에의한것이다. 소재본연의영업손실폭은크게나타나지않았을것으로추정된다. 영업적자가크게나타났음에도시가총액이연동되지않은것은소재업체전체의영업악화가아니라특정업체에의한것이기때문이다. 다시한번정리하면, 위험부담이높지만시가총액증가폭이큰장비업체에투자하느냐, 시가총액증가폭은낮지만변동성이적은소재업체에투자하느냐는투자자의성향과투자기간에따라상이하다. 현재 17라인의장비반입이진행중이고, 17년상반기중에평택공장의장비반입이시작될것으로전망되기에장비업체는단기적으로양호한주가흐름을예상한다. 소재업체는 16년 4분기부터 17라인의증설분이 17년하반기부터평택공장의증설분이실적에반영되기시작할것으로추정한다. 소재업체는 17년연간으로보유전략이유효하며, 장비업체는삼성전자의투자공백기를예의주시할필요가있을것이다. 그림 18. 장비업체실적과시가총액의변동성 변동성이크지만시총증가폭도크다 ( 십억원 ) 장비업체영업이익 장비시가총액 ( 우 ) ( 십억원 ) 14 6, (2) (4) 1Q9 3Q9 1Q1 3Q1 1Q11 3Q11 1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15 1Q16 5, 4, 3, 2, 1, 자료 : 각사, 하나금융투자 그림 19. 소재업체의영업이익하락은일부업체의다른사업부에의한실적악화 소재업체의안정적인실적흐름처럼 시가총액변동성도상대적으로작다 ( 십억원 ) 소재업체영업이익 소재시가총액 ( 우 ) ( 십억원 ) 14 6, Q9 3Q9 1Q1 3Q1 1Q11 3Q11 1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15 1Q16 5, 4, 3, 2, 1, 자료 : 각사, 하나금융투자 13

14 글로벌동종업체와동등한밸류에이션가능하다고판단 Top Picks: 솔브레인, SK머티리얼즈관심종목 : 한솔케미칼, 원익머트리얼즈 글로벌동종업체와의실적및주요투자지표를비교하면국내업체들이저평가중에있다. 소재는글로벌동종업체의 PER 평균이 16.3배, 국내업체는 12.배로, 장비는글로벌평균이 13.2배, 국내평균은 1.배로각각 36%%, 32% 저평가되어있다. 밸류에이션의차이는글로벌업체의경우에다양한포트폴리오와고객사를확보해매출및이익규모에서차이가나기때문으로생각된다. 216년부터진행중인 3D NAND 투자와 217년글로벌반도체업체들의 3D NAND 투자본격화에서는국내업체들의저평가요소가해소될가능성이높다고판단된다. 3D NAND 공정에서핵심인증착과식각공정에필요한장비와소재를이미삼성전자에공급했기때문이다. 향후증설시에도기존업체들의수주가능성은단연높을것으로판단되며, 현재사용중인소재에대해서도변경리스크를지지는않을것으로판단되기때문이다. 하나금융투자는실적의중장기안정성을고려해서소재업체를선호한다. 소재업체중에서는 EPS 성장률과 PER, 시장에서의지위와제품의다양성을고려해서솔브레인과 SK머티리얼즈를최선호주로제시한다. 관심종목은다양한제품을바탕으로성장성이기대되는원익머트리얼즈, 독과점적인시장지위를확보한한솔케미칼이다. 그림 2. 반도체소재 Global Peer 및국내업체 국내소재업체들은높은 EPS Growth 에도불구하고저평가 AIR PRODS (PER) LINDE MERCK 원익머트리얼즈 MITSUB GAS CHEM TAIYO NIPPON SAN CABOT HITACHI SK머티리얼즈한솔케미칼 CHEMICAL 솔브레인이엔에프테크놀로지 후성 (EPS Growth) -2% -1% % 1% 2% 3% 4% 자료 : Bloomberg, 하나금융투자 그림 21. 반도체장비 Global Peer 및국내업체 국내장비업체들도 밸류에이션할인요소제거중 18 (PER) 16 HITACHI HIGH TEC LAM AMAT TEL 1 유진테크 피에스케이 8 케이씨텍 주성엔지니어링 (EPS Growth) -5% % 5% 1% 15% 2% 25% 3% 35% 4% 45% 자료 : Bloomberg, 하나금융투자 14

15 2. 반도체장비개요 1) 반도체전공정장비시장은 336억달러로 NAND 시장과대등 217년전공정장비는 6% 성장반도체전공정장비시장의규모는 216년 271억달러에달할것으로추정되어 NAND의 315억달러시장규모와유사한수준이다. 217년에는 357억달러로전년대비 6% 성장할것으로전망된다. 시장조사기관인 Gartner가 213년까지서비스했던자료에의하면, 전공정장비시장의규모는후공정장비시장의 5배정도의규모이다. 후공정장비는웨이퍼에서칩을절단해금속배선과연결하고패키징하는장비와각종테스트장비를말한다. 전공정장비와후공정장비의시장규모가반도체업체들이증설시에각각의공정장비를구입하는비용일것이다. 따라서반도체업체들의 CAPEX 중 75% 는전공정에, 15% 는후공정으로집행될것으로추정한다. 그림 22. 반도체장비시장규모 ( 백만달러 ) 1, 9, 8, 7, 6, 5, 4, 3, 2, 1, F 17F 18F 자료 : Gartner, 하나금융투자 그림 23. 메모리시장규모 (DRAM, NAND) ( 백만달러 ) 5, 45, 4, 35, 3, 25, 2, 15, 1, 5, 자료 : Gartner, 하나금융투자 DRAM NAND Flash F 17F 18F 15

16 증착, 노광, 식각이 3 대장비 반도체업체들의투자집행시에 75% 를차지하는전공정이중요할것이다. 전공정장비의시장규모를세부적으로살펴보면, 216년기준으로노광과증착, 식각공정의비중이각각 24%, 23%, 27% 로높은비중을차지한다. 3개의공정은전공정중의핵심공정이라할수있으며, 앞서언급한것과같이 3D NAND의투자에있어서식각과증착은그중요도가더높아질것으로판단된다. 그에따라서 218년에는식각과증착의공정비중이각각 1%p 확대될전망이다. 포토공정은비메모리반도체에서미세공정을위한고가의 EUV 노광장비의도입이예상되고있다. 핵심 3대공정은 218년까지전공정장비내에서상대적으로높은성장률을시현할것으로기대된다. 그림 24. 전공정중에서도포토, 증착, 식각이핵심 1% 9% 8% 7% 6% 5% 4% 3% 2% 1% % 포토 PR 처리이온 / 불순물주입산화 / 확산식각박리증착 CMP 기타세정 F 17F 18F 자료 : Gartner, 하나금융투자 그림 25. 증착과식각은 218 년까지높은성장률전망 8% 전공정포토식각증착 7% 6% 5% 4% 3% 2% 1% % 16F 17F 18F 자료 : Gartner, 하나금융투자 16

17 2) 각공정별시장규모및점유율 국내업체들이포함된공정장비는증착, 박리, CMP 국내업체들이다수포진한증착장비 3대전공정인포토, 식각, 증착장비와전공정중에국내업체들이포함되어있는박리와 CMP 장비의시장규모및전망, 점유율에대해알아보겠다. 전공정중에서가장큰장비시장은증착이다. 증착장비시장은 216년 72억달러에달하며, 218년에는 8억달러규모로증가할전망이다. 증착공정이단순하게 1개공정이아니기때문에해당하는장비의종류가다수있다. 그중에서도 CVD(Chemical Vapor Deposition: 화학적기상증착 ) 장비가증착장비내에서 66% 로가장높은비중을차지한다. CVD장비에는앞에서도언급한 ALD장비와 PE CVD장비가포함되어있다. CVD장비에서는 Applied Materials 가 3% 의점유율로 1위, TEL(Tokyo Electron) 과 Lam Research 가 2위권이다. 국내업체들은 PE CVD와 ALD장비를공급중인데, 해당장비내로국한하면그림 27보다는점유율이높다. 216년부터해당장비내에서점유율이확대될것으로추정되는데, 삼성전자의 3D NAND 투자안에서국내업체들의수주가지속되고있기때문이다. 그림 26. 증착장비시장규모추이및전망 그림 27. 증착장비중에는국내업체들이다수 ( 백만달러 ) 9, 8, 7, 6, 5, 4, 3, 2, 1, 테스 1% 유진테크 1% 주성엔지니어링 1% 원익 IPS 3% Others 24% Lam 2% Applied Materials 29% Tokyo Electron 21% F 17F 18F 자료 : Gartner, 하나금융투자 자료 : Gartner, 하나금융투자 17

18 포토장비는 ASML이단연 1위포토장비의시장규모는전공정내에서두번째로크다. 216년 63억달러에서 218년 69 억달러규모로성장할전망이다. 포토장비는반도체패턴을형성하기위해웨이퍼위에자외선을쬐어주는장비로서네덜란드의 ASML이독보적인 75% 의점유율을확보하고있다. 미세화패턴을위해보다짧은파장의빛이필요하기때문에광학기술이노광장비의경쟁력이라할수있다. ASML은차세대광원인 EUV 장비에대한개발이완료된것으로파악되며, 비메모리반도체업체들이 1나노이하공정에장비를도입할것으로예상된다. 일본업체인 Nikon 과 Canon이뒤를잇고있지만, 그점유율차이가쉽게좁혀지지는않을것으로추정된다. 그림 28. 포토장비시장규모추이및전망 그림 29. 포토장비는상위 4 개업체가점유율 98% 차지 ( 백만달러 ) 1, 9, 8, 7, 6, 5, 4, 3, 2, 1, F 17F 18F Canon 6% NuFlare 6% Nikon 11% Others 2% ASML 75% 자료 : Gartner, 하나금융투자 자료 : Gartner, 하나금융투자 식각장비에서는세메스가 5 위 전공정중에 3번째비중을차지하는식각장비는 216년 62억달러에서 218년 7억달러로증가할전망이다. 증착, 포토공정과유사한수준의성장률을시현하며그비중은유지될것으로추정된다. 식각장비는 Lam Research 가 1위업체인데시장점유율이절반이상인것으로파악된다. 증착과식각장비는 1~3위업체가동일한데증착 3위업체인 Lam Researh가식각에서는 1위이고, Applied Materials 는그반대이다. 215년기준국내업체인세메스가식각장비에서 2% 의점유율을확보중이다. 테스가최근에식각장비개발이완료된것으로파악되어향후매출발생여부에주목할필요가있다는판단이다. 그림 3. 식각장비시장규모추이및전망 그림 31. 식각장비는글로벌업체들이독식 ( 백만달러 ) 8, 7, 6, Hitachi High- Hitachi High Technologie -Technologies s 5% 5% Others 5% 5, 4, 3, 2, 1, Applied Materials 18% Tokyo Electron 2% Lam Research 52% F 17F 18F 자료 : Gartner, 하나금융투자 자료 : Gartner, 하나금융투자 18

19 CMP 장비에서는케이씨텍이선전중 CMP(Chemical Mechanical Polising: 화학적연마 ) 공정은배선단차를낮추거나평탄화하는공정이다. 증착공정에서형성한막질의표면을연마해서평탄화시켜다음공정을수월하게만들어준다. 216년시장규모는 11억달러로전공정장비내에서 4% 의비중을차지한다. 218년에는 12억달러로증가할전망인데, 증착공정의횟수가늘어나면서 CMP 장비에대한수요도증가가능할것으로기대된다. 세계최대장비업체인 Applied Materials 가 7% 점유율로 1위이며, 국내업체인케이씨텍이 3% 의점유율을차지하고있다. 케이씨텍은아직 DRAM향장비만공급중에있어 3D NAND 투자에의한수혜가제한적이다. 다만, NAND 공급을위한장비개발이완료된것으로파악되어향후공급여부에주목할필요가있다는판단이다. 그림 32. CMP 장비시장규모추이및전망 그림 33. 국내에선케이씨텍이 CMP 장비공급중 ( 백만달러 ) 1,4 1,2 1, KC Tech 3% Ebara 26% Tokyo Seimitsu 1% Applied Materials 7% F 17F 18F 자료 : Gartner, 하나금융투자 자료 : Gartner, 하나금융투자 박리장비는피에스케이가 28% 점유박리장비의시장규모는 216년 2억 4천만달러로전공정장비내에서 1% 의비중에불과하다. 박리공정은식각공정후에 PR(Photo Resist, 감광액 ) 을제거, 분리시켜주는공정이다. 218년에도현재수준의규모가유지될것으로전망되어향후성장성에대한그림이밝지는않다. Lam Research 가점유율 3% 로 1위이며, 국내업체인피에스케이가 28% 의점유율로 2위다. 박리장비에대한수요가증가하지는않지만, 4위업체인 Mattson 이최근재무악화로인해정상적인영업이제한적인상태이다. 피에스케이에게는점유율을확대할수있는기회가될것으로기대된다. 그림 34. 박리장비시장규모추이및전망 ( 백만달러 ) 그림 35. 피에스케이가두각 Mattson Technology 11% Others 12% Lam Research 3% F 17F 18F Hitachi High -Technologies 19% PSK 28% 자료 : Gartner, 하나금융투자 자료 : Gartner, 하나금융투자 19

20 3. 반도체소재 : 액체및가스의용도와현황 1) 국내반도체공정용액체및가스업체에주목 국내반도체액체및가스업체선호 반도체소재는장비에비해서광범위한영역이다. 반도체웨이퍼부터시작해서각공정에필요한각종액체및가스, 패키징에필요한리드프레임까지종류가너무다양하다. 본보고서에서는반도체소재중에서공정내에사용되는액체와가스를다루려고한다. 국내상장업체가취급중인소재, 재료를중심으로사용용도와현황및글로벌동종업체에대해서언급하고자한다. 포토레지스트는동진쎄미켐, 식각액은솔브레인, 이엔에프테크놀로지, 식각가스는 SK머티리얼즈, 원익머트리얼즈, 증착가스는 SK머티리얼즈, 원익머트리얼즈, 후성, 공정특수가스는한솔케미칼이담당한다. 포토레지스트와식각액을제외하고가스형태로공급중이며, 반도체용특수가스에대한정리는다음표와같다. 표 5. 반도체용특수가스의종류와사용용도 가스종류 상태 사용용도 기호 이름 고압가스 기타 산화 확산 이온주입 CVD 식각 증착스퍼터 Ar 아르곤 O O O O O2 산소 O O O O O H2 수소 O O O Ns 질소 O O O CO2 이산화탄소 O O O O He 헬륨 O O N2O 아산화질소 O O O ASH3 아르신 O O O NH3 암모니아 O O NO 일산화질소 O O O C2H6 에탄 O O HCl 염화수소 O O O O O Cl2 염소 O O O POCl2 옥시화인 O GeH4 게르만 O O O PF5 오불화인 O O BCl3 삼불화붕소 O O PF3 삼불화인 O O CCl4 사염화탄소 O O SiCl4 사염화규소 O O O O Si2H6 디실란 O SiH2Cl2 디클로로실란 O O B2H6 디보란 O O O SiH4 모노실란 O O SbH3 스티빈 O O H2SE 셀렌화수소 O O H2Te 텔루르화수소 O O N(CH3)3 트리메틸아민 O O SiHCl3 트리크로실란 O NO2 이산화질소 O O CHF3 삼불화메탄 O O C3H8 프로판 O O C3F8 8불화프로판 O O PH3 포스핀 O O O O H2S 염화수소 O O SF6 유불화황 O O C2F6 육불화에탄 O WF6 육불화텅스텐 O NF3 삼불화질소 O O 프론류 O O 2

21 2) 반도체소재기술및업체정리 감광액 (Photoresist): 동진쎄미켐글로벌동종업체 : Sumitomo Chemical ( 동우화인켐 ) Merck 감광액시장환경은기본적으로고집적, 미세화, 3차원에의해성장중에있다. 반도체미세화공정이진행될수록광원의파장도짧아져야하며, 이에대응할수있게감광액도고도화되어야한다. 최근에는 ArF 액침노광이라불리는기술이사용되는데, 이는기존에광원의파장이짧아지는것과는상이한기술이다. 투영렌즈와웨이퍼간의공간을공기보다굴절률이높은물 ( 순수 ) 로채워대구경광학계을가능하게해서미세화를달성하는기술이다. 즉, 파장의조절이아니라투영렌즈의변화를통해미세화하는기술이다. 그에따라감광액업체들도액침ArF 를중심으로공급중에있다. 액침 ArF의판매수량은 215년을 1으로봤을때 218년에는 2배규모로성장할것으로전망된다. 국내업체중에는동진쎄미켐이감광액을공급중에있으며, Sumitomo Chemical 의 1% 자회사인동우화인켐은비상장회사이다. 표 6. 건식노광과액침노광의비교 건식노광장치 액침노광장치 純水순수 Lens 空氣공기 Lens Wafer Wafer 렌즈, 웨이파사이에는공기 (n=1.) 렌즈, 웨이퍼사이에는순수 (n=1.44) 최대 NA는.93 최대 NA는 1.35 액침전용설계이기때문에건식노광은불가능자료 : JSR, 하나금융투자 그림 년기준동진쎄미켐과동우화인켐매출액비교 그림 37. 액침 ArF 시장은 218 년에 215 년대비 2 배성장전망 ( 십억원 ) 12 동진쎄미켐 동우화인켐 25 ( 지수 ) 액침 ArF 주 : 동진쎄미켐은반도체재료매출액, 동우화인켐은스미토모화학의매출비중동일가정자료 : 각사, 하나금융투자 15 16F 17F 18F 자료 : Sumitomo Chemical, 하나금융투자 21

22 식각액 : 후성과이엔에프테크글로벌동종업체 : Mitsubishi Chemical Sumitomo Chemical Hitachi Chemical 식각액은습식식각공정에사용되는액체이다. 식각의대상은반도체그자체이거나실리콘산화막등의절연막, 금속등의전극, 배선으로다양하다. 따라서각대상물에해당하는식각액을사용해야한다. 습식식각은한번에여러장의웨이퍼처리가가능하는점에서장점을갖고있고, 플라즈마를사용하지않기때문에충격도덜하다. 다만, 정밀도가높은미세가공이어려운단점을갖고있다. 습식과건식은적절하게사용용도에맞춰중용되고있어습식식각이라고이제사용하지않는것이아니다. 국내업체인솔브레인과이엔에프테크놀로지는반도체의열산화막식각및세정에사용되는 HF(Hydrogen Fluoride: 불화수소 ) 와 BOE(Buffered Oxide Etchant) 를공급중이다. 최근에는 3D NAND 기술이확대되면서질화막만선택적으로식각하게되는 HSN(High Selectivity Nitride) 을공급하며향후성장성이밝을것으로전망된다. 그림 38. 습식식각에의한공정개념도 그림 39. 솔브레인과이엔에프테크놀로지의식각액매출액추이 막 반도체 마스크 ( 십억원 ) 솔브레인 이엔에프테크놀로지 마스크막반도체용액 마스크막반도체용액 자료 : 각사, 하나금융투자 22

23 특수가스 : 원익머트리얼즈, SK머티리얼즈, 후성글로벌동종업체 : Air Product Sumitomo Seika Chemical Kanto Denka Showa Denko Taiyo Nippon Sanso Linde NF3는식각및증착공정후에 Chamber 내에있는잔류물을제거하는특수가스다. 국내에서는 SK머티리얼즈가 NF3 글로벌 1위를차지하고있다. 216년글로벌생산능력은 2,275톤으로파악되며, 글로벌수요는 18,톤을상회할것으로추정된다. 일반적으로생산능력이수요대비 1% 상회하고있어야밸런스가유지되기때문에공급과잉상태는아니라고판단된다. 217년증설분 2천톤이예정되어있지만, 3D NAND와 OLED 투자도있어수급상황은당분간현상태가지속될가능성이높다는판단이다. NF3 외에도식각에사용되는특수가스는다양한데원익머트리얼즈는 F2를공급중에있어 3D NAND의수혜가가능할것으로기대된다. 증착가스로사용되는것은 GeH4, Si2H6, NH3 등은원익머트리얼즈의주력제품이며, 3D NAND에서수요가증가하는 WF6는후성과 SK머티리얼즈가공급중이다. 앞서언급했듯이 증착은 3D NAND의주요수혜공정이며, 그에따라 WF6도기존대비 2배가까운수요증가가예상되고있다. 그에따라 WF6 공급업체들이최근에증설을진행중인데일본업체인 Kanto Denka Kogyo는 1%, 후성은 133% 생산능력이증가할예정이다. 증설이후의수급상황에대해예의주시할필요가있지만, 현재수급밸런스가 NF3보다타이트한것으로파악되어공급과잉에대한가능성은낮을것으로전망한다. 그림 4. NF3 CAPA 현황 ( 톤 ) 8, F 7, 6, 5, 4, 3, 2, 1, SK Materials KDK Air Products Mitsui Central Glass Linde Others (Hyosung, LDK, etc.) 자료 : 각사, 하나금융투자 그림 41. WF6 CAPA 현황 ( 톤 ) F KDK Air Products Foosung SK Materials Others 자료 : 각사, 하나금융투자 23

24 반도체 CMP 슬러리 : 케이씨텍, 솔브레인글로벌동종업체 : Hitachi Chemical Air Product Ebara CMP슬러리는화학적연마공정에필요한액체이다. CMP슬러리의시장규모는 CMP장비를상회하는수준으로파악된다. 반도체장비가 216년기준 11억달러로추정되고있고, CMP슬러리시장은 13억달러내외로전망중이다. 과거에는장비시장의규모가더컸지만, 소모품인슬러리시장의규모가장비를추월한것으로파악된다. 글로벌업체인 Cabot 이점유율 4% 내외로 1위를차지하고있고, Hitachi Chemical은 2% 의점유율을확보하고있는것으로파악된다. CMP슬러리의경우에도연마대상막질및공정에따라종류가다양한데, DRAM과 NAND 같은메모리에사용되는구리가 43% 로가장높다. 이어서층간절연막 (ILD: Inter Layer Dielectric) 평탄화에쓰이는세리아가 23%, 텅스텐이 17%, 얇은절연막에상처를내지않기위해 STI(Shallow Trench Isolation) 에쓰이는실리카가 15% 로뒤를잇는다. 국내업체인케이씨텍과솔브레인은 ILD에사용되는세리아계열슬러리를공급중에있는것으로파악된다. 3D NAND에서는메탈계열인구리와텅스텐의사용량이증가할것으로전망되어이를위한공급준비를진행중인것으로파악된다. 향후주목할필요가있다는판단이다. 그림 년글로벌 CMP 슬러리시장규모는 13 억달러에달함 그림 43. CMP 슬러리의공정별점유율 ( 백만 USD) CMP 슬러리 STI 15% 폴리실리콘 2% 텅스텐 17% 구리벌크 / 배리어 43% F 217F 218F ILD 23% 자료 : GNC, 하나금융투자 자료 : GNC, 하나금융투자 24

25 반도체 과산화수소 : 한솔케미칼 글로벌동종업체 : Mitsubishi Gas Chemical 과산화수소는반도체공정에필요한특수가스다. 식각, 증착등의메인공정의전후에는웨이퍼를세정해야하는데그세정액으로과산화수소가사용되고있다. 국내에서는한솔케미칼과 OCI 등의업체가과산화수소를생산중에있는데, 세정액으로사용되는과산화수소의원재료를공급하는개념으로이해하면되겠다. 한솔케미칼이생산하고 1차정제한과산화수소를삼영순화 ( 일본미쓰비시가스화학과국내합병회사 ) 에공급하면 2차정제를마쳐서고객사에공급하는형태이다. 과산화수소의정제정도에따라서사용용도가달라지는것으로파악되며, 반도체및디스플레이용으로한솔케미칼과 OCI는각각삼영순화와동우화인켐을통해고객사에공급중에있다. 세정액은반도체주요공정에직접사용되지는않지만, 공정의횟수증가에따라세정공정도동반상승하고그에따라수요가증가할것으로기대된다. 216년현재국내공급업체들의생산능력합산은연간 33만 5천톤인것으로파악된다. 215년수요가 3만톤에달했고, 216년에는소폭증가한 3만 2천톤으로전망된다. 다만삼삼성전자의반도체 17라인증설과삼성디스플레이의 OLED 라인증설을감안하면 216 년수요는현재전망치를상회할가능성이높다. 그림 44. 반도체용세정액공급라인 그림 45. 국내현재 CAPA 현황 ( 천톤 ) 12 생산 1 차정제 2 차정제 한솔케미칼 OCI SKC 에보닉태광산업 자료 : 한솔케미칼, 하나금융투자 자료 : 각사, 하나금융투자 표 7. 국내과산화수소수요전망 ( 단위 : 천톤 ) 구분 F 217F 내수 반도체 LCD PO 기타 수출 합계 자료 : 각사, 하나금융투자 25

26 Appendix. 반도체공정 A~Z 1) 반도체공정의흐름반도체공정 (fabrication) 은웨이퍼에패턴을형성하기위한산화, 노광, 식각, 이온주입, 박리 / 세정, 증착, 연마, Gate 형성의전공정과, 이후에칩별로잘라서패키징하고테스트하는후공정으로구분된다. 그림 46. 반도체전공정과후공정 산화 PR 도포 노광 식각 현상 이온주입 박리 증착 Probe Test Gate 연마 Grinding Wafer Saw Die Attach Wire Bonding Masking Molding 26

27 전공정은보통산화, 노광, 식각, 이온주입, 박리 / 세정, 증착, 연마의순서로이야기한다. 하지만반도체는각공정을 1회씩걸쳐서완료되는것이아니라앞서언급한공정들이선택적으로반복되서진행된다. 예를들어, 소자를구성하는공정에는식각공정후이온주입을통해전기적특성을띄는소자를형성하지만, 금속을통해전기적인배선을형성하는경우는이온주입공정을거칠필요가없으므로, 식각후다시증착공정을거치게된다. 그림 47. 목적에따른공정순서의차이 산화 포토 식각 증착 이온주입 식각 증착 증착 ( 배선용금속 ) 연마 연마 Gate 게이트형성 금속배선 소자형성 27

28 2) 웨이퍼의제조 그림 48. 웨이퍼의제조 반도체는조건에따라전기전도도를변화시킬수있는규소 (Si), 게르마늄 (Ge) 등의물질을이용한다. 현재는경제적인이유에서모래에서추출가능한규소 (Si) 만을사용하고있다. 규소를반도체제조를위한원판형태로형성한것이실리콘웨이퍼이다. 웨이퍼제작은실리콘을녹여액체상태로만들고, 이를실리콘기둥 (ingot) 으로성장시켜절단하는방식으로제조한다. 기둥을성장시키는과정에서실리콘의정제도동시에진행된다. 실리콘기둥을성장시키는방법에는초크랄스키법 (Czochralski, CZ) 과플로팅존법 (Floating Zone, FZ) 을주로사용한다. 초크랄스키법은도가니를이용해실리콘을용해한뒤, 용액안에실리콘막대를회전시켜서서히끌어올려가는방법이다. 큰크기의웨이퍼를만드는데용이하나도가니안의산소혼입으로오염이쉽다는단점이있다. 플로팅존법은실리콘주위를부분적으로용해하며웨이퍼를제조하는방법으로높은전도성과고순도의결정을만들수있으나고비용이라는단점이있다. 성장시킨실리콘기둥을균일한두께로절단하여웨이퍼를제작한다. 절단직후웨이퍼의표면은흠결이있고거칠기때문에, 이를열처리하고연마하는작업이필요하다. 그림 49. 실리콘웨이퍼제조과정 폴리실리콘단결정성장그라인딩슬라이싱 Beveling Lapping 에칭열처리 Polishing 세정검사포장 28

29 3) 산화 ( 성막 ) 그림 5. 산화막생성 산화는전기로안에서실리콘웨이퍼에산소와수증기를투입하여, 실리콘표면에산화막 (SiO2) 를형성하는공정이다. 막을형성하기때문에성막공정이라고도한다. 산화막은반도체에서 1) 불순물이선택적으로주입될수있도록하거나, 2) 반도체의절연물질, 3) 커패시터의유전물질및층간절연물질, 4) MOSFET 구조의 Gate 산화막, 5) 외부환경으로부터의기판을보호하는역할을담당한다. 산화과정은습식산화와건식산화로구분한다. 건식산화는건조한산소를사용해산화막을형성하는방법이다. 사용되는물질로산소 (O2) 와이를운반하는캐리어역할을수행하는질소 (N2), 실리콘에존재할수있는오염원인나트륨 (Na) 이온을제거하기위한염산 (HCl) 이사용된다. 건식산화는막질이우수하고산화막의두께제어가용이하다. 다만, 습식산화에비해서느린속도가단점이다. 건식산화막은 MOSFET(Metal Oxide Semiconductor Field Effect Transistor, 전계효과트랜지스터 ) 에 Gate 산화막형성시주로사용된다. 습식산화는고온의전기로에서수소와산소의불꽃반응을이용하여수증기를공급하는방식이다. 산화막의성장속도가빠르나그만큼산화막질이우수하지못하고두께제어가쉽지않다. 사용되는물질로는염산 (HCl), 질소 (N2), 산소O2) 외에수소 (H2) 가있다. 주로웨이퍼필드 ( 표면 ) 의산화시사용하는방식이다. 그림 51. 건식산화장비구조 그림 52. 습식산화장비구조 Pyrogenic Torch H2 H2O (steam) Quartz Oxidation Boat and Silicon Wafers Exhaust O2 N2 HCI Or TCA N 2 O2 Furnace Furnace HCI Or TCA N2 O 2 H 2 29

30 4) 포토공정 그림 53. PR 도포, 노광, 현상 포토공정은웨이퍼위에반도체회로를그려넣는공정이다. 포토공정은필름을인화지에인화하는방법과동일하다. 웨이퍼위에빛에반응하는감광제 (Photo Resist) 를도포한뒤, 노광기를이용해마스크 ( 회로가그려진기판 ) 를통해빛을전사한다. 그후감광제에열을가하여 (Bake 과정 ) 현상하고, 식각공정을거친뒤남은포토레지스트를제거 ( 박리 ) 해회로를웨이퍼위에설계하는것이다. 포토공정은수나노의회로를빛을이용해웨이퍼위에전사하기때문에공정시청정도에따라칩의수율에큰영향을미친다. 감광제는빛에너지에의해분해되는화학물질을용매에용해시킨혼합물이다. 감광제는현상시노광되는부분이제거되는양성감광제와노광되지않은부분이제거되는음성감광제로구분된다. 음성감광액은낮은해상도로인해반도체공정에는잘사용되지않으나, 소자의크기가큰디스플레이공정에서주로활용된다. 마스크는쿼츠 ( 석영 ) 기판에크롬 (Cr) 으로설계한패턴으로구성된다. 크롬층이있는부분은빛을통과시키지않아설계한패턴을웨이퍼에전사하는반도체부품이다. 빛을비추는노광기 (Stepper) 는광원에따라구분되는데, 광원에따라구현할수있는최소선폭이결정된다. 나노미터급인빛의단파장길이는 G선, I선, 불화크립톤 (KrF), 불화아르곤 (ArF), F2 레이저, Ar2 레이저, 극자외선 (EUV) 순으로짧은파장을가지고있다. 미세공정전환이진행될수록파장이짧은광원을사용해야한다. 1나노공정이하에선노광과정을여러번반복하여패턴을형성하는멀티패터닝방식이사용되고있다. 그림 54. 포토공정구조 그림 55. 광원에따른파장 Mask PR Silicon Dioxide Wafer Light 광학 G선 - 436나노미터 (nm) I선 - 365나노미터 KrF선 - 248나노미터 ArF선 - 193나노미터 F2선 - 157나노미터 Ar2선 - 126나노미터 EUV선 나노미터 3

31 5) 식각공정 그림 56. 식각 식각공정은포토공정을통해형성된패턴에따라, 필요한부분을얇게만들거나제거하는과정이다. 식각공정을거쳐야만비로소사진공정에서그려진회로가웨이퍼에새겨진다. 사진공정과관계없이불필요한박막을제거하는것또한식각공정에포함된다. 식각공정은화학약품을사용하는습식식각과가스를사용하는건식식각으로구분된다. 습식식각은제거하고자하는물질을식각할수있는화학용액 ( 식각액, etchant) 을수조 (Wet bath) 안에서웨이퍼와반응시키는방식이다. 용액안에서식각이진행되므로, 수평과수직방향으로모두식각이이루어지는등방성식각이진행된다. 이러한성질때문에, 포토공정으로형성한패턴보다크게식각이되는현상이발생하여, 공정전환으로최소선폭이미세화될수록적용하기어렵다. 그러나습식식각은목표로하는특정물질만식각하는선택성에서탁월하다. 우수한선택성때문에습식식각은패턴형성과무관하게불필요한박막을제거하는데용이하다. 건식식각은식각하고자하는대상물질과반응하는가스를이용하여식각하는방식이다. 가스의반응을일으키기위해전기적인에너지를가하여플라즈마상태로전환한후, 이때생성되는이온을이용해서식각반응을일으킨다. 이온의직진성때문에, 수직으로만식각이진행되는이방성식각이이루어진다. 이에따라사진공정에서형성된패턴의크기와동일하게식각이이루어져, 미세한소자를제조하는반도체식각공정에서다루기용이하다. 다만, 이온의물리적인운동을통해식각이진행되어대상물질외에도식각이이루어져습식식각에비해선택성은떨어진다. 표 8. 박막종류에따른주요식각액 / 식각용가스 식각방식박막종류식각액 / 식각용가스주요소재 습식식각 산화막 (SiO2) HF( 불산 ), NH4F( 불화암모늄 ), CH3COOH( 아세트산 ) 질화막 (Si3N4) H3PO4( 인산 ) 폴리실리콘 HF( 불산 ), CH2H3O2( 초산 ), HNO3( 질산 ) 알류미늄 (Al) H3PO4( 인산 ), HNO3( 질산 ), HC2H3O2( 초산 ) 건식식각 실리콘 / 폴리실리콘 Cl2, CF2, CF4, SF6, HBr( 브로민화수소 ) 산화막 (SiO2), 질화막 (Si3N4) CHF3( 플루오르폼 ), CF4, Ar( 아르곤 ), H2 그림 57. 식각공정모식도 그림 58. 식각의등방성, 이방성 습식 - 등방성 Etch 건식 - 등방성 Etch PR(Photo Resist) 증착층 식각 PR(Photo Resist) 증착층 31

32 6) 박리 / 세정 그림 59. 박리 / 세정 박리공정은식각공정후남은감광액 (Photo Resist) 를제거하는작업이다. Strip 공정이라고도불린다. 식각과동일하게, 박리장비또한습식박리와건식박리로구분된다. 습식박리는감광액을분해하는박리액을사용하여화학적반응을통해제거하는방식이다. 습식박리의박리액은주로 H2SO4( 황산 ), H2O2( 과산화수소 ) 등을사용한다. 건식식각이이루어진경우, 감광액이플라즈마가스및이온과의접촉, 충돌로변질되어쉽게제거되지않는경우가발생한다. 이런경우엔산소플라즈마를사용하는건식박리를이용한다. 산소를사용한공정이기때문에웨이퍼및금속의산화가일어날우려가있다. 습식박리와건식박리를병행하여처리하기도한다. 세정은반도체웨이퍼위의먼지, 사람의침, 표피, 공정후남은화학물질등의오염원을제거하는공정이다. 한단계공정이끝날때마다세정작업을거치게된다. 현재반도체세정기술은 7년대 RCA사에의해개발된기술을기본으로하고있다. RCA 세정방법은 H2O2( 과산화수소 ) 를기본으로, NH4OH를같이사용하는 SC1(Standard Cleaning 1) 과 HCl를사용하는하는 SC2(Standard Cleaning 2) 의두단계로이루어진습식세정방법이다. 습식세정방법은필연적으로세정후다음공정으로이동시오염물질에다시노출될가능성을가지고있다. 이를해결하기위해 HF, O3, Cl2. AR등의플라즈마가스를사용하는건식세정방식을사용하기도한다. 건식세정방식은진공상태에서이루어져, 대부분의공정과같은시스템내에서진행이가능하다. 그림 6. 오염원에따른공정에서의문제발생 People 땀에의한 Na+ 오염피부박리 / 화장품등에의한유기오염움직임 / 대화등에의한 Particles Electroistatc charges Machine Dusts from equipments(particles) Plasma 등에의한 Energy source 로부터의오염공정부산물 Vibration Magnetic Material Deionized (DI) water Chemicals Gas Cleanroom environment etc. Wafer Particle, Organic, Ions, Native oxide etc. Decrease yield Masking Degrade device peformancd Short-out conductor line Lower oxide vreakdown voltage Decrease minority carrier lifetime 32

33 7) 확산 ( 이온주입 ) 그림 61. 이온주입 반도체의주원료인실리콘 (Si) 의전기적특성을인위적으로변화시키기위해선 Si이아닌다른불순물 (Dopant) 을주입하여야한다. 주로주기율표상 3족원소와 5족원소를사용한다. 3족원소를주입할경우실리콘과결합한뒤하나의전자가남게되고, 이러한잉여전자의흐름으로전도성을띄는 N(Negative) 형반도체가만들어진다. 3족원소를실리콘에결합하면실리콘과결합시하나의전자가부족하여, 부족한공간을채우려는전자의흐름으로전도성을띄는 P(Positive) 형반도체가만들어진다. 보편적으로 P형반도체를생성시엔붕소 (B), N형반도체를생성시엔인 (P) 을불순물로사용한다. 불순물을웨이퍼에주입하는방법은주로확산법과이온주입법을사용한다. 확산법은입자가농도가높은쪽에서낮은쪽으로퍼져나가는현상을이용한방법이다. 고온의챔버내에서불순물과웨이퍼를가열하면, 웨이퍼의표면에서부터내부로불순물이침투한다. 불순물의종류는가스, 액체, 고체상태모두사용될수있으며, 불순물을운반하기위해 N2( 질소 ), Ar( 아르곤 ) 과같이화학반응을일으키지않은비활성기체와혼합되어사용된다. 확산법을이용한불순물은웨이퍼내에균일하게주입되는특성을가지고있다. 이온주입 (ion implantation) 은불순물을이온화시켜고전압을이동하여이온을가속, 이온빔의형태로웨이퍼에주입하는방법이다. 이온을가속시키는전압을조절하여속도를결정할수있으며, 이에따라불순물이웨이퍼에주입되는깊이를조정할수있다. 또한웨이퍼의영역별로서로다른불순물을주입할수있어 MOSFET등의제조공정에서소스및드레인형성, Well 형성등에용이하다. 다만이온을주입하는과정에서웨이퍼표면에의도치않은손상을발생시키기때문에, 가열을통해손상을정비하는어닐링 (Anneling) 공정이필요하다. 특히, 불필요한불순물의확산을막기위해웨이퍼표면만빠르게가열하는방식을 RTP(Rapid Thermal Process) 혹은 RTA(Rapid Thermal Anneling) 라고한다. 그림 62. 확산공정모식도 그림 63. 이온주입후 Anneling 처리 Dopant 전치증착 이온이온주입후주입후결함결함발생발생 1~ 125 C Si 후확산 Si Anneling 을통한 activation Anneling 을통한 activation 13 C Si Si 33

34 8) 증착공정 ( 성막 ) 그림 64. 식각 증착이란반도체웨이퍼위에원하는물질을얇은막 ( 박막, Thin film) 형태로형성하는공정이다. 크게화학적반응이관여하지않고재료를물리적으로기화시켜증착하는물리기상증착 (PVD) 과, 형성하고자하는박막재료를수성하는원소로된가스를공급해표면에서의화학반응을통해증착하는화학기상증착 (CVD) 이있다. 물리기상증착 (PVD) 방식은원하는물질을기화시키는방법에따라열증발법, 전자빔증발법과플라즈마를통해높은에너지의입자를증착물질에조사하는스퍼터링 (Sputtering) 방법등이있다. 기체상태의물질이웨이퍼에도달해증착하게되므로, 박막의순도를위해진공상태에서공정이이루어져야한다. 화학기상증착 (CVD) 방식은원료가스의양을제어하여막두께제어및증착돤박막의성분을조절하는데용이하다. 장비가요구하는압력에따라 APCVD(Atmospheric Pressure CVD) 와 LPCVD(Low Pressure CVD) 가있다. 압력이낮을수록가스의수송속도가높아져균일한막두께를얻을수가있지만, 진공에가까운상태를유지하는데비용이발생한다. APCVD, LPCVD는화학반응을일으키는에너지원으로열을사용하는열증착법이며, 에너지원으로열대신플라즈마를이용하는 PECVD(Plasma Enhanced) 방식도사용한다. ALD는화학반응중화학흡착에의해서막을형성시키는방식이다. 화학흡착에의한박막형성은원자단위의아주얇은막을형성할수있어복잡한구조물에대해서도 1% 형태를유지하는장점을가지고있다. 표 9. 증착방식별특성8 구분 ALD CVD PVD 증착방법 원자층증착 화학기상증착 물리적증착 막증착두께 2나노이하 2나노이상 2나노이상 균일도조절.1나노 1나노 5나노 막두께조절 매우우수 우수 보통 단차피복성 매우우수 우수 나쁨 막특성 매우우수 우수 제한적 청정도 파티클없음 파티클발생 파티클발생 기압제한.1torr.1torr 1x1^-7torr 생산성 나쁨 보통 우수 34

35 그림 65. CVD Mechanism 그림 66. ALD Mechanism 반응가스 1 Mass flow 반응가스 2 증착박막 반응부산물 Boundary layer 화학기상증착 (CVD) 방식에는화학반응에필요한가스소재가필요하다. CVD 공정에사용되는가스는증착하고자하는물질을가지고있는전구체 (Precursor) 와, 반응후발생되는부산물을챔버내에서제거하는세정용가스로구분된다. 전구체 (Precursor) 는반도체박막의성분을포함하고있는화학가스이다. 용도에따라 Capacitor 용높은정전용랑을갖는는 High-K, 낮은유전율을층간절연물질용 Low-K, 금속배선용메탈전구체등형성하고자하는박막의목적에따라수십가지종류로구분된다. 많이사용되는증착용가스로는금속배선을위한텅스텐증착용육불화텅스텐 (WF6), 폴리실리콘형성용모노실란 (SiH4), 질산막형성용암모니아 (NH3) 등이있다. 세정용가스는 CVD공정에서화학반응이일어난후, 챔버내에남아있는화학반응의부산물을제거하는역할을한다. 삼불화질소 (NF3) 가대표적이며, 그외에불소계가스인사불화탄소 (CF4), 육플루오린화황 (SF6) 등이있다. 표 1. 박막용도에따른대표전구체용도 박막종류 전구체 Gate Dielectric High-k (HfO2, ZrO2, etc) TEMAHf, TEMAZr, Electrode Metal salicide (CoSiO2, NiSi) CCTBA, Ni(EtCp)2 Cell Plug / Bit Line Metal (W, Cu) WF6, BTBBDMT, MABOC Capacitor Metal line IMD Dielectric High-k (HfO2, ZrO2, Hf(zr)SiOX, BST, etc) Electrode Metal Electrode (TiN, Ru, Pt, etc) TEMATi, Ru(EtCp)2, Me3Pt(EtCp) metal Metal Line (Al, Cu) MPA barrier TaN, TiN TBTEMAT, TBTDET, TEMAT Low-k (SiOC) TEMAHf, TEMAZr, Ba(tmhd)2, Sr(tmhd)2, HSi(EMA)3, HSi(NMe2)3, Ti(O(CH2)2OCH3)(tmhd)2 35

36 9) 연마 /CMP(Chemical Mechanical Polishing) 그림 67. 연마 /CMP CMP 공정은슬러리를이용하여산화및증착이후의웨이퍼표면을화학적 / 물리적으로갈아서평탄화시키는과정이다. 슬러리는미세고체입자가포함된현탁액을말한다. 슬러리가웨이퍼표면을더부드럽게만들고 pad를이용하여기계적으로표면을갈아낸다. CMP 슬러리는연마하고자하는막질의종류에따라나뉘며, 크게는반도체산화막 (Oxide) 용슬러리와메탈슬러리로구분할수있다. 산화막용 CMP는슬러리는주로실리카 (SiO2), 세리아 (CeO2) 가주요사용된다. 전통적으로유리렌즈가공에많이사용되던세리아슬러리는실리카에비해입자크기가커연마속도가 3배이상높아양산성측면에서유리하다. 다만웨이퍼표면에상처를입히기쉽개때문에과거에는잘사용되지않았다. 미세공정전환에따라질화막에대한연마가중요해지면서, 질화막선택비가높은세리아슬러리가주목받고있다. 현재는주로 STI(Shallow Trench Isolation, 회로내에서소자사이를구분하는절연체 ) 형성에주로사용된다. 국내케이씨텍이세리아슬러리를주로공급하고있다. 메탈슬러리는희석된산화제 (Oxidizer, Fe(CN)6, H2O2) 에알루미나 (Al2O3) 입자가주로사용된다. 주로소자 Contact 용텅스텐 (W) 막및배선형성물질인구리 (Cu), 알루미늄 (Al) 연마에사용된다. 일반적으로금속막은산이나알칼리에취약한박막구조를지니고있어메탈슬러리에는이를막기위한화학물질이포함되어있어야한다. 그림 68. CMP 장비구조 그림 69. CMP 공정 Mechanism -V Wafer (face down) Carrier Slurry Conditiner Iayer to be polished Slurry Polisging pad Polisging Palte Polishing pad V 36

37 1) 게이트형성 그림 7. 게이트형성 Gate 반도체제조과정은웨이퍼위에산화, 포토, 식각, 박리, 세정, 증착, 연마등의공정을필요에따라반복하면서회로를그려나가는과정이다. 회로에는트랜지스터, 다이오드, 저항, 인덕터, 콘덴서등의역할을하는소자들이모두그려진다. 수많은소자를칩하나에구현하여직접회로 (IC) 라고부른다. 이러한소자들중가장핵심적인역할을하는소자가트렌지스터이다. 트렌지스터는전류의증폭과스위치역할을수행하는소자이다. 최근반도체소자에주로이용되는트렌지스터는 MOSFET( 전계효과트렌지스터 ) 이다. MOSFET은소스 (Source), 드레인 (Drain), 게이트 (Gate) 의세부분으로구성되어있으며, 소스와드레인사이의통로를통해전류의이동이이루어진다. 이통로는산화막을통해게이트와연결되어있다. 통로와게이트사이에산화막이있으므로게이트와통로간전자의이동은불가능하다. 게이트에전압을걸면, 이때문에발생하는자기장이통로안의전자의흐름을제어하게된다. 게이트에작용하는전압을변경하여통로에흐르는전류의양을조정하는트렌지스터의역할을하게된다. 통상적으로소스, 드레인을 N형반도체로형성하면통로는 P형반도체로형성한다. N형, P형을반대로형성하여도동일한원리로작동한다. 메모리용반도체인 DRAM, NAND는트렌지스터의 Gate부에인위적인전압이아닌전하를축척하는소자를적용한반도체이다. DRAM은 Gate에축전기 (Capacitor) 를, NAND는 Gate에플로팅게이트 (Floating Gate) 를설치한다. 축전기와플로팅게이트는전하를축적하여자기장을발생시킨다는점은동일하다. 다만, 외부에서공급하던전류가차단되면축전기는축적했던전하를잃는반면플로팅게이트는여전히전하를저장하고있다는차이가있다. 이러한특성때문에전원을공급하지않았을시 DRAM은데이터를잃는휘발성메모리, NAND는데이터를저장하는비휘발성메모리로구분된다. 그림 71. DRAM 의구조 그림 72. NAND 의구조 Gate Capacitor SiO 2 Gate Poly Silicon SiO 2 SiO 2 Source 2-D CHANNEL Drain Source 2-D CHANNEL Drain P-Si SUBSTRATE P-Si SUBSTRATE 37

38 11) Probe Test 그림 73. Probe Test 전공정의과정을통해웨이퍼위에회로가완성되면, 구현된회로들을 Test 하는과정을거친다. 불량인회로들은 2차적인작업을통해바로잡거나제거한다. Probe Test가제대로이루이지않으면필요없는회로들까지패키지과정을거치게되므로, 공정의효율화를위해반드시필요한과정이다. Probe Test는통상적으로 5가지의과정을거친다. 1) EPM Test는회로의전기적특성을검사한다. 다이 (Die) 가설계한것과같이정상적인작동을보여주는지를테스트하는과정이다. 검사내용은반도체의목적에따라달라지지만, 대체로 13여개의항목을테스트한다. 2) 스트레스테스트는웨이퍼의회로가특정상황을견디는지판별하는 Test를진행한다. 전자제품에실장되는반도체는일정이상의전압과온도를견뎌야한다. 전기적스트레스는 4.5V~5.5V의전압을이용하고, 온도스트레스테스트는 1~125도의환경내에서수행한다. 3) 앞선 2개의테스트를거친뒤문제없는다이를통과시키거나, 불량한다이의수정여부를결정한다. 다이하나에불량셀이소수일경우, 해당셀들만스페어셀로교체하여수정이가능하다. 4) 수리가가능하다고판단되는 Die는레이저를이용해수정된다.5) 수정된다이에대해위의테스트과정을다시진행한다. 모든과정을통과한다이만이다음과정인패키징공정을진행할수있다. 38

39 12) Grinding / Wafer Saw 그림 74. Grinding / Wafer Saw Probe Test를마친반도체칩은전자제품에실장이가능하도록패키징되는과정을거친다. 패키징은전자제품과전기적신호를연결하며반도체칩을외부로부터보호한다. 웨이퍼를패키징하기위해, 웨이퍼의두께를줄이는작업이 Grinding 공정이다. Wafer 연마용다이아몬드휠로회로가없는웨이퍼의뒷면을연마하여패키지의사이즈에맞게 Grinding 한다. Grinding 작업을마친 Wafer 는개별칩으로분리하는과정을거친다. Wafer 절단용다이아몬드블레이드를사용하며, 고속회전에의해웨이퍼를개별칩으로분리한다. 그림 75. Back Grind 그림 76. Wafer Saw 39

40 13) Die Attach / Wire Bonding 그림 77. Die Attach / Wire Bonding Probe Test에서양품으로판단된칩은 Wafer 상에서떼어내이를외부화전기적연결을하는단자인 Substrate 기판에접착한다. Lead Frame 위에칩 (Die) 를접착하기때문에 Die Attach 공정이라부른다. 접착후칩과 Substrate 기판사이에전기적연결을위해, Gold Wire로칩과 Substrate 를연결하는작업이 Wire Bonding 공정이다. 그림 78. Die Attach 그림 79. Wire Bond 4

41 14) Molding / Marking 그림 8. Molding / Marking Substrate 와의전기적연결이완료되면, 칩을보호하기위한 Molding 공정을거친다. Molding 은습기, 열, 물리적충격에서칩을보호하기위해에폭시몰딩컴파운드 (EMC) 로칩을감싸주는공정이다. Molding 공정에서는 EMC를 18도에서녹여준후, 회로기판상의반도체칩에도포하는과정을거친다. 이후표면에레이저를통해제조사및제품번호를각인하는 Marking 공정을수행한다. 그림 81. Molding 그림 82. Marking 41

42 반도체 소재/장비 Analyst 김록호 ) Package Test 그림 83. Package Test 자료: 하나금융투자 패키징 공정이 완료되면, 이 패키지가 정상적으로 작동하는지 확인하는 패키지 테스트를 거 친다. 패키지 형태 제품의 최종 불량유무를 선별하는 검사이다. 완제품 형태를 갖춘 후 검사 가 진행되어 Final Test 라고도 불린다. 테스트 장비 안에서 다양한 조건의 전압, 전기신호, 온도 등의 환경을 조성해 제품의 전기적 특성과 동작이 정상적으로 이뤄지는지 검사한다. 패 키지 테스트는 주로 Assembly Out, Burn-in, Mornitoring Burn-in, Post Burn, Final Test 등이 있다. Assembly Out은 패키지의 종류, 수량, Spec 등을 확인화는 테스트다. 제품의 당초 설계와 목적에 맞추어 정상적으로 생산되었는지 검사한다. Burn-in 테스트는 불량 가능성이 있는 제품을 제거하기 위한 공정이다. 제품에 고전압, 고온, 전기신호등 극한 조건을 가한 후에, 이후에도 제품이 정상적으로 작동하는지 검사한다. Mornitoring Burn-in 테스트는 극한 고전업, 고운등 극한 조건이 가해지는 상태에서 제품이 정상적으로 동작하는지 확인한다. Burn-in 테스트에 비해 분석 기간을 단축할 수 있는 장점이 있다. Post Burn Test는 상온 및 저온 공간에서, Final Test는 다시 고온에서 제품 동작의 이상유무를 확인한다. 이러한 테스트를 반복적으로 거친 후에도 문제가 없는 제품만 출하되게 된다. Burn-in 테스트 과정에선 환경 조건을 조성하는 테스트 장비 외에도, 테스트 과정에서 패 키지와 접촉하여 칩의 정상 작동 유무를 테스트 장비에 전달하는 테스트 소켓이 사용된다. 테스트 소켓은 여러 환경에 지속적으로 노출되어 일정 횟수 사용 후 교체해야 하는 소모성 부품이다. 그림 84. Burn - in 테스트 소켓 자료: 하나금융투자 42

43 16) 패키지의유형패키지는칩과 Substrate 의연결방식, Substrate 와 PCB간의연결방식에따라구분된다. 칩과 Substrate 의연결은 Wire Bonding 과 Flip Chip Bonding 으로구분된다. Wire Bonding 은금와이어를통해칩과 Substrate 를연결하는전통적인방법이다. Flip Chip Bonding 은칩의상단에 Bumb를, Substrate 상단에 Pad를형성하여열압착등의방법을통해접속시킨다. 구조상칩이뒤집힌상태로 Substrate 와접속되어 Flip Chip 이라는용어를사용한다. 와이어방식대비전기적특성이향상되나, 부착과정에서칩이손상될우려가있다. 그림 85. Wire Bonding 그림 86. Flip Chip Bonding Integrated Circuit Chip Substrate Chip Bumps Die Pad Lead Frame Substrate Printed Conductor Runs 43

44 Substrate 와 PCB의결합방식은주로 BGA(Ball Grid Array), PGA(Pin Grid Array), LGA(Land Grid Array) 방식을사용한다. BGA 방식은솔더볼을이용해메인 PCB와접속하는방식이다. 칩을보호하는패키징소재에따라 PBGA(Plastic), CBGA(Ceramic), TBGA(Tape) 으로분류된다. 한번실장을하면탈부탁이불가능한방식이다. PGA 방식은 Substrate 에 Pin을만들어메인 PCB 상의소켓을통해접속하는방식이다. 데스크탑메인보드의 CPU와같이탈부착이필요한부품에주로사용된다. PGA 방식은 Pin 의간격이최소 1.27mm로제한되어, Substrate 의크기가칩에비에크다는단점이있다. LGA 방식은 Substrate 에 Land( 패드 ), 메인 PCB에탄성을가진핀소켓을탑재하는방식이다. PGA 방식대비 Substrate 제조원가감소, 패키지크기가감소한다. 그림 87. PCB 에실장된 IC Substrate 그림 88. BGA Ball Grid Array IC Main PCB IC Substrate 그림 89. PGA Pin Grid Array 그림 9. LGA Land Grid Array 44

45 패키징방식중 CSP(Chip Scale Package) 는찹과크기가같거나약간큰 (1.2배이내 ) IC Substrate 를사용하는방식이다. 경박단소화가필요한스마트폰류의전자기기에주로사용되며, 두께, 크기, 입출력수, 층수가적다는점외에는 PBGA 방식과동일하다. 사용용도에따라 MCP(Multi Chip Package), SiP(System in Package), BOC(Board On Chip) 등으로분류된다. MCP(Multi Chip Package) 는여러개의칩을수직으로쌓아서만든 IC Substrate 이다. 실장면적및제조비용이감소한다. 수평뿐만아니라개별적으로작동하는다양한칩을하나로패키징한방식이 SiP(System in Package) 이며, 칩의동작특성이향상하는효과가있다. BOC(Board On Chip) 는 DRAM에서이용되는패키징방식이다. DRAM의경우입출력부분이일반적인반도체와달리칩중앙에배열된다. 따라서, 기존의리드프레임에칩을부착하는방식은고속의메모리에적합하지않다. BOC는칩을몸체가운데의빈공간을통해와이어본딩을통해연결한다. 그림 91. MCP Multi Chip Package 그림 92. BOC Board On Chip Overmoiding IC chip Substrate Memory chip Solder balls Wire bonds Solder ball Encapsulation Wire BOC substrate Die attach 45

46 전공정소재 / 재료 Photoresist 동진쎄미켐 (625) 동우화인켐 Merck Etching 소모품티씨케이 (SiC Ring) Etchant( 습식식각 ) 솔브레인 (215) 이엔에프테크 (23*) Mitsubishi chem Sumitomo chem(341**) Hitachi chem(237**) Etching gas( 건식식각 ) SK머트리얼즈 (235) Smitomo Seika chem 원익머트리얼즈 (124*) Kanto Denka 후성 (117*) Taiyo Nippon Sanso Airproduct Showa Denko(172**) Linde 노광 식각 PR 도포 현상 전공정장비 노광 ($6,15 백만 ) ASML(4,596) Nikon(688) Canon(379) 식각 ($6,152 백만 ) Lam Research(3,252) Tokyo Electron(1,218) Applied Materials(1,13) 박리 ($244 백만 ) Lam Research(73) PSK(68) Hitachi High-Tech(46) 괄호안매출규모단위는백만달러, 215 년기준 (*) 품목별매출액 Data 아님, 사업부 ( 반도체향 ) 매출액 (**) 품목별매출액 Data 아님, 사업부 (IT 향 or 산업용 ) 매출액 Grinding Sawing 후공정장비 Dicer ASM 한미반도체이오테크닉스 기타 Other 원익 QnC( 쿼츠 ) 엑사이엔씨 ( 클린룸 ) 한양디지텍 ( 모듈 ) 테스트 46

47 세정재료한솔케미칼 (81*) SK후머트리얼즈성 (117*) Mitsubishi Gas Chem 전구체 (Precursor) 원익머티리얼즈 (124*) 디엔에프 (63) 한솔케미칼 (26) 후성솔브레인 Air Product 증착 gas SK머트리얼즈 (235) Smitomo Seika chem 원익머트리얼즈 (124*) Kanto Denka 후성 (117*) Taiyo Nippon Sanso Airproduct Showa Denko(172**) Gas 배관 / 특수설비한양이엔지 (48*) 엘오티베큠 (122) CMP 슬러리솔브레인 (35) 케이씨텍 (16) Hitachi chem(237**) Air Product Cabot 이온주입 연마 박리 / 세정 증착 세정 ($55 백만 ) Screen Semiconductor(269) Tokyo Electron(249) 케이씨텍 (16) PSK(12) 테스 (4) 이온주입 ($1,32 백만 ) Applied Materials(724) Axcelis(187) SMIT(87) 증착 ($6,977 백만 ) Applied Materials(2,868) Lam Research(1,78) Tokyo Electron(982) 원익 IPS(128) 테스 (68) 주성엔지 (63) 유진테크 (5) 연마 /CMP AMAT Ebara 케이씨텍 (9) Die Attach Wire bonding Molding Masking 후공정소재 / 재료 Bonder ASM 테크윈한미반도체 패키징재료덕산하이메탈 ( 숄더볼 ) 엠케이전자 ( 숄더볼, 본딩와이어 ) 해성디에스 (Substrate) 대덕전자 (Substrate) Molding/Masking DISCO 한미반도체이오테크닉스 패키징업체 ASE 하나마이크론 SFA 반도체네패스 Tester Advantest Teradyne 유니테스트테크윙 테스트서비스 / 테스트소켓 Amkor SPIL 리노공업 ISC 47

48 Overweight Top Picks 및관심종목 솔브레인 (3683) 49 SK머티리얼즈 (3649) 56 원익IPS (2481) 62 테스 (9561) 65 유진테크 (8437) 68 케이씨텍 (2946) 71 피에스케이 (3198) 74 유니테스트 (8639) 77 테크윙 (893) 8 한솔케미칼 (1468) 83 원익머트리얼즈 (1483) 86 후성 (9337) 89 이엔에프테크놀로지 (1271) 92 리노공업 (5847) 95 ISC (9534) 98 원익QnC (746) 11 엘오티베큠 (8331) 14 해성디에스 (19587) 17

49 216 년 11 월 2 일솔브레인 (3683) 올라운드플레이어 투자의견 BUY, 목표주가 84,원으로커버리지개시솔브레인에대한투자의견 BUY, 목표주가 84,원으로커버리지를개시한다. 솔브레인은 1) 반도체용화학제품이식각, 증착, CMP 모든공정에개입하고있어실적안정성을확보했다. 2) 고객사의 3D NAND 투자에의한식각액모멘텀이유효하다. 식각액중심의반도체향매출액은전년대비 13% 증가해전사실적견인할것으로전망한다. 3) 반도체향제품군은수익성도양호해전사수익성개선에도기여할것으로판단된다. 4) 217년기준 PER 1.1배로글로벌동종업체의평균인 14.1배보다저평가되어있어여전히주가상승여력은높다는판단이다. 반도체공정용화학제품으로성장성과수익성양호솔브레인의반도체용주요제품은식각액, 전구체, CMP 슬러리이다. 반도체공정에서높은비중을차지하는식각과증착관련제품을공급중에있다. 특히 3D NAND의투자로인해중요도와횟수가상향되는식각공정에관여하고있어향후성장성이양호할전망이다. 217년삼성전자와 SK하이닉스의 3D NAND 투자에의해안정적인성장이가능할것으로추정된다. 반도체향제품의매출액은전년대비 13% 증가한 4,47 억원에달할전망이다. 이에따라전사매출액은 7,697억원, 영업이익은 1,279억원으로각각전년대비 7%, 8% 증가가예상된다. 증착용전구체와 CMP 슬러리의경우 NAND향매출이미미하지만, 향후확대시에는외형성장에기여할것으로기대된다. 디스플레이향제품은정체불가피디스플레이향주요제품은식각액과 Thin Glass, 유기재료이다. 식각액은디스플레이의사이즈에의해서좌우되기때문에모바일용 OLED 증설과는무관하다. Thin Glass는 OLED용 Glass의두께를화학용품을사용해얇게만들어주는사업으로최근고객사의플렉서블용투자와무관하다. 217년디스플레이향제품은전년수준인 2,953억원을전망한다. Key Data Top Picks BUY I TP(12M): 84, 원 ( 신규 ) I CP(11 월 1 일 ): 64,5 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 67,5/33,35 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 1,33.5 영업이익 ( 십억원 ) 시가총액비중 (%).53 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 16,563.2 EPS( 원 ) 6,5 7,98 6 일평균거래량 ( 천주 ) 96. BPS( 원 ) 36,259 43,83 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 5 Stock Price 16 년배당수익률 ( 예상,%).8 외국인지분율 (%) 3.56 주요주주지분율 (%) 정지완외 9 인 국민연금 7.1 주가상승률 1M 6M 12M 절대 상대 Financial Data ( 천원 ) 솔브레인 ( 좌 ) 7 상대지수 ( 우 ) 투자지표단위 F 217F 218F 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 2,336 4,878 5,754 6,192 6,57 증감률 % (39.2) PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 26,149 3,57 35,73 41,48 47,491 DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 49

50 Valuation: 목표주가 84,원으로커버리지개시솔브레인에대한목표주가 84,원은 12개월 forward EPS에글로벌동종업체의 217년평균 PER 14.1배를적용해서산출했다. 글로벌업체와실적규모측면에서의차이는있지만성장성과수익성측면에서우위에있어동등한평가를받을수있다는판단이다. 3D NAND 투자를통해공정횟수가상승하는식각과증착, CMP의소재를다루고있어성장성이높을것으로기대된다. 현재는 3D NAND에유일하게관여하고있는식각액의비중이반도체내에서 81% 의비중에달한다. 다만, 전구체과 CMP슬러리도 NAND향진입을위한준비는꾸준히진해중에있으며, 향후채택시실적모멘텀이부각될것으로기대된다. 표 1. 솔브레인글로벌 Peer Valuation 솔브레인 hitachi chem JSR CABOT FUJIMI 평균 종가 ($) 시가총액 ($ 십억 ) SALES ,592. 3,246. 2, , F , , , , F , , , ,94.7 OP F F NP F F OP margin F F ROE F F N/A 11.1 PER F F PBR F F EV/EBITDA N/A F N/A 7. 17F N/A 5.8 5

51 전방위적인반도체용공정소재공급업체솔브레인은반도체용식각액, 전구체, CMP 슬러리를고객사에공급중이다. 반도체용식각액의매출은 216년 2,894억원으로전망되어반도체용소재내에서 81% 의비중을차지한다. 식각액의경우고객사의 3D NAND 생산라인에공급중에있어향후성장성도양호할것으로추정된다. 217년반도체용식각액의매출액은전년대비 15% 증가해반도체용소재는물론전사이익을견인할것으로전망된다. 식각액의경우, 고객사의증설에의한증가분도있지만 3D NAND의단수상승으로인한수요증가도가능하다. 반도체용재료는수익성도양호해수익성방어측면에서도기여할것으로판단된다. 그림 1. 삼성전자의 CAPA 와솔브레인식각액매출액추이및전망 ( 십억원 ) Etchant Wafer Capa( 우 ) ( 천장 / 월 ) 1 1, Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16F 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F 그림 2. 솔브레인반도체용매출액전망 ( 십억원 ) Etching Precursors CMP Slurry F 17F 51

52 전구체와 CMP슬러리는향후실적상향요인솔브레인의전구체는증착공정인 CVD와 ALD에사용되는 High-K, low-k 로주로 DRAM 공정에적용되고있다. CMP슬러리는세리아계열로역시나 DRAM용으로주로공급중이다. NAND의투자에의해서상대적으로성장성측면에서의기대감은떨어지지만, 매년꾸준하게성장하고있는점은긍정적이다. 장기간 NAND향제품공급을위한준비가이루어졌고, 고객사와도지속접촉중이기때문에가능성이닫혀있는것은아니라는판단이다. 향후 NAND용공급이확정되어매출이본격화된다면성장동력으로자리잡을것으로기대된다. 3D NAND의공정에서증착과 CMP도공정횟수가증가하기때문에예의주시할필요가있다. 그림 3. CMP 매출추이및전망 ( 십억원 ) F 17F 그림 4. 전구체매출추이및전망 ( 십억원 ) F 17F 52

53 디스플레이와 2차전지소재는반전이필요솔브레인의디스플레이향제품은 Thin Glass, 식각액, 유기재료로구성되어있다. Thin Glass는 OLED에사용되는 Glass의두께를화학작용을통해줄여주는사업부다. 스마트폰경박화를위한공정인데, 고객사의 Rigid OLED의수요에연동되기때문에최근진행중인플렉서블 OLED의증설수혜가제한적인점은아쉽다. 식각액에서는반전의기회가제공될것으로예상된다. 중국고객사향공급을위한승인단계가진행중인것으로파악되는데긍정적인결과가기대된다. 217년디스플레이향매출액은 2,953억원으로전년대비 1% 증가에그칠것으로전망한다. 다만, 앞서언급한중국고객사확보를통해상향여지는있을것이다. 2차전지소재로전해액을다루고있다. 2차전지전해액도매출액감소가지속되고있는데, 최근고객사의중대형전지매출이반등할기회들이생긴것으로파악된다. 향후중장기적인관점에서지켜볼필요가있는사업부다. 그림 5. 디스플레이매출추이및전망 ( 십억원 ) 3 2 차전지 Etchant Thin Glass O.M F 17F 그림 6. 2 차전지매출추이및전망 ( 십억원 ) F 17F 53

54 217년영업이익 1,279억원으로사상최대치갱신지속솔브레인의 216년매출액, 영업이익은전년대비각각 14%, 18% 증가한 7,17억원, 1,189억원을전망한다. 216년에는반도체와디스플레이향매출액이각각 15%, 16% 증가할것으로추정된다. 반도체는식각액중심으로, 디스플레이는 Thin Glass 중심으로성장할것이다. 식각액은고객사의 3D NAND 비중확대, Thin Glass는고객사의 OLED 외판확대에따른수요증가로인해양호한성장률을시현할것으로판단한다. 217년디스플레이소재의매출증가율은 1% 에그칠것이지만, 중국고객사향신규매출여부에따라상승가능성은상존한다. 반도체소재는 216년과같이식각액중심으로전년대비 13% 의외형성장이가능할것으로전망한다. 반도체소재의매출비중이확대되며디스플레이소재의매출정체에의한수익성악화를상쇄가능할것으로추정한다. 217년영업이익은 1,279억원으로 216년에이어사상최대치갱신을지속할것으로판단된다. 표 2. 실적추이 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16F 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F F 217F 매출액 디스플레이 반도체 기타 QoQ -1% 3% 4% 1% -1% 5% 4% -2% 디스플레이 -4% 6% 2% -7% -1% 6% % -3% 반도체 -1% -1% 6% 8% -2% 4% 6% -1% 기타 6% 6% -3% -5% 3% 6% 2% -6% 매출비중디스플레이 41% 42% 42% 39% 39% 39% 38% 38% 41% 41% 38% 반도체 5% 48% 49% 53% 52% 52% 53% 54% 49% 5% 53% 기타 1% 1% 9% 9% 9% 9% 9% 9% 1% 9% 9% 영업이익 영업이익률 18% 16% 16% 17% 16% 17% 17% 17% 16% 17% 17% 그림 7. PER Band ( 원 ) 수정주가 19.x 15.9x 14, 12.7x 9.6x 6.4x 12, 1, 8, 6, 4, 2, 그림 8. 솔브레인매출액, 영업이익률추이 ( 십억원 ) 디스플레이 반도체 25 기타 영업이익률 ( 우 ) Q15 3Q15 1Q16 3Q16F 1Q17F 3Q17F 2% 18% 16% 14% 12% 1% 8% 6% 4% 2% % 54

55 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) F 217F 218F F 217F 218F 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 (1.1) (.6) (1.4). 2.1 기타유동자산 종속 / 관계기업손익 비유동자산 기타영업외손익 (1.3) 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익 (1.7) (.1) (.1) (.2) (.2) 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 (15.2) 기타비유동부채 NOPAT증가율 (52.) 부채총계 EBITDA 증가율 (28.4) 64.3 (8.8) 지배주주지분 영업이익증가율 (45.8) 자본금 ( 지배주주 ) 순익증가율 (38.8) 자본잉여금 EPS증가율 (39.2) 자본조정 (6.5) (11.9) (13.8) (13.8) (13.8) 수익성 (%) 기타포괄이익누계액 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분 (1.9) (2.) 영업이익률 자본총계 계속사업이익률 순금융부채 (8.2) (43.) 투자지표 현금흐름표 ( 단위 : 십억원 ) F 217F 218F F 217F 218F 주당지표 ( 원 ) 영업활동현금흐름 EPS 2,336 4,878 5,754 6,192 6,57 당기순이익 BPS 26,149 3,57 35,73 41,48 47,491 조정 CFPS 4,448 7,816 8,734 9,63 1,23 감가상각비 EBITDAPS 5,352 8,667 7,896 9,159 9,652 외환거래손익 (.4) (.5)... SPS 33,17 37,95 43,286 46,471 5,36 지분법손익 (7.6) (3.1) (1.4).. DPS 기타 주가지표 ( 배 ) 영업활동자산부채변동 (.2) (21.3) 15.4 (3.5) (4.9) PER 투자활동현금흐름 (3.4) (17.7) (89.3) (71.1) (85.5) PBR 투자자산감소 ( 증가 ) 7.8 (77.8) 11.8 (1.4) (14.6) PCFR 유형자산감소 ( 증가 ) (32.8) (59.3) (69.9) (6.) (7.) EV/EBITDA 기타 (5.4) (33.6) (31.2) (.7) (.9) PSR 재무활동현금흐름 (15.9) 48.5 (56.9) (28.1) (9.7) 재무비율 (%) 금융부채증가 ( 감소 ) (11.8) 59.7 (49.3) (2.) (1.7) ROE 자본증가 ( 감소 ) ROA 기타재무활동 (3.9) (6.8).5 (.).1 ROIC 배당지급 (7.2) (7.3) (8.1) (8.1) (8.1) 부채비율 현금의증감 24.8 (.3) (21.1) 순부채비율 (1.2) (5.5) Unlevered CFO 이자보상배율 ( 배 ) Free Cash Flow

56 216 년 11 월 2 일 SK 머티리얼즈 (3649) 여전히배고프다 투자의견 BUY, 목표주가 2,원으로커버리지개시 SK머티리얼즈에목표주가 2, 원과투자의견 BUY 로커버리지개시한다. 1) 주력제품인 NF3의수요 / 공급밸런스가지속되며 217년매출액은전년대비 12% 증가한 3,57 억원으로안정적으로성장할전망이다. 217년 NF3 업체들의생산능력은연간 26,5톤으로전년대비 17% 증가하고, 수요는 18% 증가해 216년과유사한수준일것으로추정된다. 2) WF6 증설결정으로성장동력확보및제품포트폴리오다변화가가능할전망이다. 이는 WF6의수요에대응하기위한것으로, 3D NAND의 WF6 사용량은 2D NAND보다 2배정도인것으로파악된다. 3) 217년기준 PER 13.6배로글로벌동종업체의평균 17.6배보다낮아가격적인매력을확보했다는판단이다. NF3 수요확대로안정적인밸런스유지전망글로벌업체들의 NF3 생산능력증설이진행중이다. 국내업체인효성의 NF3 생산능력은 215년 2,톤, 216년 3,25 톤, 217년 4,5톤으로증가할예정이다. 그럼에도불구하고 NF3 수요 / 공급밸런스가유지될수있는것은수요또한증가중이기때문이다. 3D NAND에서 NF3의수요는기존대비 1.5 배증가하는것으로파악된다. 또한 OLED 증설도 NF3의수요에는긍정적이다. 217년글로벌생산능력은 26,5톤, 수요는 24,톤으로공급이 9% 많을것으로추정된다. 수요대비생산능력의 1% 내외는밸런스수준이며, 15% 를초과하기시작하면공급과잉으로파악된다. 따라서 217년안정적인가격유지가가능할것으로판단된다. 증착가스와전구체로증착시장에도진입 SK에어가스인수로 16년 2분기부터연결기준실적에반영되기시작하고있다. 또한일본의 Tri-Chemical사가 35%, SK 머타리얼즈가 65% 지분을보유한합작회사를설립했다. 이는지르코늄계열의전구체양산을위한회사로 WF6와더불어증착공정에진입이가능할전망이다. SK에어가스인수와 WF6 증설, 합작법인발표등으로미루어보았을때, SK하이닉스를필두로반도체공급체인안에서 SK머티리얼즈의역할이확대되고있다는판단이다. Top Picks BUY I TP(12M): 2, 원 ( 신규 ) I CP(11 월 1 일 ): 155,4 원 Key Data Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 16,/8,7 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 1,645.4 영업이익 ( 십억원 ) 시가총액비중 (%).84 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 1,547.7 EPS( 원 ) 1,121 12,691 6 일평균거래량 ( 천주 ) 58.6 BPS( 원 ) 4,77 5,232 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 2,56 Stock Price 16 년배당수익률 ( 예상,%) 1.64 외국인지분율 (%) 주요주주지분율 (%) SK 외 2 인 국민연금 5.6 주가상승률 1M 6M 12M 절대 (1.) 상대 Financial Data ( 천원 ) SK 머티리얼즈 ( 좌 ) 상대지수 ( 우 ) 투자지표단위 F 217F 218F 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 1,289 6,27 9,682 11,456 13,39 증감률 % 3, PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 3,64 35,67 39,536 48,432 59,262 DPS 원 1, 2,56 2,56 2,56 2,56 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 56

57 Valuation: 목표주가 2,원 SK머티리얼즈에대한목표주가는 217년추정 EPS 11,456원에글로벌동종업체의 217 년평균 PER 17.6배를적용해서산출했다. NF3 업계최대 CAPA 를확보하고있어글로벌동종업체와대등한밸류에이션이가능하다는판단이다. 또한 SK에어가스인수를통해산업용가스진출, WF6 증설을통해증착용가스매출본격화, 합작회사설립으로전구체시장진입등사업다각화를추진하고있다. SK 그룹사의반도체공급체인안에서도역할이확대되고있는점또한긍정적으로평가한다. 표 1. Global Peer Valuation SK머티리얼즈 Air prods Praxair Air Liquide linde Mitsui Chem 평균 종가 ($) 시가총액 ($ 십억 ) SALES , , , , , , F , , , , , ,4.5 17F 5.1 8, , , , , ,771.8 OP , ,321. 3,61.8 2, , F ,38.1 2, ,432. 2, , F , , ,99. 2, ,337.1 NP , ,677. 2,46.5 1, , F , , ,44.3 1, , F ,43.1 1,73.8 2, , ,481.2 OP margin F F ROE F F PER F F PBR F F EV/EBITDA F F

58 NF3 수급밸런스는 217년에도양호하게지속글로벌 NF3의생산능력이증가하고있다. 215년 19,5톤에서 216년 22,3톤으로증가할예정이다. SK머티리얼즈는 215년말에 1,톤을증설하여 216년연초부터증설분이반영되었다. 국내업체인효성은 215년 2,톤생산능력에서 16년하반기 1,25톤이증가할예정이며, 217년하반기에도추가적으로 1,25이추가될전망이다. NF3의공격적인증설에도불구하고현재에도밸런스는훼손되고있지않은것으로파악된다. NF3의수요가전년대비 16% 증가해증설분을소화중인것으로추정된다. 215년부터 217년까지수요대비생산능력이 1% 내외많은것으로추정되는데, NF3에서공급과잉률 1% 내외는밸런스수준이며, 15% 를초과하기시작하면공급과잉으로파악된다. 217년글로벌생산능력은전년대비 17% 증가할것으로파악되는데, 수요또한 18% 증가해현재수준의밸런스가유지될것으로판단한다. 3D NAND와 OLED의생산능력이증가해 NF3의수요가강해지기때문이다. 그림 1. NF3 수요공급및공급과잉률 ( 톤 ) 3, 공급수요공급과잉률 ( 우 ) 3% 25, 25% 2, 2% 15, 15% 1, 1% 5, 5% F 217F % 그림 2. 3D NAND CAPA 증가 ( 천장 / 월 ) 3D NAND 1, Q14 3Q14 1Q15 3Q15 1Q16 3Q16F 1Q17F 3Q17F 그림 3. OLED CAPA 증가 (1m^2) OLED 면적 1,8 1,6 1,4 1,2 1, Q14 3Q14 1Q15 3Q15 1Q16 3Q16F 1Q17F 3Q17F 58

59 사업다각화추진으로 NF3 의존도축소진행중 SK에어가스는 16년 2분기부터연결기준실적에반영되고있다. SK에어가스는산업용특수가스를제조하는업체로 IT용특수가스에국한된 SK머티리얼즈입장에서는사업다각화가가능해졌다. 또한지르코늄계열의전구체양산을제작하기위한회사로일본의 Tri- Chemical 사와 35%:65%(SK머타리얼즈 ) 의지분으로합작회사를설립했다. 이는증착공정에필요한소재로 WF6와더불어증착및배선공정진입을의미한다. NF3는반도체및디스플레이공정을진행하는 Chamber 를세정하는특수가스다. 반도체와디스플레이공정중에절연막또는도전막을입히는 CVD 공정이진행된후에 Chamber 내부및표면의잔률물을제거해주는것이다. 따라서 WF6의매출증대와전구체진입은단순하게아이템이증가하는것이아닌수요처를확대한것이기때문에긍정적으로판단한다. NF3에대한매출의존도는 213년 79% 에서 215년 77% 로축소되었고, 217년에는 65% 까지감소될것으로추정한다. 그림 4. WF6 글로벌 CAPA 현황및전망 그림 5. 사업부별매출액추이 ( 톤 ) 2,5 ( 십억원 ) 6 NF3 WF6 Others( 모노실란포함 ) SK Air Gas 2, 5 1, , F 217F F 17F 59

60 실적전망 : 이익의양과질이모두성장 SK머티리얼즈의 216년매출액과영업이익은 4,575억원, 1,536억원으로각각전년대비 35%, 36% 증가할전망이다. NF3의수요증가로인해수급밸런스가유지되면서매출과영업이익모두양호한실적달성이가능할것으로판단된다. NF3 매출액은전년대비 22% 증가할것으로추정되는데, WF6 매출증가와 SK에어가스의매출이반영되기시작하며전사에서차지하는비중이 69% 로전년대비 8%p 축소될것이다. 217년에도 NF3의안정적인매출성장속에 SK에어가스의온기반영과 WF6의매출증대에의한실적우상향흐름이유지될것으로전망한다. 앞서언급했듯이 NF3에대한의존도가축소되면서제품및사업의다각화가이루어지고있어이익의양과질이동반성장할것으로판단된다. WF6의증설은 217년하반기에완료되기때문에 218년외형성장에본격적으로기여할것으로기대된다. 표 2. 실적추이 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16F 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F F 217F 매출액 NF WF Others( 모노실란포함 ) SK Air Gas QoQ.4% 19.7% 6.2% -3.% 2.% 11.2% 11.3%.4% NF3 1.1%.5% 5.1% 2.3% -2.5% 8.5% 9.1% 1.1% WF6 4.6% -2.4% 16.4% 17.8% 6.1% 6.1% 16.2% 4.6% Others( 모노실란포함 ) -6.2% -8.5% 11.2% 1.9% 1.6% 2.4% 2.4% -6.2% SK Air Gas 3.% -36.9% 27.8% 35.% 22.2% -39.4% 영업이익 영업이익률 35% 33% 33% 33% 31% 32% 34% 34% 33% 34% 33% 6

61 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) F 217F 218F F 217F 218F 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 (9.5) (16.2) (12.3) (14.5) (13.) 기타유동자산 종속 / 관계기업손익 (.6) (.5)... 비유동자산 기타영업외손익 1.1 (8.2) (.6) 2.6 (.9) 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 ,12.7 비지배주주지분순이익 (.) 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 기타비유동부채 NOPAT증가율 부채총계 EBITDA 증가율 지배주주지분 영업이익증가율 자본금 ( 지배주주 ) 순익증가율 3, 자본잉여금 EPS증가율 3, 자본조정 (.). (.) (.) (.) 수익성 (%) 기타포괄이익누계액 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분 영업이익률 자본총계 계속사업이익률 순금융부채 투자지표 현금흐름표 ( 단위 : 십억원 ) F 217F 218F F 217F 218F 주당지표 ( 원 ) 영업활동현금흐름 EPS 1,289 6,27 9,682 11,456 13,39 당기순이익 BPS 3,64 35,67 39,536 48,432 59,262 조정 CFPS 7,833 16,267 2,997 24,32 26,615 감가상각비 EBITDAPS 7,236 15,976 2,834 23,755 26,72 외환거래손익 SPS 2,69 32,47 43,376 51,974 6,376 지분법손익 DPS 1, 2,56 2,56 2,56 2,56 기타 (1.6).1. 주가지표 ( 배 ) 영업활동자산부채변동 (18.) (1.3) (17.) (1.) (12.3) PER 투자활동현금흐름 (25.6) (83.2) (168.8) (12.1) (12.1) PBR 투자자산감소 ( 증가 ) (.1) (.1) (.1) PCFR 유형자산감소 ( 증가 ) (19.1) (87.) (93.7) (12.) (12.) EV/EBITDA 기타 (6.9) 2.3 (75.).. PSR 재무활동현금흐름 (29.3) (43.1) 5.4 (25.6) (13.5) 재무비율 (%) 금융부채증가 ( 감소 ) (23.1) (19.7) (76.5) ROE 자본증가 ( 감소 ).. (33.5).. ROA 기타재무활동 (.9) (12.9) (42.2).. ROIC 배당지급 (5.3) (1.5) (29.8) (27.) (27.) 부채비율 현금의증감 (.5) 23.8 (11.1) 37.8 (2.9) 순부채비율 Unlevered CFO 이자보상배율 ( 배 ) Free Cash Flow

62 216 년 11 월 2 일원익 IPS (2481) 장비대장주 회사개요원익IPS 는반도체증착공정에사용되는 CVD 장비와디스플레이식각공정장비를공급하는업체이다. 주요제품은 PE CVD와 ALD장비와같은반도체증착공정장비로 215년기준반도체장비의매출비중이 8% 를차지한다. 삼성전자가주요고객사이며, SK하이닉스및해외고객사로다각화가진행중이다. 16년 2분기원익홀딩스와의분할로기존에영위하던가스공급장치사업은실적에인식되지않으며, 지난 9월계열사인테라세미콘과 12월에합병할것을발표했다. 주요관전포인트 1) 삼성전자반도체투자의최대수혜주 : 삼성전자의평택공장에 3D NAND 투자가 217~218년월 1만장규모로집행될것으로예상된다. 원익IPS는절역막, 금속막, 미세층까지증착공정다수에장비를공급할것으로기대되어매출액규모가국내동종업체보다클것으로예상된다. 2) 중소형 OLED 투자역시기회 : 삼성디스플레이가 16년하반기부터중소형 OLED의증설을진행중인것으로파악된다. 중국업체의 OLED 채용확대와북미스마트폰업체의 OLED 탑재가능성이높아수요가급증할것으로전망된다. 그에따라원익IPS 의디스플레이용건식식각장비에대한수주도가능할것으로판단된다. 또한봉지층증착장비개발도완료되어고객사공급이가능할것으로기대된다. 3) 신규장비도대기중 : 메모리용증착장비에서그치지않고비메모리용증착장비에대한품질인증이완료된것으로보여진다. 비메모리향진출과신규장비공급을통해새로운성장동력을확보하는점도긍정적으로판단된다. 투자판단원익IPS 의컨센서스기준 216년 PER은 26.8배로다소높은편이지만, 217년 12.7배로낮아진다. 이는 217년실적의대폭성장이기대되기때문인데, NAND 증착장비및 OLED 장비공급을통해실적방향성에대한가시성은높다. 반도체투자싸이클안에서매출액규모의차별화가가능하기때문에프리미엄에대한당위성은확보했다는판단이다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 23,7 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 26,/16,65 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).5 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 41,273.4 EPS( 원 ) 829 1,91 6 일평균거래량 ( 천주 ) BPS( 원 ) 4,45 5,939 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) N/A Stock Price 16 년배당수익률 ( 예상,%) N/A 외국인지분율 (%) 주요주주지분율 (%) 원익홀딩스외 5 인 삼성전자외 1 인 8.97 주가상승률 1M 6M 12M 절대 (7.1).. 상대 Financial Data ( 천원 ) 원익 IPS( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 증감률 % (67.2) (58.1) PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 3,818 3,966 4,248 4,76 5,494 DPS 원 주 : 위값은 216 년 4 월분할전원익 IPS( 존속회사인원익홀딩스 ) 의재무제표입니다. Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com

63 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 QoQ -19.7% -36.2% 34.9% 65.4% -23.2% -29.6% 16.1% -3.5% -34.7% 82.% YoY 135.6% 14.4% 14.8% 14.3% 9.4% 2.7% 84.5% -22.4% -34.% 7.4% 31.7% 16.2% 영업이익 QoQ -31.3% -66.4% 82.7% 15.6% -19.4% -56.3% 241.3% -68.% -47.6% 184.8% YoY 흑전 28.% 39.8% -13.3% 1.7% 32.6% 147.6% -61.5% -74.9% 63.% 67% 8% 영업이익률 2.1% 1.6% 14.4% 17.9% 18.7% 11.6% 19.3% 8.9% 7.1% 11.1% 16.5% 15.3% 주 : 표의 15 년까지실적값분할전원익 IPS 의실적에분할비율.5127 을곱하여산출된값입니다. 그림 1. 경쟁사현황 CVD 장비 그림 2. 주요제품 CVD 장비 ( 백만달러 ) 5, 4,5 4, 3,5 3, 2,5 2, 1,5 1, 5 Applied Materials Tokyo Electron Lam Research ASM Hitachi 원익IPS Others 그림 3. 원익 IPS 매출액및영업이익률추이 ( 십억원 ) 매출액영업이익률 ( 우 ) 25% 2% 15% 1% 5% 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 주 : 표의 15년까지실적값분할전원익IPS 의실적에분할비율.5127 을곱하여산출된값입니다. % 63

64 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 (2.1) (3.5) 1.9 (7.5) 2.4 기타유동자산 종속 / 관계기업손익..... 비유동자산 기타영업외손익 1.7 (.3) (4.5) (7.6) (5.5) 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 기타비유동부채 NOPAT증가율 (37.8) 부채총계 EBITDA 증가율 (9.8) (2.) 지배주주지분 영업이익증가율 (21.4) (8.6) 자본금 ( 지배주주 ) 순익증가율 (32.2) (55.9) 자본잉여금 EPS증가율 (67.2) (58.1) 자본조정 (15.1) (11.1) (1.8) (18.3) (2.6) 수익성 (%) 기타포괄이익누계액 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분 영업이익률 자본총계 계속사업이익률 순금융부채 (6.2) (45.1) (59.8) (67.1) (65.2) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 (6.6) EPS 당기순이익 BPS 3,818 3,966 4,248 4,76 5,494 조정 CFPS ,83 1,492 1,66 감가상각비 EBITDAPS ,48 1,54 외환거래손익 SPS 4,911 4,784 5,757 6,922 8,42 지분법손익 (1.5) DPS 기타 주가지표 ( 배 ) 영업활동자산부채변동 (47.4) 6.3 (8.1) (18.9) (32.3) PER 투자활동현금흐름 (47.7) (61.7) (45.) (72.) (64.2) PBR 투자자산감소 ( 증가 ) (23.3).8 (37.1) (28.7) 1.4 PCFR 유형자산감소 ( 증가 ) (36.9) (47.1) (35.8) (31.) (6.1) EV/EBITDA 기타 12.5 (15.4) 27.9 (12.3) (5.5) PSR 재무활동현금흐름 (9.5) (28.9) 재무비율 (%) 금융부채증가 ( 감소 ) (2.) (2.7) (27.2) ROE 자본증가 ( 감소 ) (142.7) (.) 4.3 ROA 기타재무활동 21.4 (4.2) 3.8 (6.8) (6.) ROIC 배당지급..... 부채비율 현금의증감 28.1 (14.7) (19.8) 순부채비율 (19.5) (13.4) (14.8) (14.7) (12.1) Unlevered CFO 이자보상배율 ( 배 ) Free Cash Flow (64.) (3.2) 주 : 위값은 216년 4월분할전원익IPS( 존속회사인원익홀딩스 ) 의재무제표입니다 64

65 216 년 11 월 2 일테스 (9561) 반도체에 OLED 가가세 회사개요테스는반도체전공정중증착공정에사용되는 CVD 장비를공급하는업체이다. 215년매출기준 PE CVD 장비의매출이 73% 로가장큰비중을차지한다. 주요제품은삼성전자와 SK하이닉스양사에모두납품중이며, 215년기준고객사비중은삼성전자가 65%, SK하이닉스가 25% 를차지하는것으로파악된다. 주요관전포인트 1) 반도체증착장비모멘텀은유효 : 테스의 PE CVD 증착장비는 3D NAND 증설에의한수혜가강할것으로예상된다. 국내고객사들의 3D NAND 투자가 217년연중으로진행될것으로예상되고, 218년까지도지속될가능성이높아기존주력장비의매출액은지속적으로증가할것으로판단된다. 2) OLED 봉지층증착장비공급시작 : 중국패널업체인 Everdisplay 와 CSOT로부터 OLED 봉지층증착장비를수주했다. 봉지층증착은 OLED 전공정장비중에서도난이도가있는영역으로국내에서는원익IPS, 주성엔지니어링이후에테스가개발에성공한것으로파악된다. 아직은미미한수준이지만, 반도체증착장비보다규모가큰장비이기때문에단가가높아향후에는외형성장에기여할것으로기대된다. 3) 식각장비공급은신성장동력 : 식각장비는국내장비업체들의진출이가장더딘분야이다. 테스는 3D NAND향식각장비의국산화에성공한것으로파악된다. 아이템의다변화로인해실적안정성높아질것으로기대되며, 밸류에이션할증요소로작용할가능성도높다는판단이다. 관심종목 Not Rated CP(11 월 1 일 ): 21,65 원 Key Data Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 22,65/7,11 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 41.5 영업이익 ( 십억원 ) 시가총액비중 (%).2 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 18,717.5 EPS( 원 ) 1,448 1,678 6일평균거래량 ( 천주 ) 31.4 BPS( 원 ) 6,899 8,334 6일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 21 Stock Price 16년배당수익률 ( 예상,%).99 ( 천원 ) 테스 ( 좌 ) 외국인지분율 (%) 상대지수 ( 우 ) 37 주요주주지분율 (%) 주숭일외 11 인 베어링자산운용 주가상승률 1M 6M 12M 절대 (5.3) 상대 Financial Data 투자지표 단위 매출액 십억원 영업이익 십억원 세전이익 십억원 순이익 십억원 EPS 원 증감률 % N/A N/A N/A (18.1) PER 배 N/A N/A PBR 배 N/A N/A EV/EBITDA 배 N/A N/A ROE % N/A N/A BPS 원 4,296 5,166 5,781 DPS 원 투자판단 테스의컨센서스기준 216년과 217년 PER은각각 14.9배, 12.9배로국내동종업체평균인 13.3배, 11.1배보다낮은수준이다. 다만, 기존장비의성장뿐만아니라국산화가어려운식각장비의개발에성공했고, OLED 봉지층증착장비공급도이루어지고있어밸류에이션프리미엄요소는확보했다는판단이다. 조정시매수전략을권고한다. Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 65

66 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 QoQ 67.9% -56.7% -58.7% 144.9% 14.6% 4.4% 28.7% -74.1% 389.% -23.5% YoY 435.5% 61.7% -12.% -26.4% -49.8% 21.% 277.1% -6.1% 7.2% 24.8% 64% -9% 영업이익 QoQ 94.7% -71.1% 적전 흑전 85.% 11.6% 32.% 적전 흑전 -4.1% YoY 흑전 % 적전 -66.6% -68.3% 22.6% 흑전 적전 177.6% 48.9% 16% -42% 영업이익률 21.8% 14.5% -7.3% 8.5% 13.8% 14.7% 15.1% -4.7% 22.5% 17.6% 14.9% 9.4% 그림 1. 매출비중 그림 2. 주요제품 PECVD AMOLED LED 9% 기타 12% 반도체 79% 그림 3. 경쟁사현황 PECVD 그림 4. Valuation ( 백만달러 ) 2, 1,8 1,6 1,4 1,2 1, Applied Materials Lam Research 원익IPS 테스 ASM SPTS 유진테크 기타 ( 원 ) 수정주가 1.6x 1.3x 25, 1.x.7x.5x 2, 15, 1, 5,

67 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 기타유동자산 종속 / 관계기업손익.. (.8) (.6). 비유동자산 기타영업외손익.. (1.7) (2.4) (.8) 투자자산 세전이익 금융자산 법인세.. (1.) 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익... (.1) (.1) 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT N/A N/A 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 N/A N/A N/A 63.5 (8.6) 기타비유동부채 NOPAT증가율 N/A N/A N/A 98.7 (44.4) 부채총계 EBITDA 증가율 N/A N/A N/A 18.1 (35.9) 지배주주지분 영업이익증가율 N/A N/A N/A (41.7) 자본금 ( 지배주주 ) 순익증가율 N/A N/A N/A 194. (14.3) 자본잉여금 EPS증가율 N/A N/A N/A (18.1) 자본조정.. (.3).3. 수익성 (%) 기타포괄이익누계액.. (.1). (.2) 매출총이익률 N/A N/A 이익잉여금 EBITDA 이익률 N/A N/A 비지배주주지분 영업이익률 N/A N/A 자본총계 계속사업이익률 N/A N/A 순금융부채.. (7.1) (35.7) (3.3) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름.. (7.1) 26.3 (3.) EPS 당기순이익 BPS 4,296 5,166 5,781 조정 (1.1) CFPS 814 1, 감가상각비 EBITDAPS 652 1, 외환거래손익 SPS 4,416 7,2 6,172 지분법손익 (.) DPS 기타 (5.1) 주가지표 ( 배 ) 영업활동자산부채변동.. (19.8) 3.4 (14.4) PER N/A N/A 투자활동현금흐름.. (4.9) (29.9) (2.6) PBR N/A N/A 투자자산감소 ( 증가 ).. (7.) PCFR N/A N/A 유형자산감소 ( 증가 ).. (1.1) (1.5) (2.4) EV/EBITDA N/A N/A 기타 (3.2) (.3) PSR N/A N/A 재무활동현금흐름 재무비율 (%) 금융부채증가 ( 감소 ) (3.9) 2.5 ROE N/A N/A 자본증가 ( 감소 ) ROA N/A N/A 기타재무활동.. (42.6) ROIC N/A N/A 배당지급... (.8) (1.6) 부채비율 N/A N/A 현금의증감.. (6.4) (.7) (2.2) 순부채비율 N/A N/A (11.) (42.5) (32.2) Unlevered CFO 이자보상배율 ( 배 ) N/A N/A Free Cash Flow.. (8.2) 24.8 (5.5) 67

68 216 년 11 월 2 일유진테크 (8437) 국내유일한싱글타입 LP CVD 장비업체 회사개요유진테크는반도체전공정중증착공정에사용되는장비를공급하는업체이다. 주요장비는싱글타입 LP CVD와플라즈마트리트먼트장비이며, 플라즈마트리트먼트장비는증착막의퀄리티개선에주로사용된다. 삼성전자와 SK하이닉스에장비를공급중이다. 주요관전포인트 1) 싱글타입 LP CVD로차별화 : 유진테크는싱글타입의 LP CVD 장비를제조하는국내유일한업체이다. 싱글타입은단시간에한장씩증착을진행하기때문에생산성은떨어지지만, 균일도측면에서우수하다. 미세공정이확대될수록싱글타입에대한수요가강해질것으로예상되어동사의수혜가예상된다. 2) ALD 장비는신규성장동력 : 플라즈마를이용해원자단위로증착시키는 ALD장비는균일도가높지만시간이소요된다는단점이있다. 다만, 미세공정특히 3D NAND에는필수적으로필요한장비이다. 유진테크는현재고객사와함께 2~3년간개발진행중이며, 217년에양산할것으로기대된다. 3) 자회사턴어라운드 : 213년에인수한유진테크머티리얼즈는게르마늄관련된프리커서를제조하는업체이다. 아직은매출액미미하지만매년성장이잰행중이다. 15년매출 2~3억원에서 16년 1억원달성해손익분기점에도달할것으로추정된다. 217년이후에는이익에기여가능할것으로전망된다. 관심종목 Not Rated CP(11 월 1 일 ): 19,25 원 Key Data Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 22,2/11,33 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).23 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 22,916. EPS( 원 ) 1,494 1,811 6일평균거래량 ( 천주 ) BPS( 원 ) 9,415 1,927 6일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 2 Stock Price 16년배당수익률 ( 예상,%) 1.7 ( 천원 ) 유진테크 ( 좌 ) 외국인지분율 (%) 상대지수 ( 우 ) 21 주요주주지분율 (%) 엄평용외 15 인 주가상승률 1M 6M 12M 절대 상대 Financial Data 투자지표 단위 매출액 십억원 영업이익 십억원 세전이익 십억원 순이익 십억원 EPS 원 1,122 2,23 1, 증감률 % N/A 96.3 (24.1) (59.5) 31.6 PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 3,312 5,555 7,95 7,616 8,363 DPS 원 투자판단 국내반도체업체들의 3D NAND 투자가진행될것으로예상되는바국내유일한장비를공급하는유진테크의수혜가예상된다. 유진테크의 216년및 217년컨센서스기준 PER은 12.배, 1.배로국내동종업체평균인 13.3배, 11.1배보다낮은수준이다. 무차입금경영을통한재무안전성과꾸준히지금중인배당금을고려하면투자매력도낮지않다는판단이다. Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 68

69 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 LPCVD Plasma 기타 영업이익 QoQ 14.9% -93.5% -64.5% 적전 흑전 215.2% 12.9% 적전 흑전 -22.1% YoY 72.4% -91.5% -94.3% 적전 -79.% 911.8% % 적지 51.7% 51.% -6% 51% 영업이익률 28.% 6.9% 2.5% -2.3% 14.5% 24.2% 26.4% -1.8% 27.6% 28.5% 15.7% 19.6% 그림 1. 매출비중 그림 2. 주요제품 플라즈마장비 기타 11% LPCVD 4% Plasma 49% 그림 3. 경쟁사현황 - LP CVD 장비 ( 백만달러 ) 7 Tokyo Electron Lam Research Applied Materials 유진테크 그림 4. Valuation ( 원 ) 수정주가 3.6x 2.9x 45, 2.2x 1.5x.8x 4, 35, 3, 25, 2, 15, 1, 5,

70 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 기타유동자산 종속 / 관계기업손익. (.4) (.8) (.4). 비유동자산 기타영업외손익 (5.6) 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익. (.3) (.5) (.6) (.3) 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채..... 매출액증가율 N/A 28.7 (36.8) (26.3) 2.8 기타비유동부채 NOPAT증가율 N/A 45.7 (41.8) (52.2) 53. 부채총계 EBITDA 증가율 N/A 43.8 (43.7) (54.7) 55.9 지배주주지분 영업이익증가율 N/A 39.7 (42.2) (59.7) 51.2 자본금 ( 지배주주 ) 순익증가율 N/A 96.5 (24.2) (59.5) 31.6 자본잉여금 EPS증가율 N/A 96.3 (24.1) (59.5) 31.6 자본조정 (1.2) (2.8) (2.8) (2.4) (9.) 수익성 (%) 기타포괄이익누계액. (.) (.). (.) 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분 영업이익률 자본총계 계속사업이익률 순금융부채 (71.7) (124.2) (122.8) (121.) (138.5) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS 1,122 2,23 1, 당기순이익 BPS 3,312 5,555 7,95 7,616 8,363 조정 (7.9) CFPS 2,527 3,296 1, ,2 감가상각비 EBITDAPS 1,71 2,45 1, 외환거래손익 SPS 5,79 7,344 4,642 3,42 4,131 지분법손익 DPS 기타 (1.1) 주가지표 ( 배 ) 영업활동자산부채변동 (13.2) (3.4) (7.7) (7.7) 6.4 PER 투자활동현금흐름 (5.) (49.7) (13.7) (19.8) (15.3) PBR 투자자산감소 ( 증가 ) (.8) (1.3).9.8 (.4) PCFR 유형자산감소 ( 증가 ) (4.4) (5.3) (18.2) (4.2) (2.9) EV/EBITDA 기타 (44.8) (43.1) 3.6 (16.4) (12.) PSR 재무활동현금흐름 (.9) (1.2) (4.2) (3.1) (9.7) 재무비율 (%) 금융부채증가 ( 감소 ) 1.4 (1.2).6 (.5) (.3) ROE 자본증가 ( 감소 ) (.1).1 (.) ROA 기타재무활동 (13.6) (.9) (1.7).4 (6.2) ROIC 배당지급 (1.3) (2.) (3.) (3.1) (3.2) 부채비율 현금의증감 (7.) (12.4) 1.6 순부채비율 (96.) (99.3) (76.1) (69.9) (75.3) Unlevered CFO 이자보상배율 ( 배 ) 2,891.2 N/A N/A 6, ,751.3 Free Cash Flow

71 216 년 11 월 2 일케이씨텍 (2946) 가능성은열려있다 회사개요케이씨텍은반도체와디스플레이의 CMP( 연마 ) 및세정공정에사용되는장비를공급하는업체이다. 주요제품은반도체웨이퍼 CMP장비와소재인세리아슬러리로 215년매출비중 33.6% 를차지했다. 삼성전자로 CMP장비를납품중이며, 슬러리는삼성전자, SK하이닉스, 동부하이텍으로공급하고있다. 연결대상회사인 KPC는반도체공정용 Gas Scrubber 사업을영위중이며, 반도체소재업체인 TCK, 가스펌프업체인 KKTech 를지분법수익으로인식한다. 주요관전포인트 1) NAND용 CMP장비공급여부 : 217년국내반도체업체들의 3D NAND 투자에따라증착장비업체들의수혜가예상된다. 케이씨텍은 DRAM용 CMP장비가주력제품이기때문에상대적으로소외를받고있는것으로분석된다. 16 년하반기 SK하이닉스향공급을통해매출처다변화에성공했다. 현재 NAND향공급을위해품질인증이진행중인것으로파악된다. 국내에서유일의 CMP장비업체이기때문에고객사의국산화트렌드에따라케이씨텍에게기회가제공될것으로기대된다. NAND용진출여부가중요한변곡점이될것으로판단된다. 2) 슬러리의영역확대여부 : 케이씨텍은현재세리아슬러리가주력제품이다. 제품다변화를위해텅스텐슬러리에대한고객사품질인증이진행중인것으로파악된다. 텅스텐, 구리등의메탈계열슬러리는 DRAM보다 NAND에서의사용량이많다. 따라서텅스텐슬러리공급이이루어진다면, 3D NAND의투자수혜를입을것으로기대된다. 관심종목 Not Rated CP(11 월 1 일 ): 14,3 원 Key Data Consensus Data KOSPI 지수 (pt) 2, 주최고 / 최저 ( 원 ) 18,15/8,89 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).5 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 33,393.4 EPS( 원 ) 1,64 1,913 6일평균거래량 ( 천주 ) BPS( 원 ) 1,229 11,82 6일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 15 Stock Price 16년배당수익률 ( 예상,%).88 ( 천원 ) 케이씨텍 ( 좌 ) 외국인지분율 (%) 상대지수 ( 우 ) 18 주요주주지분율 (%) 고석태외 3 인 KB자산운용 주가상승률 1M 6M 12M 1 8 절대 상대 Financial Data 투자지표 단위 매출액 십억원 영업이익 십억원 세전이익 십억원 순이익 십억원 EPS 원 ,284 증감률 % (39.) (26.4) 13.2 (.9) 66.5 PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 5,73 6,24 6,777 7,486 8,675 DPS 원 투자판단 케이씨텍은 DRAM용 CMP장비가주력제품이기때문에 217 년 ~218년국내고객사의 3D NAND 투자에서소외중이다. 다만, 컨센서스기준 PER이 16년 9.3배, 17년 8.4배로낮아밸류에이션부담은없다는판단이다. NAND 투자의수혜가가능한 CAM장비와텅스텐슬러리의품질인증이이루어진다면저평가국면에서벗어날것으로기대된다. Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 71

72 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 반도체장비 디스플레이장비 소재 기타 / 관계사 영업이익 QoQ -64.1% 8.4% 4.2% 166.% -44.9% 25.9% 3.2% 56.8% -44.% 1.4% YoY 143.7% -1.6% -39.2% 7.8% 65.5% 92.3% 14.2% 41.6% 43.9% 26.2% 1% 72% 영업이익률 6.4% 7.2% 6.2% 13.4% 8.8% 1.7% 11.5% 17.% 13.3% 14.1% 8.7% 12.3% 자료 : 케이씨텍, 하나금융투자 그림 1. 매출비중 그림 2. 주요제품 CMP 장비 기타 / 관계사 29% 반도체장비 33% 소재 13% 디스플레이장비 25% 자료 : 케이씨텍, 하나금융투자 자료 : 케이씨텍 그림 3. 경쟁사현황 CMP 장비 그림 4. Valuation ( 백만달러 ) 1, Applied Materials Ebara KC Tech Tokyo Seimitsu ( 원 ) 수정주가 1.6x 1.3x 2, 1.x.7x.5x 18, 16, 14, 12, 1, 8, 6, 4, 2, 자료 : Gartner, 하나금융투자 자료 : Quantiwize, 하나금융투자 72

73 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 기타유동자산 종속 / 관계기업손익 비유동자산 기타영업외손익.2 (.4) (.6) (.9).4 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익 (1.5) (.).2 (.1) 2.8 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 (15.7) (34.3) 기타비유동부채 NOPAT증가율 (55.1) (25.8) (2.9) 71.4 부채총계 EBITDA 증가율 (41.2) (16.5) 지배주주지분 영업이익증가율 (52.2) (31.5) 자본금 ( 지배주주 ) 순익증가율 (38.8) (26.1) 13.1 (.8) 66.3 자본잉여금 EPS증가율 (39.) (26.4) 13.2 (.9) 66.5 자본조정 (.1) (2.2) (5.) (6.1) (6.3) 수익성 (%) 기타포괄이익누계액 (.1) 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분 영업이익률 자본총계 계속사업이익률 순금융부채 (45.5) (56.3) (8.6) (99.8) (122.4) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS ,284 당기순이익 BPS 5,73 6,24 6,777 7,486 8,675 조정 CFPS ,37 1,321 2,142 감가상각비 EBITDAPS ,152 1,181 1,874 외환거래손익 SPS 7,821 5,139 8,58 1,69 13,41 지분법손익 (4.4) (2.3) (2.1) (2.) (4.4) DPS 기타 (2.7) 주가지표 ( 배 ) 영업활동자산부채변동 21.5 (2.7) (17.7) 1.7 (13.2) PER 투자활동현금흐름 (28.8) (2.7) 21.9 (5.4) (5.6) PBR 투자자산감소 ( 증가 ) (9.9) (2.) (.1) PCFR 유형자산감소 ( 증가 ) (5.8) (5.1) (4.) (8.1) (21.2) EV/EBITDA 기타 (13.1) (17.4) 17.4 (4.3) (29.3) PSR 재무활동현금흐름 1.2 (8.2) (3.2) (1.2) (3.2) 재무비율 (%) 금융부채증가 ( 감소 ) 4.9 (5.). 3.6 (.8) ROE 자본증가 ( 감소 ) (.3).... ROA 기타재무활동 (1.5) (2.2) (2.5) (2.4).8 ROIC 배당지급 (1.9) (1.) (.7) (2.4) (3.2) 부채비율 현금의증감 9.1 (1.1) 45.2 (15.5) (4.4) 순부채비율 (22.7) (27.1) (33.5) (38.) (4.4) Unlevered CFO 이자보상배율 ( 배 ) , , Free Cash Flow

74 216 년 11 월 2 일피에스케이 (3198) 국내유일의박리공정업체 회사개요피에스케이는반도체공정에식각이나이온주입등에의해굳어진감광액 (Photo Resist) 의건식제거 (Dry Strip) 장비를공급하는업체이다. 또한후공정에사용되는감광액제거장비인 Bump Descum도공급중이다. 215년기준건식제거장비의매출비중은 67% 를기록했다. 건식제거장비로국한하면글로벌시장점유율 25% 로 1위이다. 글로벌순위에서알수있듯이삼성전자, SK하이닉스뿐만아니라미국, 중국, 대만고객사를확보하고있어고객사다변화를이룬업체이다. 주요관전포인트 1) 64단 3D NAND 진행시에수혜기대 : 피에스케이의박리장비는고객사의 3D NAND향으로공급되고있지만, 수혜를받고있다고말하기는어렵다. 2D대비오히려필요한장비대수가적기때문이다. 다만, 64단의경우에는 2D보다필요한장비대수가많아져수혜가가능할것으로전망된다. 2) 신규고객사확보가능성 : 글로벌경쟁업체가재무상황이악화되면서주요사업영역중에비중이적고수익성이낮은박리장비에대한투자와서비스가약화중인것으로파악된다. 그에따라서고객사들이기피하고있어피에스케이에게는기회가될것으로판단된다. 투자판단피에스케이의 216년컨센서스기준 PER 11.8배는박리장비안에서글로벌 1위를차지하고있는동사의입지를감안하면저평가받고있다는판단이다. 지금까지는 3D NAND에의한수혜에서상대적으로소외당했지만, 64단 3D NAND가진행될예정이기때문에주목할필요가있다는판단이다. 또한 DRAM 의 1x나노공정전환진행시에도수혜가가능할것으로기대되어긍정적인투자접근을권고한다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 13,7 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 15,2/7,79 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).14 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 2,331.6 EPS( 원 ) 1,173 1,35 6 일평균거래량 ( 천주 ) BPS( 원 ) 9,92 11,116 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 2 Stock Price 16 년배당수익률 ( 예상,%) 1.44 외국인지분율 (%) 8.8 주요주주지분율 (%) 금영 KB 자산운용 주가상승률 1M 6M 12M 절대 (5.5) 상대 Financial Data ( 천원 ) 피에스케이 ( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 증감률 % N/A (37.3) 26.2 PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 6,365 6,854 7,626 8,358 8,992 DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 74

75 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 Dry Strip Dry Cleaning 기타 영업이익 QoQV -47.1% -72.7% 116.3% 1.7% -21.5% -87.4% 266.7% -7.1% -75.7% 145.7% YoY 49.1% 흑전 -2.1% -65.3% -48.6% -76.3% 137.2% -35.8% -8.1% % -17% -5% 영업이익률 17.5% 5.5% 14.% 1.1% 11.7% 1.5% 2.2% 9.7% 3.% 21.4% 11.8% 12.1% 그림 1. 매출비중 그림 2. 주요제품 Dry Strip 기타 32% Dry Cleaning 2% Dry Strip 66% 그림 3. 경쟁사현황 Dry Strip 그림 4. Valuation ( 백만달러 ) Lam Research Hitachi High-Technologies Ulvac Others PSK Mattson Technology Axcelis Technologies ( 원 ) 수정주가 1.7x 1.3x 2, 1.x.7x.4x 18, 16, 14, 12, 1, 8, 6, 4, 2,

76 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 (1.7) 기타유동자산 종속 / 관계기업손익 (.9) (1.4) (.5) (.6) (.5) 비유동자산 기타영업외손익 (4.6) (.2) 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익..... 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 N/A (7.4) (6.9) 기타비유동부채 NOPAT증가율 N/A (16.9) (3.8) 부채총계 EBITDA 증가율 N/A (14.4).5 지배주주지분 영업이익증가율 N/A (16.7) (4.6) 자본금 ( 지배주주 ) 순익증가율 N/A (36.1) 25.9 자본잉여금 EPS증가율 N/A (37.3) 26.2 자본조정 (.3) (.5) (1.6).3. 수익성 (%) 기타포괄이익누계액 (.4) (2.5) (3.5) 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분..... 영업이익률 자본총계 계속사업이익률 순금융부채 (64.3) (52.8) (72.2) (76.) (85.) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS 당기순이익 BPS 6,365 6,854 7,626 8,358 8,992 조정 CFPS ,568 1,548 1,285 감가상각비 EBITDAPS , 외환거래손익 SPS 4,591 4,249 6,483 7,319 6,86 지분법손익 DPS 기타 6. (1.2) 주가지표 ( 배 ) 영업활동자산부채변동 (2.2).9 (12.) (11.5) (5.2) PER 투자활동현금흐름 (2.3) (4.5) 3.6 (33.3) (8.4) PBR 투자자산감소 ( 증가 ) (8.3) (8.9) 5.8 PCFR 유형자산감소 ( 증가 ) (.7) (1.) (1.7) (4.4) (7.) EV/EBITDA 기타 (11.3) (7.8) 4. (2.) (7.2) PSR 재무활동현금흐름 (1.7) (4.1) 재무비율 (%) 금융부채증가 ( 감소 ).7 (.) (.7).. ROE 자본증가 ( 감소 ) ROA 기타재무활동 (35.1) (.5) (.6) 2.5. ROIC 배당지급 (2.).. (2.) (4.1) 부채비율 현금의증감 (13.9) (14.7) 5.2 순부채비율 (51.6) (39.4) (47.4) (44.7) (46.5) Unlevered CFO 이자보상배율 ( 배 ) 1,68.5 3, , ,879.7 Free Cash Flow

77 216 년 11 월 2 일유니테스트 (8639) 글로벌고객사확보한테스트장비업체 회사개요유니테스트는반도체후공정에사용되는메모리컴포넌트 / 모듈테스트장비를공급하는업체이다. 컴포넌트용고속번인 ( 가열 ) 테스트장비가주요제품으로, 215년기준컴포넌트테스트장비의매출비중은 89% 에달한다. 고객사별매출은 SK하이닉스향비중이가장크며, 최근중국고객사향수주확보를통해고객사다변화가진행중인것으로파악된다. 주요관전포인트 1) 고속번인장비수주지속 : 216년 SK하이닉스향고속번인장비를순조롭게공급한것으로파악된다. 217년에도올해이상의수주가기대되고있는데, 이에따라실적방향성이정해질것으로판단된다. 신규고객사확보에대한기대보다는현재고객사향으로올해보다높은매출액을기대할수있다. 2) NAND 테스트장비로영역확대 : 217년에도 DRAM보다성장률이양호할것으로기대되는 NAND 업황에동승할수있을지여부가중요하다. 16년 4분기 NAND 테스트장비에대한고객사승인작업이진행중인것으로파악된다. NAND 테스트장비공급이가능하다면, 실적뿐만아니라밸류에이션측면에서기여도가높을것으로판단된다. 3) 비메모리테스트장비 : 현재북미와중국고객사향으로비메모리테스트장비도준비중이다. 비메모리테스트장비는영역의확대와해외고객사확보등앞서언급한 NAND 테스트장비와더불어밸류에이션상향이가능한이벤트라고생각된다. 투자판단 216년실적기준으로 PER 15.6배로글로벌업체인 Advantest, Teradyne 등에비교하면낮은밸류에이션이다. 메모리, 그것도 DRAM에만국한된사업포트폴리오에의한할인요소가있기때문이다. 그렇기때문에향후 NAND 및비메모리테스트장비의공급가능여부가동사의실적및주가의방향성을결정하는주요포인트라는판단이다. 다만, 현재장비만으로도 217년매출액 1,3억달성가능성이높으며, PER도 9.1배에불과해저평가된상태라고판단한다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 12,8 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 13,5/6,1 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).15 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 21,134.1 EPS( 원 ) 867 1,479 6 일평균거래량 ( 천주 ) BPS( 원 ) 4,59 5,297 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 2 Stock Price 16 년배당수익률 ( 예상,%) 1.48 외국인지분율 (%) 4.97 주요주주지분율 (%) 김종현외 1 인 신한비엔피파리바자산운용 5.9 주가상승률 1M 6M 12M 절대 상대 Financial Data ( 천원 ) 유니테스트 ( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 (7.7) 세전이익십억원 (8.1) 순이익십억원 (8.4) EPS 원 (526) 376 1,394 증감률 % N/A (71.5) 적전흑전 27.7 PER 배 N/A PBR 배 EV/EBITDA 배 N/A ROE % (26.43) BPS 원 2,325 2,411 1,883 2,24 3,496 DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 77

78 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 컴포넌트테스터 모듈테스터 기타 영업이익 QoQ 적지 흑전 -16.7% -76.3% % 1.8% -89.4% 적전 적지 흑전 YoY 적지 % 흑전 흑전 흑전 256.7% -54.5% 적전 적전 -97.% 흑전 265% 영업이익률 -12.2% 22.3% 21.7% 4.1% 31.% 32.1% 9.4% -5.3% -23.4% 3.4% 13.2% 22.5% 그림 1. 매출비중 그림 2. 주요제품 고속번인장비 모듈테스터 2% 기타 9% 컴포넌트테스터 89% 그림 3. 경쟁사현황 그림 4. Valuation 25, ( 원 ) 수정주가 4.4x 3.4x 2.5x 1.5x.6x Tester Advantest Teradyne 유니테스트테크윙 2, 15, 1, 5,

79 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 (7.7) 재고자산 금융손익 (2.4) (1.9) (1.) (1.) (.6) 기타유동자산 종속 / 관계기업손익..... 비유동자산 기타영업외손익 1.1 (.6).5 (.3) (.1) 투자자산 세전이익 (8.1) 금융자산 법인세 (.6) (.3) 유형자산 계속사업이익 (8.4) 무형자산 중단사업이익 (.1).... 기타비유동자산 당기순이익 (8.4) 자산총계 비지배주주지분순이익.. (.) (.).1 유동부채 지배주주순이익 (8.4) 금융부채 지배주주지분포괄이익 (8.4) 매입채무등 NOPAT (7.9) 기타유동부채 EBITDA (5.7) 비유동부채 성장성 (%) 금융부채 매출액증가율 N/A (15.) (62.5) 기타비유동부채 NOPAT증가율 N/A (45.9) 적전 흑전 241. 부채총계 EBITDA 증가율 N/A (38.7) 적전 흑전 지배주주지분 영업이익증가율 N/A (49.5) 적전 흑전 자본금 ( 지배주주 ) 순익증가율 N/A (7.7) 적전 흑전 자본잉여금 EPS증가율 N/A (71.5) 적전 흑전 27.7 자본조정 (1.7) (2.1) (2.9) (2.9) (3.1) 수익성 (%) 기타포괄이익누계액.1 (.) (.2) (.2) (.2) 매출총이익률 이익잉여금 EBITDA 이익률 (35.) 비지배주주지분.1.1 (.1) (.1) (.) 영업이익률 (47.2) 자본총계 계속사업이익률 (51.5) 순금융부채 (8.9) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 (.5) EPS (526) 376 1,394 당기순이익..... BPS 2,325 2,411 1,883 2,24 3,496 조정 (4.9) CFPS (285) 82 2,48 감가상각비 EBITDAPS (362) 78 1,924 외환거래손익 SPS 3,223 2,737 1,29 3,625 7,192 지분법손익..... DPS 기타 (7.1) 주가지표 ( 배 ) 영업활동자산부채변동 (8.8) (9.7) (14.3) PER N/A 투자활동현금흐름 2.6 (1.) (2.2) (4.) (7.5) PBR 투자자산감소 ( 증가 ) (1.3) (.2) (.1) (.3) (1.9) PCFR N/A 유형자산감소 ( 증가 ) (.9) (.3) (.8) EV/EBITDA N/A 기타 (.1) (2.5) (1.2) (3.4) (4.8) PSR 재무활동현금흐름 (.7) (7.9) (1.1) (.8) (.8) 재무비율 (%) 금융부채증가 ( 감소 ) 29.6 (6.).7 (3.8) (3.2) ROE (26.4) 자본증가 ( 감소 ) ROA (13.5) 기타재무활동 (58.) (1.1) (1.8) (2.5) 2.1 ROIC (18.5) 배당지급. (.9).. (1.4) 부채비율 현금의증감 (3.9) (.5) 18. 순부채비율 (13.7) Unlevered CFO (4.5) 이자보상배율 ( 배 ) (7.) Free Cash Flow (1.5)

80 216 년 11 월 2 일테크윙 (893) 영역을넓히다 회사개요테크윙은반도체테스트공정에필요한테스트핸들러를생산하는업체이다. 또한테스트핸들러에소모품으로사용되는 C.O.K( 교체키트 ) 와인터페이스보드도함께공급중이다. 215년매출액기준핸들러비중은 64%, C.O.K는 23% 를기록했다. 삼성전자를제외한글로벌탑티어메모리업체들을고객으로확보하고있다. 자회사로디스플레이열처리및테스트장비를공급하는이엔씨테크놀로지가있다. 주요관전포인트 1) NAND 투자수혜 : SK하이닉스와 Micron, Toshiba 등은 217년부터 NAND 투자가본격화될것으로전망된다. 후발업체들의 3D NAND 투자활성화에따른후공정테스트장비발주가예상되어동사의수혜가예상된다. 2) 비메모리비중확대 : 다수의미국비메모리업체향으로장비공급이증가될전망이다. 비메모리가차지하는비중은 216년 7% 미만에불과했는데, 217년에는 15% 내외로확대될것으로기대된다. 이는고객사와어플리케이션다변화측면에서긍정적이다. 3) 자회사턴어라운드 : 자회사인디스플레이장비업체인이엔씨테크는중국업체향매출비중이높은편인데, 217년중국의투자에따른매출확대가가능할것으로추정된다. 215년 26억적자, 216년손익분기점도달했으며, 217 년에는실적상향가능할것으로판단된다. 투자판단 217년 NAND 투자와비메모리고객사향매출비중확대를통해안정적인실적시현이가능할전망이다. 216년기준 PER 8배로글로벌업체인 Advantest, Teradyne 등에비교하면매출규모및제품포트폴리오가제한적이기때문에할인요소가있다는판단이다. 다만, 216년부터 NAND 및비메모리장비공급이시작되었고, 217년매출에기여할것으로전망되어중장기적으로긍정적인시각을유지한다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 12,55 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 15,25/8,15 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).12 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 18,51.7 EPS( 원 ) 1,585 1,491 6 일평균거래량 ( 천주 ) BPS( 원 ) 6,632 7,92 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 22 Stock Price 16 년배당수익률 ( 예상,%) 1.75 외국인지분율 (%) 6.25 주요주주지분율 (%) 나윤성외 1 인 미래에셋자산운용 1.4 주가상승률 1M 6M 12M 절대 (2.7) (1.9) 27. 상대 Financial Data ( 천원 ) 테크윙 ( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 증감률 % N/A N/A N/A (11.6) 12.7 PER 배 N/A N/A PBR 배 N/A N/A EV/EBITDA 배 N/A N/A ROE % N/A N/A BPS 원 4,281 4,672 5,594 DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 8

81 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 메모리테스트핸들러 COK 테스트인터페이스보드 디스플레이평가장비 영업이익 QoQ 38.3% 68.2% -1.2% -64.7% 16.2% 375.8% 24.3% -58.9% 67.6% 89.6% YoY 22.8% 33.9% 46.7% -18.9% -31.8% 92.9% 142.8% 182.4% 37.3% 62.3% 26% 95% 영업이익률 11.2% 12.4% 12.2% 5.6% 7.8% 18.1% 22.5% 14.3% 2.9% 25.4% 1.6% 17.1% 그림 1. 매출비중 그림 2. 주요제품 테스트핸들러 테스트인터페이스보드 11% 디스플레이평가장비 1% COK 24% 메모리테스트핸들러 64% 그림 3. 경쟁사현황 그림 4. Valuation Tester Advantest Teradyne 유니테스트테크윙고영 ( 원 ) 수정주가 2.2x 2.x 2, 18, 1.8x 1.5x 1.3x 16, 14, 12, 1, 8, 6, 4, 2,

82 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익.. (.7) (2.8) (1.2) 기타유동자산 종속 / 관계기업손익..... 비유동자산 기타영업외손익...7 (.8) (.6) 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익... (.5) (.) 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT N/A N/A 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 N/A N/A N/A 기타비유동부채 NOPAT증가율 N/A N/A N/A 부채총계 EBITDA 증가율 N/A N/A N/A 지배주주지분 영업이익증가율 N/A N/A N/A 자본금 ( 지배주주 ) 순익증가율 N/A N/A N/A (11.2) 자본잉여금 EPS증가율 N/A N/A N/A (11.6) 12.7 자본조정.. (5.9) (5.9) (.) 수익성 (%) 기타포괄이익누계액..... 매출총이익률 N/A N/A 이익잉여금 EBITDA 이익률 N/A N/A 비지배주주지분...2 (.). 영업이익률 N/A N/A 자본총계 계속사업이익률 N/A N/A 순금융부채 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS 당기순이익 BPS 4,281 4,672 5,594 조정 CFPS 923 1,169 1,88 감가상각비 EBITDAPS ,614 외환거래손익 SPS 5,573 6,818 7,872 지분법손익..... DPS 기타 주가지표 ( 배 ) 영업활동자산부채변동.. (.3) (7.1) (22.8) PER N/A N/A 투자활동현금흐름.. (34.2) (15.6) (23.9) PBR N/A N/A 투자자산감소 ( 증가 ).. (2.8) (.3) (.9) PCFR N/A N/A 유형자산감소 ( 증가 ).. (25.6) (11.4) (19.4) EV/EBITDA N/A N/A 기타.. (5.8) (3.9) (3.6) PSR N/A N/A 재무활동현금흐름 재무비율 (%) 금융부채증가 ( 감소 ) ROE N/A N/A 자본증가 ( 감소 ) ROA N/A N/A 기타재무활동.. (75.9) (.3) 6.3 ROIC N/A N/A 배당지급.. (1.7) (1.9) (3.3) 부채비율 N/A N/A 현금의증감.. (5.7). 1.1 순부채비율 N/A N/A Unlevered CFO 이자보상배율 ( 배 ) N/A N/A Free Cash Flow.. (12.6) (4.2) (16.3) 82

83 216 년 11 월 2 일한솔케미칼 (1468) 과산화수소공급부족 회사개요한솔케미칼은과산화수소 (H2O2) 와전구체 (Precursor), 퀀텀닷 (QD) 소재등정밀화학기반의전재재료사업을영위하는업체이다. 가장큰비중을차지하는과산화수소는 3D NAND 및 OLED 패널공정용으로삼성전자, SK하이닉스에공급하고있다. 또한비카드뮴퀀텀닷재료는삼성전자 SUHD TV에독점으로공급하고있으며, 전구체는 TSMC 와삼성전자내점유율이확대되고있는것으로추정된다. 자회사인한솔씨앤피는스마트폰코팅재료를생산하고있다. 주요관전포인트 1) 과산화수소공급부족 : 한솔케미칼은주력제품인과산화수소는반도체및디스플레이세정용으로사용되고있다. 현재동사는해당시장에서과점적인지위를확보중에있다. 3D NAND와 OLED 증설에의해수요는더욱증가할것으로전망되어생산능력확대를고민해야할시기라고판단한다. 2) 고객사의퀀텀닷 TV가예상보다양호 : 삼성전자의퀀텀닷 TV의예상판매대수가 216년연초에 35만대에서 5만대로증가할것으로전망된다. 현재퀀텀닷재료는한솔케미칼이독점적으로공급중인것으로파악되어수요증가분그대로수혜가예상된다. 투자판단한솔케미칼의 216년기준 PER은 14,4배로동종업체보다소폭낮은수준으로판단된다. 한솔케미칼의주력제품인과산화수소에서의입지와현재수요가증가중인퀀텀닷 TV의독점공급을감안하면, 저평가영역이라고도생각된다. 217년및 218년에도 3D NAND 증설진행과퀀텀닷 TV의판매수량증가를감안하면밸류에이션부담은더욱낮아질것이기때문에긍정적인접근을권고한다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 83,3 원 Consensus Data KOSPI 지수 (pt) 2, 주최고 / 최저 ( 원 ) 92,4/52,2 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).8 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 11,295.2 EPS( 원 ) 5,761 7,74 6 일평균거래량 ( 천주 ) 6.5 BPS( 원 ) 27,261 32,8 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 8 Stock Price 16 년배당수익률 ( 예상,%) 1.3 외국인지분율 (%) 11.6 주요주주지분율 (%) KB 자산운용 15.5 조동혁외 4 인 15.2 주가상승률 1M 6M 12M 절대 (5.2) 상대 (3.5) Financial Data ( 천원 ) 한솔케미칼 ( 좌 ) 97 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 1,652 3,195 1,797 1,897 3,23 증감률 % (43.8) PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 14,534 16,231 17,725 19,33 21,68 DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 83

84 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 QoQ 1.9% 2.3% 3.4% 8.6% -3.3% 5.2% 1.1% -1.1% 7.6% 7.7% YoY 6.3% 1.1%.3% 16.9% 11.% 14.2% 11.8% 1.8% 13.3% 16.% 6.% 9.5% 영업이익 QoQ -1.4% 17.2% -.2% 5.1% 22.2% 15.9% 7.2% -41.6% 13.3% 7.1% YoY -22.3% -9.9% -17.3% 73.1% 114.6% 112.3% 128.% -11.2% 67.2% 54.5% 2% 74% 영업이익률 7.% 8.% 7.7% 1.6% 13.4% 14.8% 15.7% 9.3% 19.8% 19.7% 8.4% 13.3% 그림 1. 한솔케미칼매출액및영업이익률추이 그림 2. 주요제품 과산화수소 (H2O2) ( 백만달러 ) 12 매출액 영업이익률 25% % 15% 1% 5% 과산화수소 hydrogen peroxide 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 % 그림 3. 경쟁사현황 Etching gas 한솔케미칼 (81*) Airproduct SK머트리얼즈 (235) Showa Denko(172**) 원익머트리얼즈 (124*) Kanto Denka Linde 세정재료한솔케미칼 (81*) SK 머트리얼즈 Mitsubishi Gas Chem 그림 4. Valuation ( 원 ) 14, 12, 1, 8, 6, 4, 2, 수정주가 4.x 3.1x 2.2x 1.2x.3x 주 : 괄호안매출규모단위는백만달러, 215 년기준주 1: (*) 품목별매출액 Data 아님, 사업부 ( 반도체향 ) 매출액주 2: (**) 품목별매출액 Data 아님, 사업부 (IT 향 or 산업용 ) 매출액 84

85 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 (3.3) (4.7) (5.9) (7.) (1.2) 기타유동자산 종속 / 관계기업손익 비유동자산 기타영업외손익 (5.6) 11.8 (1.8) (.7) (.8) 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 (.6) 기타비유동부채 NOPAT증가율 (3.5) 부채총계 EBITDA 증가율 지배주주지분 영업이익증가율 자본금 ( 지배주주 ) 순익증가율 (43.8) 자본잉여금 EPS증가율 (43.8) 자본조정 (12.) (2.) (1.8) (1.9) (1.9) 수익성 (%) 기타포괄이익누계액 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분 영업이익률 자본총계 계속사업이익률 순금융부채 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS 1,652 3,195 1,797 1,897 3,23 당기순이익 BPS 14,534 16,231 17,725 19,33 21,68 조정 5.5 (1.5) CFPS 2,735 3,31 3,784 4,383 6,581 감가상각비 EBITDAPS 2,87 3,291 3,634 4,214 6,317 외환거래손익 SPS 26,297 28,229 28,69 29,757 32,583 지분법손익 (7.5) (8.2) (5.3) (4.5) (4.7) DPS 기타 4.7 (3.8) 주가지표 ( 배 ) 영업활동자산부채변동 (12.8) (4.4) 16.1 (9.) (16.7) PER 투자활동현금흐름 (42.7) (33.9) (87.7) (45.7) (61.6) PBR 투자자산감소 ( 증가 ) (4.1) 5.5 (2.7) PCFR 유형자산감소 ( 증가 ) (39.9) (52.6) (84.4) (43.4) (58.5) EV/EBITDA 기타 (15.3)..8 (7.8) (.4) PSR 재무활동현금흐름 재무비율 (%) 금융부채증가 ( 감소 ) ROE 자본증가 ( 감소 ) ROA 기타재무활동 (1.5) (4.5).3 (2.) (1.7) ROIC 배당지급 (4.) (5.) (5.5) (5.5) (6.7) 부채비율 현금의증감 (4.5) 2.8 (4.4) 순부채비율 Unlevered CFO 이자보상배율 ( 배 ) Free Cash Flow (28.7) (22.6) (37.3) (11.5) (11.3) 85

86 216 년 11 월 2 일원익머트리얼즈 (1483) 성장잠재력풍부한특수가스업체 회사개요원익머트리얼즈는반도체및디스플레이공정에사용되는특수가스를공급하는업체이다. 주요제품은 NH3( 암모니아 ), GeH4( 저메인 ), Si2H6( 디실란 ) 등으로, 증착, 확산, 열처리등의대부분공정에이용된다. 214년 HCDS( 전구체, Precusor) 시장에신규로진출하며사업영역을확대하였다. 삼성전자, 삼성디스플레이향매출비중이높으며, 주요자회사인노바켐이 HCDS 등의전구체를생산하고있다. 주요관전포인트 1) 3D NAND에의한증착용가스수혜 : 원인머트리얼즈는반도체제조공정안에서중요도가높은증착및식각에가스를공급중이다. 3D NAND에의해공정횟수가증가하는공정이기때문에수혜가많을것으로기대된다. DRAM의투자감소와 2D NAND 공백기로인해다소실적이주춤했지만, 3D NAND 가동이본격화되는 16년 4분기부터 217년연간으로양호한실적을달성할가능성이높다. 2) 플렉서블 OLED 증설도수혜 : 삼성디스플레이의플렉서블 OLED 생산능력이증가하고있다. 원익머트리얼즈의 N2O( 아산화질소 ) 는 OLED 증착공정에필요한가스로이외에도다수의라인업을확보하고있다. 증설된 OLED 라인의가동이개시되는시점부터본격적인매출이발생할것으로기대된다. 투자판단원익머트리얼즈의 PER은 216년기준 2.3배, 217년기준 15.2배로낮은수준이라고말하기는어렵다. 다만, 3D NAND 와 OLED 증설에의해현재추정치보다실적이상향될수있는가능성이높은업체라고판단된다. 반도체및디스플레이향으로다수의특수가스라인업을확보하고있어실적상향여력은충분하다. 주가는예상실적을상회할때상승폭이높게나타나기마련이다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 69,3 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 75,/51,9 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).21 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 6,245.8 EPS( 원 ) 3,956 5,221 6 일평균거래량 ( 천주 ) 36.9 BPS( 원 ) 35,173 39,982 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) Stock Price 16 년배당수익률 ( 예상,%). 외국인지분율 (%) 6.56 주요주주지분율 (%) 원익홀딩스 국민연금 주가상승률 1M 6M 12M 절대 상대 Financial Data ( 천원 ) 원익머트리얼즈 ( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 3,149 3,365 3,522 증감률 % N/A N/A N/A PER 배 N/A N/A PBR 배 N/A N/A EV/EBITDA 배 N/A N/A ROE % N/A N/A BPS 원 24,754 27,914 31,689 DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 86

87 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 NH3, C3H6NO GeH4,Si2H 기타 영업이익 QoQ -29.7% 58.7% -17.7% -7.% -9.4% 52.1% 3.7% -1.1% -31.2% 6.2% YoY 13.3% 51.9% -9.8% -14.6% 1.1% 5.5% 32.9% 41.4% 7.3% -25.1% 6% 22% 영업이익률 16.9% 22.9% 19.3% 16.1% 15.2% 2.8% 18.4% 15.3% 13.7% 15.6% 18.8% 17.3% 그림 1. 매출비중 그림 2. 원익머트리얼즈매출액및영업이익률추이 NH3, C3H6NO 19% ( 십억원 ) 7 매출액영업이익률 ( 우 ) 25% 기타 32% 6 5 2% 4 15% 3 1% 2 1 5% GeH4,Si2H6 49% 1Q14 3Q14 1Q15 3Q15 1Q16 % 그림 3. 경쟁사현황 Etching gas 한솔케미칼 (81*) Airproduct SK머트리얼즈 (235) Showa Denko(172**) 원익머트리얼즈 (124*) Kanto Denka Linde 증착용 gas 원익머트리얼즈 (124*) SK 머트리얼즈 (56) 후성 (117*) Air product Kanto Denka 전구체 (Precursor) 원익머트리얼즈 (124*) 디엔에프 (63) 한솔케미칼 (26) Air product 주 : 괄호안매출규모단위는백만달러, 215 년기준주 1: (*) 품목별매출액 Data 아님, 사업부 ( 반도체향 ) 매출액주 2: (**) 품목별매출액 Data 아님, 사업부 (IT 향 or 산업용 ) 매출액 그림 4. Valuation ( 원 ) 수정주가 2.9x 2.5x 1, 2.1x 1.6x 1.2x 9, 8, 7, 6, 5, 4, 3, 2, 1,

88 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 기타유동자산 종속 / 관계기업손익.. (.5) (2.4) (7.) 비유동자산 기타영업외손익.. (1.9) 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익.. (.2) (.7) (.5) 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT N/A N/A 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 N/A N/A N/A 기타비유동부채 NOPAT증가율 N/A N/A N/A 부채총계 EBITDA 증가율 N/A N/A N/A 지배주주지분 영업이익증가율 N/A N/A N/A 자본금 ( 지배주주 ) 순익증가율 N/A N/A N/A 자본잉여금 EPS증가율 N/A N/A N/A 자본조정 수익성 (%) 기타포괄이익누계액...6 (.2) 1.2 매출총이익률 N/A N/A 이익잉여금 EBITDA 이익률 N/A N/A 비지배주주지분 영업이익률 N/A N/A 자본총계 계속사업이익률 N/A N/A 순금융부채.. (33.7) (32.5) (2.6) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS 3,149 3,365 3,522 당기순이익 BPS 24,754 27,914 31,689 조정 CFPS 5,633 6,25 7,557 감가상각비 EBITDAPS 5,32 5,918 7,154 외환거래손익 SPS 21,252 23,595 31,156 지분법손익 (.2) DPS 기타 주가지표 ( 배 ) 영업활동자산부채변동.. (3.6) (3.9) (13.5) PER N/A N/A 투자활동현금흐름.. (27.2) (22.5) (33.2) PBR N/A N/A 투자자산감소 ( 증가 ).. (28.5) (.9) (2.7) PCFR N/A N/A 유형자산감소 ( 증가 ).. (27.5) (13.2) (34.6) EV/EBITDA N/A N/A 기타 (8.4) 4.1 PSR N/A N/A 재무활동현금흐름.. 1. (1.) (3.5) 재무비율 (%) 금융부채증가 ( 감소 ) (3.4) ROE N/A N/A 자본증가 ( 감소 ) ROA N/A N/A 기타재무활동.. (58.) (4.2) (2.1) ROIC N/A N/A 배당지급..... 부채비율 N/A N/A 현금의증감 (1.2) 순부채비율 N/A N/A (21.8) (18.2) (1.2) Unlevered CFO 이자보상배율 ( 배 ) N/A N/A Free Cash Flow.. (3.8) 14.6 (9.1) 88

89 216 년 11 월 2 일후성 (9337) 3D NAND 와 2 차전지증설수혜 회사개요후성은불소를기반으로하는불소화합물을주력으로공급하는업체이다. 2차전지에사용되는전해질을생산하고있다. 또한, 반도체미세공정및 3D NAND 공정시식각에사용되는 C4F6( 육불화부타디엔 ) 와증착에사용되는 WF6( 육불화텅스텐 ) 도생산하여삼성전자, SK하이닉스에공급중이다. 이외에도자동차용매트를생산하고있으며, 215년기준매출비중은불소화합물이 75%, 자동차용매트가 25% 를차지했다. 주요관전포인트 1) 3D NAND 증설효과 : 후성이생산중인반도체소재는불소관련가스이기때문에취급이까다로우며환경문제로증설이쉽지않다. 3D NAND의식각에필요한 C4F6는동사와 SK머티리얼즈, 증착에필요한 WF6는동사만양산중이다. 따라서 3D NAND의증설에의한수혜가가능할것으로기대되어중장기성장성에대한가시성이높다는판단이다. 2) 2차전지증설수혜도기대 : 중국의전기차판매량은매년증가중이지만, 국내 2차전지업체들의중국업체향배터리공급에대한수혜는미미하다. 다만, 국내업체들도중국외의고객사확보하고있어자동차용 2차전지증설은진행중이며, 향후에도증설가능성은있다. 동사의 LiPF6는 2 차전지의전해액에사용되는첨가제로최근고객사향공급이확정되며가동률이상승될것으로기대된다. 투자판단후성은반도체와 2차전지업체들의증설에따른수혜가가능한업체이다. 특히 3D NAND에사용되는증착, 식각용가스는수익성이높고진입장벽이높아안정적으로성장할수있을것으로판단된다. 216년컨센서스기준 PER 2.1배로다소높은수준이다. 다만, 3D NAND향소재의성장성과양호한수익성으로 217년 PER은 13.8배로낮아져긍정적인투자관점을제시한다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 8,24 원 Consensus Data KOSPI 지수 (pt) 2, 주최고 / 최저 ( 원 ) 7,9/3,925 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).6 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 92,399.8 EPS( 원 ) 일평균거래량 ( 천주 ) 1,154.9 BPS( 원 ) 1,258 1,732 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) Stock Price 16 년배당수익률 ( 예상,%). 외국인지분율 (%) 4.78 주요주주지분율 (%) 김용민외 8 인 주가상승률 1M 6M 12M 절대 상대 Financial Data ( 천원 ) 후성 ( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 24.8 (5.9) (15.9) (9.2) 15.6 세전이익십억원 (4.1) (58.7) 13.3 순이익십억원 (29.7) (68.2) 13.9 EPS 원 (342) (784) 157 증감률 % 적전적지흑전 PER 배 N/A N/A 34.6 PBR 배 EV/EBITDA 배 ROE % (22.2) (8.57) 2.56 BPS 원 1,484 1,717 1, DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 89

90 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 불소화합물 자동차매트 영업이익 QoQ 적지 적지 적지 적지 흑전 39.4% 34.5% -26.8% 123.4% 11.2% YoY 적지 적지 적지 적지 흑전 흑전 흑전 흑전 26.5% 144.6% 적지 흑전 영업이익률 -2.9% -8.9% -.8% -6.8% 5.7% 7.2% 9.1% 6.7% 14.1% 15.9% -4.9% 7.3% 그림 1. 매출비중 그림 2. 주요제품 특수가스 자동차매트 25% 불소화합물 75% 그림 3. 경쟁사현황 그림 4. Valuation 공정용 gas SK머트리얼즈 (235) Smitomo Seika chem 원익머트리얼즈 (124*) Kanto Denka 후성 (117*) Taiyo Nippon Sanso Airproduct Showa Denko(172**) 주 : 괄호안매출규모단위는백만달러, 215 년기준주 1: (*) 품목별매출액 Data 아님, 사업부 ( 반도체향 ) 매출액주 2: (**) 품목별매출액 Data 아님, 사업부 (IT 향 or 산업용 ) 매출액 ( 원 ) 수정주가 5.2x 4.3x 1, 9, 3.5x 2.6x 1.7x 8, 7, 6, 5, 4, 3, 2, 1,

91 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 24.8 (5.9) (15.9) (9.2) 15.6 재고자산 금융손익 (3.) (3.8) (4.3) (4.7) (4.) 기타유동자산 종속 / 관계기업손익 (.8) (.3) (.7) 비유동자산 기타영업외손익.8 (.6) (19.1) (44.4) 2.4 투자자산 세전이익 (4.1) (58.7) 13.3 금융자산 법인세 (9.8) 1. (.4) 유형자산 계속사업이익 (3.3) (68.7) 13.7 무형자산 중단사업이익..... 기타비유동자산 당기순이익 (3.3) (68.7) 13.7 자산총계 비지배주주지분순이익. (.3) (.6) (.5) (.2) 유동부채 지배주주순이익 (29.7) (68.2) 13.9 금융부채 지배주주지분포괄이익 (28.5) (7.3) 15. 매입채무등 NOPAT 15.9 (4.8) (12.) (1.8) 16.1 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 32. (8.1) (8.7) (8.1) 15.1 기타비유동부채 NOPAT증가율 98.8 적전 적지 적지 흑전 부채총계 EBITDA 증가율 64.4 (59.1) (46.5) 지배주주지분 영업이익증가율 적전 적지 적지 흑전 자본금 ( 지배주주 ) 순익증가율 적전 적지 흑전 자본잉여금 EPS증가율 적전 적지 흑전 자본조정 (1.1) (.6) (.1) (.) (.) 수익성 (%) 기타포괄이익누계액 매출총이익률 이익잉여금 (3.6) (17.7) EBITDA 이익률 비지배주주지분 영업이익률 1.2 (2.6) (7.8) (4.9) 7.2 자본총계 계속사업이익률 (14.9) (36.7) 6.4 순금융부채 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS (342) (784) 157 당기순이익 (3.3) (68.7) 13.7 BPS 1,484 1,717 1, 조정 CFPS 감가상각비 EBITDAPS 외환거래손익 SPS 2,789 2,562 2,34 2,152 2,43 지분법손익 (.5) (3.9).8 (.2).7 DPS 기타 (1.5) 주가지표 ( 배 ) 영업활동자산부채변동 (16.3) (5.9) 7. PER N/A N/A 34.1 투자활동현금흐름 (68.1) (41.1) (23.6) (13.7) (4.9) PBR 투자자산감소 ( 증가 ) (25.2) (5.9) PCFR 유형자산감소 ( 증가 ) (51.8) (44.) (24.8) (18.8) (35.8) EV/EBITDA 기타 8.9 (11.7) (2.6) PSR 재무활동현금흐름 45.6 (16.7) 재무비율 (%) 금융부채증가 ( 감소 ) 44.6 (17.3) (9.5) ROE (22.2) (8.6) 2.6 자본증가 ( 감소 ) ROA (9.7) (26.1) 5.7 기타재무활동.7.6 (.1) (.4) (.4) ROIC 7.3 (1.9) (5.) (5.3) 8.6 배당지급 (.).. (.). 부채비율 현금의증감 (.3) 7.4 (6.) 순부채비율 Unlevered CFO 이자보상배율 ( 배 ) 4.7 (1.) (3.3) (1.9) 3.8 Free Cash Flow (29.6) 19.5 (11.8) (12.) (1.6) 91

92 216 년 11 월 2 일이엔에프테크놀로지 (1271) 반도체영역확대중 회사개요이엔에프테크놀로지는반도체와디스플레이제조공정에필요한전자재료전문업체이다. 디스플레이식각액이전체매출에서 2% 중후반을차지해가장높다. 반도체, 디스플레이용신너와반도체식각액이각각 1% 초반의비중을보이고있다. 신너는주요고객사내에서대부분 1차공급업체인것으로파악된다. 자회사에바텍은 2차전지양극활물질의원재료업체로실적기여도는미미하다. 주요관전포인트 1) 디스플레이업체증설 : 국내주요거래선인 LG디스플레이, 삼성디스플레이의생산능력확대로인해디스플레이용식각액및신너매출증대가예상된다. 디스플레이용식각액및신너는고객사내에서의입지가확고해안정적인성장이가능할전망이다. 2) 반도체용식각액이실적변수 : 217년에는삼성전자와 SK하이닉스의 3D NAND 투자가기대된다. 주요고객사인 SK하이닉스의 3D NAND투자에따라반도체식각액공급이가능할것으로전망된다. 삼성전자의 3D NAND 투자에의한공급여부로 217년실적상향이가능할것으로예상된다. 투자판단이엔에프테크놀로지의 216년컨센서스기준 PER은 9.9배로솔브레인과함께가격적인매력이돋보이는업체라고판단된다. 최근에반도체용매출비중이확대중에있고, 217년에도추가적인상승여력이높아포트폴리오다변화가이루어지고있다. 실적의양과질, 밸류이에션측면에서긍정적인접근이가능한업체라는판단이다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 23,2 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 24,6/14,1 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).17 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 14,2. EPS( 원 ) 2,372 2,859 6 일평균거래량 ( 천주 ) BPS( 원 ) 12,87 14,764 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 5 Stock Price 16 년배당수익률 ( 예상,%).21 외국인지분율 (%) 주요주주지분율 (%) 한국알콜산업외 6 인 Korean Chemicals Investment Limited(KCI) 외 2 인 9.52 주가상승률 1M 6M 12M 절대 상대 Financial Data ( 천원 ) 이엔에프테크놀로지 ( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 884 1, ,121 증감률 % N/A 14.9 (39.) PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 5,587 6,536 7,191 7,838 9,885 DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 92

93 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 프로세스케미칼 화인케미칼 컬러페이스트 이차전지전구체 기타 영업이익 QoQ 529.4% 57.% 5.1% 36.3% 44.9% 38.5% 1.1%.1% -6.8% 6.8% YoY 91.1% -38.6% -3.4% % 225.7% 187.2% 2.8% 121.% 42.2% 9.6% 15.3% 173.6% 영업이익률 4.% 5.6% 5.6% 6.7% 9.7% 12.5% 13.1% 13.% 12.4% 13.2% 5.6% 12.1% 그림 1. 매출비중 화인케미칼 6% 컬러페이스트 8% 이차전지전구체 1% 프로세스케미칼 84% 기타 1% 그림 2. 이엔에프테크실적추이 ( 십억원 ) 매출액영업이익률 ( 우 ) 1Q14 3Q14 1Q15 3Q15 1Q16 14% 12% 1% 8% 6% 4% 2% % 표 1. 경쟁사현황 그림 3. Valuation Etchant( 습식식각 ) 솔브레인 (215) 이엔에프테크 (23*) Mitsubishi chem Sumitomo chem(341**) Hitachi chem(237**) ( 원 ) 35, 3, 25, 2, 15, 1, 5, 수정주가 2.x 1.7x 1.3x 1.x.7x 주 : 괄호안매출규모단위는백만달러, 215 년기준주 1: (*) 품목별매출액 Data 아님, 사업부 ( 반도체향 ) 매출액주 2: (**) 품목별매출액 Data 아님, 사업부 (IT 향 or 산업용 ) 매출액ㅁ 93

94 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 (.5) (.2) (1.3) (1.1) (.8) 기타유동자산 종속 / 관계기업손익 (.7) (.5).2 (.3).2 비유동자산 기타영업외손익 (1.6) (1.1) (.7) (1.4) 1. 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익 (.) (1.2) (2.1) (2.4) (1.1) 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 N/A 41.4 (5.3) 기타비유동부채 NOPAT증가율 N/A (2.) (45.9) 부채총계 EBITDA 증가율 N/A 16.6 (18.2) 지배주주지분 영업이익증가율 N/A 8.9 (38.8) 자본금 ( 지배주주 ) 순익증가율 N/A 14.3 (38.9) 자본잉여금 EPS증가율 N/A 14.9 (39.) 자본조정 (2.4) (1.9) (1.5) (1.1) (.5) 수익성 (%) 기타포괄이익누계액.1 (.) (.1)..2 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분 영업이익률 자본총계 계속사업이익률 순금융부채 (2.7) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS 884 1, ,121 당기순이익 BPS 5,587 6,536 7,191 7,838 9,885 조정 CFPS 1,567 1,899 1,642 2,39 3,934 감가상각비 EBITDAPS 1,654 1,928 1,579 1,918 3,672 외환거래손익 SPS 11,573 16,367 15,53 17,56 21,961 지분법손익.7.5 (.2).3 (.2) DPS 기타 (.5) 주가지표 ( 배 ) 영업활동자산부채변동 (4.2) (11.) (1.3) (14.) (11.8) PER 투자활동현금흐름 (9.9) (37.5) (28.7) (14.3) (32.1) PBR 투자자산감소 ( 증가 ) (4.) (.6).6.2 (.7) PCFR 유형자산감소 ( 증가 ) (26.2) (49.2) (27.7) (14.) (26.4) EV/EBITDA 기타 (1.6) (.5) (5.) PSR 재무활동현금흐름 (5.7) 재무비율 (%) 금융부채증가 ( 감소 ) (4.) ROE 자본증가 ( 감소 ) ROA 기타재무활동 (36.5) (.2) (1.5) ROIC 배당지급. (.7) (.7) (.7) (.7) 부채비율 현금의증감 7. (3.9) (5.1).4.9 순부채비율 (3.5) Unlevered CFO 이자보상배율 ( 배 ) Free Cash Flow (12.1) (36.5) (11.4) (2.4)

95 216 년 11 월 2 일리노공업 (5847) 글로벌 Top Class 회사개요리노공업은반도체나인쇄회로기판의전기적불량여부를체크하는 probe pin(leeno Pin) 과메모리 / 비메모리반도체의이상유무를진단하는검사장비부품인 IC 테스트소켓을제조하는업체이다. 215년기준매출비중은 Leeno Pin이 48%, IC 테스트소켓이 47% 를기록했다. 삼성전자를필두로 Apple, Qualcomm 등국내및글로벌비메모리반도체업체를중심으로매출이발생하고있으며해외매출비중이 68% 에달한다. 주요관전포인트 1) 디자인및개발테스트에특화 : 리노공업은고객사가 R&D 단에서진행하는디자인과개발테스트에사용하는테스트소켓을공급한다. 다품종소량생산으로대응하고있어가격이상대적으로고가이기때문에 35% 내외의높은영업이익률을시현중이다. IT 기기와반도체의기술진화로작은사이즈안에다수의핀을배치해야하는데, 이에따라가격과물량이동반상승한다. 소켓에필요한핀도내재화하고있어원가경쟁력을확보했다는판단이다. 향후에도해당영역안에서반도체수요에동승할수있을것으로전망한다. 2) IT기기및반도체수요에동승 : 리노공업의고객사는국내 1,294개, 해외 15개사로글로벌반도체의업황과궤를같이한다. 특정고객사에의존하지않아실적변동성이적고, 개발단에특화되어있어상대적으로불황에도실적방어가가능하다는판단이다. 투자판단현재 PER은 16.9배로동종업체와유사한수준의밸류에이션에해당하지만, 글로벌경쟁업체인일본업체보다양호한영업이익률을시현하고있어프리미엄도가능하다고판단한다. 21년부터꾸준하게 3% 이상의배당성향을유지하고있고, 16년이익성장에따른주당배당금상향가능성높아배당수익률 2% 내외를기대할수있을것으로추정된다. 회사의경쟁력과성장성모두양호하다고판단되어긍정적투자판단을권고한다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 42,65 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 46,9/36,45 매출액 ( 십억원 ) N/A N/A 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) N/A N/A 시가총액비중 (%).32 순이익 ( 십억원 ) N/A N/A 발행주식수 ( 천주 ) 15,242.4 EPS( 원 ) N/A N/A 6 일평균거래량 ( 천주 ) 38.5 BPS( 원 ) N/A N/A 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 9 Stock Price 16 년배당수익률 ( 예상,%) 2.2 외국인지분율 (%) 주요주주지분율 (%) 이채윤 알리안츠글로벌인베스터스자산운용 14.4 주가상승률 1M 6M 12M 절대 상대 Financial Data ( 천원 ) 리노공업 ( 좌 ) 49 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 1,264 1,631 1,718 2,25 2,141 증감률 % PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 6,85 7,978 9,148 1,568 12,16 DPS 원 9 1, Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 95

96 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 IC Test Socket N/A Leeno Pin N/A 기타 N/A 영업이익 QoQ 25.9% 43.8% -24.3% -34.5% 56.5% 14.5% 7.8% -35.% 43.8% 21.% YoY 15.4% 43.4% 1.6% -1.3% 11.5% -11.2% 26.5% 25.6% 15.4% 22.% 13% 1% 영업이익률 37.3% 39.1% 35.8% 26.4% 37.7% 37.6% 37.2% 31.7% 37.4% 37.9% 35.% 36.2% 주 : 4Q14 공시시매출유형및품목에따른매출액 ( 비율 ) 의구분재정립, 이전보고서의관련자료 ( 매출에관한사항 ) 와결합불가능 그림 1. 매출비중 그림 2. 주요제품 테스트소켓 기타 5% Leeno Pin 48% IC Test Socket 47% 그림 3. 경쟁사현황 그림 4. Valuation 테스트서비스 / 테스트소켓 Amkor SPIL 리노공업 ISC 마이크로컨텍솔 ( 원 ) 7, 6, 5, 4, 3, 2, 1, 수정주가 4.1x 3.2x 2.3x 1.4x.5x

97 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 기타유동자산 종속 / 관계기업손익 (2.2).... 비유동자산 기타영업외손익 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익..... 유동부채 지배주주순이익 금융부채..... 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채..... 매출액증가율 기타비유동부채 NOPAT증가율 부채총계 EBITDA 증가율 지배주주지분 영업이익증가율 자본금 ( 지배주주 ) 순익증가율 자본잉여금 EPS증가율 자본조정... (4.8) (5.) 수익성 (%) 기타포괄이익누계액 (.) (.) (.2) (.5). 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분..... 영업이익률 자본총계 계속사업이익률 순금융부채 (61.4) (62.5) (71.8) (78.9) (85.7) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS 1,264 1,631 1,718 2,25 2,141 당기순이익 BPS 6,85 7,978 9,148 1,568 12,16 조정 CFPS 1,873 2,21 2,344 2,77 3,56 감가상각비 EBITDAPS 1,77 2,59 2,146 2,478 2,737 외환거래손익 SPS 4,333 4,935 5,29 6,129 6,526 지분법손익 DPS 9 1, 기타 (1.5) 주가지표 ( 배 ) 영업활동자산부채변동 (4.3) (3.5) (5.5).8 (1.7) PER 투자활동현금흐름 (1.9) (19.) (18.5) (25.) (19.1) PBR 투자자산감소 ( 증가 ) 8.9 (5.4) (8.7) PCFR 유형자산감소 ( 증가 ) (8.5) (12.6) (15.4) (15.4) (13.3) EV/EBITDA 기타 (2.3) (1.) (8.5) (11.1) 2.9 PSR 재무활동현금흐름 (6.4) (7.2) (8.) (13.2) (1.8) 재무비율 (%) 금융부채증가 ( 감소 )..... ROE 자본증가 ( 감소 ).. (.).. ROA 기타재무활동... (4.8) (.2) ROIC 배당지급 (6.4) (7.2) (8.) (8.4) (1.6) 부채비율 현금의증감 (1.3) 순부채비율 (58.8) (51.4) (51.5) (5.5) (48.1) Unlevered CFO 이자보상배율 ( 배 ) N/A N/A N/A N/A N/A Free Cash Flow

98 216 년 11 월 2 일 ISC (9534) 메모리반도체테스트에강점보유 회사개요 ISC는반도체후공정테스트에필요한 Silicone Rubber Socket 을공급하는업체이다. 메모리및모바일 IC 테스트소켓이주력제품이며, 215년매출비중이 96% 에달한다. 메모리반도체향비중이 5% 이상인것으로파악된다. 삼성전자, SK하이닉스외해외고객사까지고객사다각화를진행중이다. 214년일본테스트소쳇업체인 JMT를인수하였다. 주요관전포인트 1) 국내메모리업체향공급여부 : ISC는기존테스트용소켓업체들과는달리실리콘러버를이용한소켓을제공중에있다. 대부분의고객사들은기존의금속핀소켓을사용중인것으로파악되는데, 향후차별화된제품을통해얼마만큼대체될수있을지가중요하다. 현재는미국의메모리반도체업체향으로제품을공급중에있으며, 국내업체향으로도샘플테스트가긍적적으로진행된다면, 외형성장의기틀을마련할것으로기대된다. 2) 자회사노이즈제거 : 215년말자회사 GEMES가보유했던장비를연구소및학교에기증하며감가상각이종료되었다. 또한 16년 1분기에는자회사 BH Food도연결대상에서제외되었다. 향후실적부터는회사의본업과무관한부분에서의영향이배제될것이기때문에회사의본가치에집중할수있을것으로전망된다. 투자판단테스트소켓은소모품으로서반도체시장의성장에동승할수있는부품이다. ISC도테스크소켓에서차별화된제품경쟁력을보유하고있기때문에업황의흐름과궤를함께할가능성이높다. 다만, 여러가지자회사이슈가붉어졌었고, 그에따른실적에대한신뢰도가상대적으로높지않은것으로파악된다. 216년을기점으로자회사이슈가정리될것으로예상되며, 향후고객사확대를통한실적상향이이루어진다면, 저점매수의기회가올것으로판단된다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 17,25 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 29,497/16,8 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).11 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 12,957.1 EPS( 원 ) 1,254 1,682 6 일평균거래량 ( 천주 ) 59.5 BPS( 원 ) 11,869 13,388 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) 25 Stock Price 16 년배당수익률 ( 예상,%) 1.45 외국인지분율 (%) 주요주주지분율 (%) 정영배외 6 인 미래에셋자산운용외 2 인 8.4 주가상승률 1M 6M 12M 절대 (2.1) (31.1) (32.4) 상대 (13.1) (23.1) (26.2) Financial Data ( 천원 ) ISC( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 1, 증감률 % N/A (16.9) 1.2 (.8) (1.2) PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 6,627 7,325 8,32 9,328 11,97 DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 98

99 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 Test Socket Pusher 기타 영업이익 QoQ 6.2% 58.4% -52.1% 적전흑전 95.7% 19.4% -88.% 484.8% -58.% YoY 24.2% 4.8% -17.8% 적전 -47.2% -34.8% 62.7% 흑전 63.8% -64.9% -15% -9% 영업이익률 35.5% 38.3% 21.8% -3.4% 14.2% 22.7% 23.1% 3.% 19.1% 8.5% 23.3% 15.9% 주 : 발표회계기준의변경 ( 개별 ) 으로과거실적상이할수있음 그림 1. 매출비중 그림 2. 주요제품 Test Socket Pusher 기타 1% 3% Test Socket 96% 그림 3. 경쟁사현황 그림 4. Valuation 테스트서비스 / 테스트소켓 Amkor SPIL 리노공업 ISC 마이크로컨텍솔 ( 원 ) 6, 5, 4, 3, 2, 1, 수정주가 3.8x 2.9x 2.x 1.1x.3x

100 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 (.7) (2.2) 기타유동자산 종속 / 관계기업손익 4.1 (4.) (5.) (2.4) (.) 비유동자산 기타영업외손익 (1.8) (.7) (.6) (.2) (2.9) 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익...1 (2.4) (6.1) 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 N/A 기타비유동부채 NOPAT증가율 N/A (3.6) (33.) 부채총계 EBITDA 증가율 N/A 지배주주지분 영업이익증가율 N/A (15.3) (8.7) 자본금 ( 지배주주 ) 순익증가율 N/A (16.9) 자본잉여금 EPS증가율 N/A (16.9) 1.2 (.8) (1.2) 자본조정 (4.9) (4.9) (4.9) (.) (.) 수익성 (%) 기타포괄이익누계액.. (.) (.1).2 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분 영업이익률 자본총계 계속사업이익률 순금융부채 (13.3) (16.3) (18.6) (3.5) (24.) 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS 1, 당기순이익 BPS 6,627 7,325 8,32 9,328 11,97 조정 CFPS 1,659 1,958 2,164 2,459 2,233 감가상각비 EBITDAPS 1,555 1,931 2,77 2,199 2,254 외환거래손익 SPS 4,24 4,87 5,216 6,694 7,952 지분법손익 (4.1) DPS 기타 4.4 (.2) 주가지표 ( 배 ) 영업활동자산부채변동.5 (1.7) (2.4) (9.4) (2.5) PER 투자활동현금흐름 (13.7) (8.4) (13.1) (29.) (12.7) PBR 투자자산감소 ( 증가 ) (22.2) (1.7) PCFR 유형자산감소 ( 증가 ) (4.) (4.7) (6.7) (12.) (11.1) EV/EBITDA 기타 12.5 (4.6) (8.8) (41.7).1 PSR 재무활동현금흐름 4.6 (4.9) 재무비율 (%) 금융부채증가 ( 감소 ) 7. (1.8) (11.2) ROE 자본증가 ( 감소 ) ROA 기타재무활동 (35.8) (.2) (.3) (12.1) (4.6) ROIC 배당지급 (2.2) (2.9) (2.9) (2.2) (5.6) 부채비율 현금의증감 순부채비율 (19.7) (21.8) (21.8) (2.7) (15.3) Unlevered CFO 이자보상배율 ( 배 ) Free Cash Flow

101 216 년 11 월 2 일원익 QnC (746) 성장의발판을마련하다 회사개요원익QnC는쿼츠 (SiO2: 석영 ), 세라믹 ( 알루미나등 ) 소재기반의반도체웨이퍼및디스플레이기판공정용소모품부품생산과세정사업을영위하는업체이다. 215년매출액기준으로쿼츠제품의비중이 83% 를기록했다. 쿼츠는석영유리로반도체제조중에산화, 식각, 화학증착공정에서웨이퍼를불순물로부터보호하는용기로사용된다. 주요고객사인삼성전자, SK하이닉스와 LAM, Tokyo Electron 등글로벌반도체기업에도제품을공급하고있다. 주요관전포인트 1) 주력제품쿼츠는고객사투자증가로성장가능 : 삼성전자의 3D NAND 투자확대와해외고객사향매출증대로인해향후성장성이양호할것으로판단된다. 삼성전자의평택공장증설과대만및북미지역주요고객사향으로수요가증가할것으로전망된다. 2) 신규매출가능성에주목 : 세라믹제품은 OLED 증설에따른중장기성장가기성이높아졌다. 차세대성장동력으로기대되고있는것은실리콘카바이드이다. 이는반도체의식각공정에사용되는소모성제품이다. 기존제품보다경도가높아내구성이양호해고객사입장에서원가절감이가능하다. 최근에수요가증가중인것으로파악되며원익 QnC도이를위해고객사승인을진행중이다. 연말내로승인이완료되면 217년부터새로운성장동력이될것으로기대된다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 15,9 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 19,55/13,5 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).11 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 13,144. EPS( 원 ) 1,283 1,736 6 일평균거래량 ( 천주 ) 56.9 BPS( 원 ) 9,224 1,993 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) Stock Price 16 년배당수익률 ( 예상,%). 외국인지분율 (%) 4.39 주요주주지분율 (%) 이용한 4.35 주가상승률 1M 6M 12M 절대 상대 Financial Data ( 천원 ) 원익 QnC( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 1, ,169 증감률 % (1.5) (66.8) PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 5,483 5,791 6,271 6,779 7,89 DPS 원 투자판단 216 년컨센서스기준 PER 11.8 배로반도체용소재, 재료업 체보다저평가되어있어가격적인부담은없은것으로보여진 Analyst 김록호 roko.kim@hanafn.com 다. 반도체와디스플레이증설에의한실적증가가시성은높 은것으로판단된다. 신규제품의공급으로주가상승이가능 할것으로기대된다. RA 한찬희 chhanhn@hanafn.com 11

102 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 쿼츠웨어생산 반도체용세라믹 석영세라믹 기타 영업이익 QoQ 95.5% -15.7% -22.9% -3.8% 89.7% 9.2% 5.1% -74.5% 199.4% -1.6% YoY 13.8% 35.7% -28.3% 22.3% 18.6% 53.8% 19.6% -44.4% -12.2% -2.9% 23% 34% 영업이익률 14.9% 14.% 1.9% 9.9% 16.6% 18.3% 17.5% 5.% 14.7% 14.3% 12.5% 14.4% 그림 1. 매출비중 그림 2. 원익 QnC 실적추이 반도체용세라믹물질 13% 석영세라믹 4% 쿼츠웨어생산 83% ( 십억원 ) 매출액영업이익률 ( 우 ) 2% 18% 16% 14% 12% 1% 8% 6% 4% 2% 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 % 그림 3. 주요제품 쿼츠웨어 그림 4. Valuation ( 원 ) 3, 25, 수정주가 2.4x 1.9x 1.4x.9x.4x 2, 15, 1, Process tube Outer/Inner tube , 12

103 추정재무제표 손익계산서 ( 단위 : 십억원 ) 대차대조표 ( 단위 : 십억원 ) 매출액 유동자산 매출원가 금융자산 매출총이익 현금성자산 판관비 매출채권등 영업이익 재고자산 금융손익 (2.2) (2.2) (2.1) (1.8) (1.3) 기타유동자산 종속 / 관계기업손익 (.4) (2.6). (2.6) 1.4 비유동자산 기타영업외손익.1 (.4) (.4).9.3 투자자산 세전이익 금융자산 법인세 유형자산 계속사업이익 무형자산 중단사업이익..... 기타비유동자산 당기순이익 자산총계 비지배주주지분순이익 유동부채 지배주주순이익 금융부채 지배주주지분포괄이익 매입채무등 NOPAT 기타유동부채 EBITDA 비유동부채 성장성 (%) 금융부채 매출액증가율 34.2 (2.) 2.2 (1.5) 16.1 기타비유동부채 NOPAT증가율 18.6 (54.6) 부채총계 EBITDA 증가율 (34.7) (1.7) 지배주주지분 영업이익증가율 (44.8) (8.3) 자본금 ( 지배주주 ) 순익증가율 (1.5) (66.7) 자본잉여금 EPS증가율 (1.5) (66.8) 자본조정 수익성 (%) 기타포괄이익누계액 (1.7) (.8) 매출총이익률 이익잉여금 EBITDA 이익률 비지배주주지분 영업이익률 자본총계 계속사업이익률 순금융부채 투자지표 현금흐름표 ( 단위 : 십억원 ) 주당지표 ( 원 ) 영업활동현금흐름 EPS 1, ,169 당기순이익..... BPS 5,483 5,791 6,271 6,779 7,89 조정 CFPS 1,82 1,335 1,38 1,498 1,77 감가상각비 EBITDAPS 2,16 1,41 1,385 1,6 2,11 외환거래손익 SPS 11,853 9,478 9,632 9,31 1,696 지분법손익 (.) 2.6 (1.4) DPS 기타 주가지표 ( 배 ) 영업활동자산부채변동 (3.5) (3.8) PER 투자활동현금흐름 (24.6) (14.5) (15.5) (6.) (1.6) PBR 투자자산감소 ( 증가 ) (1.7) 2.8 (8.8) PCFR 유형자산감소 ( 증가 ) (22.6) (14.1) (7.5) (6.1) (3.2) EV/EBITDA 기타 (16.1) (2.8) 2.7 (2.7) 1.4 PSR 재무활동현금흐름 6.9 (3.8) 1.9 (13.5) (9.3) 재무비율 (%) 금융부채증가 ( 감소 ) 5.9 (3.1) 1.4 (1.1) (9.4) ROE 자본증가 ( 감소 ) (.3) ROA 기타재무활동 1.3 (.7) (1.6) (2.6) (.6) ROIC 배당지급... (1.6). 부채비율 현금의증감 1.3 (1.1) 순부채비율 Unlevered CFO 이자보상배율 ( 배 ) Free Cash Flow (3.5)

104 216 년 11 월 2 일엘오티베큠 (8331) 디스플레이진출로경쟁력입증 회사개요엘오티베큠은진공펌프제조업체로, 반도체, 디스플레이등의공정에서생기는부산물을제거해주는장비를공급하고있다. 반도체장비가주력으로, 삼성전자, SK하이닉스에공급하고있으며디스플레이공정향장비도진출하여국내디스플레이업체로납품하고있다. 자회사로는가공부품을제조하는지엠티와진공펌프용플라즈마시스템사업을영위하는클린팩터스가있다. 주요관전포인트 1) 반도체투자에따른수혜 : 삼성전자의평택라인투자로인해서 16년하반기및 217년양호한실적을달성할것으로전망된다. 뿐만아니라 SK하이닉스의 3D NAND 투자도진행될가능성이높기때문에전년대비양호한실적달성할것으로예상된다. 2) 디스플레이로포트폴리오다변화 : 16년하반기부터디스플레이용공급이이루어진것으로파악된다. 디스플레이장비는반도보다규모가큰장비가필요해상대적으로고가의장비이다. 금번공급건으로제품포트폴리오및전방산업의다변화가가능하기때문에실적상향여력을확보했다는측면에서긍정적이다. 3) 친환경이슈있는산업이중장기먹거리 : 반도체, 디스플레이, 태양광등의산업에서진공환경제공및부산물제거에필요한펌프를공급중이다. 최근에글로벌친환경이슈가붉어지는만큼철강, 화학등의산업에서도해당장비의필요성이제기될가능성이높다. 투자판단 216년하반기부터 217년혹은 218년까지 NAND 투자가지속될예정이다. 그에따른수혜가기대되는데, 추가적으로디스플레이용장비공급에성공했다. 디스플레이장비의경우에도 217년까지투자가진행될가능성이높고, 그에따라동사에게는기회요인이될개연성이높다. 글로벌상위업체인일본의 Ebara의 PER이 16배이기때문에가격적인부담은없다는판단이다. Key Data 관심종목 Not Rated CP(11 월 1 일 ): 13,55 원 Consensus Data KOSDAQ 지수 (pt) 주최고 / 최저 ( 원 ) 18,35/7,881 매출액 ( 십억원 ) 시가총액 ( 십억원 ) 영업이익 ( 십억원 ) 시가총액비중 (%).8 순이익 ( 십억원 ) 발행주식수 ( 천주 ) 12,11.9 EPS( 원 ) 837 2,14 6 일평균거래량 ( 천주 ) 11.2 BPS( 원 ) 6,968 9,24 6 일평균거래대금 ( 십억원 ) 년배당금 ( 예상, 원 ) Stock Price 16 년배당수익률 ( 예상,%). 외국인지분율 (%) 1.3 주요주주지분율 (%) 오흥식외 3 인 29.8 고려용접봉외 3 인 9.75 주가상승률 1M 6M 12M 절대 (18.5) (2.9) 36.9 상대 (11.1) Financial Data ( 천원 ) 엘오티베큠 ( 좌 ) 상대지수 ( 우 ) 투자지표단위 매출액십억원 영업이익십억원 세전이익십억원 순이익십억원 EPS 원 증감률 % N/A 26.5 (36.1) PER 배 PBR 배 EV/EBITDA 배 ROE % BPS 원 4,317 4,729 5,23 5,433 6,128 DPS 원 Analyst 김록호 roko.kim@hanafn.com RA 한찬희 chhanhn@hanafn.com 14

105 표 1. 실적추이 ( 단위 : 십억원 ) 1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q 매출액 반도체용진공펌프 플라즈마전처리 유지보수 영업이익 QoQ -44.5% -96.9% 적전 흑전 137.7% -74.8% 23.7% 적전 흑전 적전 YoY 393.5% 4.% 적지 -8.6% 291.6% 31.% 흑전 적전 -6.1% 적전 57% 8% 영업이익률 8.5%.5% -2.5% 11.9% 19.% 8.1% 8.3% -22.7% 11.6% -7.6% 6.4% 8.3% 그림 1. 매출비중 그림 2. 주요제품 진공펌프 DD15 Series 플라즈마전처리시스템 7% 유지보수 22% 반도체용진공펌프 71% 그림 3. 경쟁사현황 그림 4. Valuation ( 원 ) 25, 수정주가 2.4x 1.9x 1.4x.9x.4x 2, Gas 배관 / 특수설비 한양이엔지 (48*) 엘오티베큠 (122) 15, 1, 5, 주 : 괄호안매출규모단위는백만달러, 215 년기준주 1: (*) 품목별매출액 Data 아님, 사업부 ( 반도체향 ) 매출액주 2: (**) 품목별매출액 Data 아님, 사업부 (IT 향 or 산업용 ) 매출액 15

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 18,353 12개월 Forward ,345

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 18,353 12개월 Forward ,345 217 년 6 월 2 일 I Equity Research SK 하이닉스 (66) 강한실적과양호한업황 2Q17 Preview: 영업이익 2.94조원으로컨센서스상회 SK하이닉스의 217년 2분기매출액은 6.96조원 (YoY +77%, QoQ +11%), 영업이익은 2.94조원 (YoY +549%, QoQ +19%) 으로컨센서스를상회할것으로전망한다. DRAM은모바일비수기를지나신제품출시등으로인해출하량증가,

More information

2017 년 10 월 27 일 I Equity Research SK 하이닉스 (000660) 실적만으로설명이가능하다 3Q17 Review: 영업이익 3.74조원으로컨센서스부합 SK하이닉스의 17년 3분기매출액은 8.1조원 (YoY +91%, QoQ +21%), 영업이익

2017 년 10 월 27 일 I Equity Research SK 하이닉스 (000660) 실적만으로설명이가능하다 3Q17 Review: 영업이익 3.74조원으로컨센서스부합 SK하이닉스의 17년 3분기매출액은 8.1조원 (YoY +91%, QoQ +21%), 영업이익 217 년 1 월 27 일 I Equity Research 실적만으로설명이가능하다 3Q17 Review: 영업이익 3.74조원으로컨센서스부합 SK하이닉스의 17년 3분기매출액은 8.1조원 (YoY +91%, QoQ +21%), 영업이익은 3.74조원 (YoY +415%, QoQ +23%) 으로컨센서스에부합하는실적을달성했다. DRAM 은서버향제품의수요강세가지속되는가운데모바일향제품의계절적수요증가로인해가격이전분기대비

More information

2013년 0월 0일

2013년 0월 0일 219 년 8 월 7 일 I Equity Research 와이솔 (12299) RF 모듈이발목잡다 2Q19 Preview: 영업이익 89억원으로전망와이솔의 19년 2분기매출액은 1,133 억원 (YoY -2%, QoQ +2%), 영업이익은 9억원 (YoY -37%, QoQ +35%) 으로전망한다. 이는컨센서스매출액 1,132 억원에부합하고, 영업이익 122억원을하회한다.

More information

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 19,19 12개월 Forward EBITDA 3.5 6

SK 하이닉스 (66) Analyst 김록호 표 1. SK 하이닉스 SOTP Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 메모리 19,19 12개월 Forward EBITDA 3.5 6 217 년 7 월 26 일 I Equity Research SK 하이닉스 (66) 컨텐츠가견인하는강한수요 2Q17 Review: 영업이익 3.5조원으로컨센서스에부합 SK하이닉스의 17년 2분기매출액은 6.69조원 (YoY +7%, QoQ +6%), 영업이익은 3.5조원 (YoY +573%, QoQ +24%) 으로컨센서스에부합하는실적을달성했다. 양호한수급밸런스를바탕으로가격의상승이지속되었다.

More information

LG전자 Valuation LG전자에대한목표주가를기존 66,원에서 72,원으로상향한다. HE 사업부와 H&A 사업부의실적을상향조정했기때문이다. 향후에 VC 사업부의사업가치를반영하기시작하면, 추가적인목표주가상향도가능할것으로판단한다. 표 1. LG 전자의 Valuation

LG전자 Valuation LG전자에대한목표주가를기존 66,원에서 72,원으로상향한다. HE 사업부와 H&A 사업부의실적을상향조정했기때문이다. 향후에 VC 사업부의사업가치를반영하기시작하면, 추가적인목표주가상향도가능할것으로판단한다. 표 1. LG 전자의 Valuation 216 년 1 월 27 일 I Equity Research LG 전자 (6657) 가전, TV 수익성확인과전장부품이라는미래 4Q15 Review: 영업이익 3,49억원으로컨센서스상회 LG전자의 15년 4분기매출액은 14조 5,61억원 (YoY -4%, QoQ +4%), 영업이익은 3,49억원 (YoY +29%, QoQ +19%) 으로컨센서스를상회하는호실적을달성했다.

More information

(Microsoft Word - SK hynix_0620 _\274\366\301\244_)

(Microsoft Word - SK hynix_0620 _\274\366\301\244_) 218 년 6 월 21 일 I Equity Research 우려에도호실적지속 2Q18 Preview: 영업이익 5.2조원으로상향 SK하이닉스의 18년 2분기매출액은 1.14 조원 (YoY +52%, QoQ +16%), 영업이익 5.2조원 (YoY +71%, QoQ +19%) 으로전망한다. 하나금융투자는 SK하이닉스의 18년 2분기 DRAM bit 출하가이던스

More information

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx)

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx) 산업분석 반도체/디스플레이 이베스트투자증권 어규진입니다. 작년부터 반도체/디스플레이 업황이 뜨겁습니다. Gate 가 부족하기 때문이죠. 반도체와 디스플레이의 수급이 타이트하다는 의미입니다. 과거 반도체/디스플레이 1 차 업황호조가 공격적인 투자집행에 따른 대규모 라인증설 때문이었다면, 금번 2 차 업황호조는 대규모 투자에 따른 과다경쟁 없이도 공정의 미세화,

More information

2013년 0월 0일

2013년 0월 0일 219 년 1 월 31 일 I Equity Research LG 전자 (6657) 가전수출증대와 TV 회복확인 > 전장적자 3Q19 Review: TV 수익성개선은유의미 LG전자의 19년 3분기세부실적은매출액 15조 7,7 억원 (YoY +2%, QoQ +.5%), 영업이익은 7,814 억원 (YoY +4%, QoQ +2%) 을기록했다. 잠정실적발표당시에하나금융투자가호실적의원인으로추정했던

More information

(Microsoft Word - SK hynix_0425 _\274\366\301\2442_)

(Microsoft Word - SK hynix_0425 _\274\366\301\2442_) 218 년 4 월 25 일 I Equity Research 218 년연간실적상향 1Q18 Review: 영업이익 4.37조원으로컨센서스부합 SK하이닉스의매출액은 8.72조원 (YoY +39%, QoQ -3%), 영업이익은 4.37조원 (YoY +77%, QoQ -2%) 으로컨센서스에부합하는실적을달성했다. DRAM과 NAND 모두모바일비수기영향으로인해 bit

More information

2013년 0월 0일

2013년 0월 0일 219 년 7 월 8 일 I Equity Research LG 전자 (6657) 결자해지 2Q19 잠정실적 Review: HE사업부부진추정 LG전자의 19년 2분기잠정실적은매출액 15조 6,31억원 (YoY +4%, QoQ +4%), 영업이익은 6,522억원 (YoY -15%, QoQ -28%) 으로발표되었다. 이는컨센서스매출액 16조 1,844억원, 영업이익

More information

LG 전자 (6657) Analyst 김록호 표 1. LG 전자의 Valuation 영업가치 사업부 EBITDA Target EV/EBITDA Value 비고1 HE 1, ,124 Peer Group 평균 EV/EBITDA 적용 MC

LG 전자 (6657) Analyst 김록호 표 1. LG 전자의 Valuation 영업가치 사업부 EBITDA Target EV/EBITDA Value 비고1 HE 1, ,124 Peer Group 평균 EV/EBITDA 적용 MC 217 년 4 월 28 일 I Equity Research LG 전자 (6657) 가전과 TV 수익성레벨업 2 년차 1Q17 Review: 모든사업부에서예상보다양호 LG전자의 17년 1분기매출액은 14조 6,572억원 (YoY +1%, QoQ -1%), 영업이익은 9,215억원 (YoY +82%, QoQ 흑자전환 ) 으로양호한실적을달성했다. H&A( 가전및에어컨

More information

2017 년 10 월 26 일 I Equity Research LG 이노텍 (011070) 사상최대실적이목전이다 3Q17 Review: 영업이익 559억원으로컨센서스하회 LG이노텍의 17년 3분기매출액은 1조 7,872억원 (YoY +29%, QoQ +33%) 으로컨센

2017 년 10 월 26 일 I Equity Research LG 이노텍 (011070) 사상최대실적이목전이다 3Q17 Review: 영업이익 559억원으로컨센서스하회 LG이노텍의 17년 3분기매출액은 1조 7,872억원 (YoY +29%, QoQ +33%) 으로컨센 217 년 1 월 26 일 I Equity Research 사상최대실적이목전이다 3Q17 Review: 영업이익 559억원으로컨센서스하회 LG이노텍의 17년 3분기매출액은 1조 7,872억원 (YoY +29%, QoQ +33%) 으로컨센서스에부합, 영업이익은 559 억원 (YoY +172%, QoQ +72%) 으로컨센서스 613억원을하회했다. 매출액은북미고객사향부품공급이시작되며예상치에부합했는데,

More information

2013년 0월 0일

2013년 0월 0일 218 년 1 월 12 일 I Equity Research LG 이노텍 (117) 양호한이익률시현 3Q18 Preview: 영업이익 1,256억원으로컨세서스상회 LG이노텍의 18년 3분기매출액은 2조 4,32 억원 (YoY +35%, QoQ +58%), 영업이익은 1,256 억원 (YoY +125%, QoQ +823%) 으로컨센서스를소폭상회하는실적을기록할것으로전망된다.

More information

Microsoft Word - SEC_0201

Microsoft Word - SEC_0201 218 년 2 월 1 일 I Equity Research 삼성전자 (593) 아쉬운디스플레이, 그래도반도체가있다 4Q17 Review: 반도체사업부견조한이익재확인삼성전자의 17년 4분기매출액은 65.98 조원 (YoY +24%, QoQ +6%), 영업이익은 15.15조원 (YoY +64%, QoQ +4%) 을기록했다. 반도체사업부는특별상여금이반영되었음에도불구하고영업이익이전분기대비

More information

표 1. 삼성전기 Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 ACI Peer Group 평균 EV/EBITDA 적용 LCR ,964 Peer Group 평균 EV/EBI

표 1. 삼성전기 Valuation 영업가치 ( 십억원 ) 사업부 EBITDA Target EV/EBITDA Value 비고 ACI Peer Group 평균 EV/EBITDA 적용 LCR ,964 Peer Group 평균 EV/EBI 216 년 1 월 19 일 I Equity Research 삼성전기 (915) 16 년 1 분기실적반등까지인내필요 4Q15 Preview: 영업이익 366억원으로실적대폭악화삼성전기의 15년 4분기매출액은 1조 4,595억원 (YoY -7%, QoQ -9%), 영업이익 366억원 (YoY -24%, QoQ -64%) 으로최근하향중인컨센서스 591억원과당사추정치를하회할것으로전망한다.

More information

Microsoft Word - LGIT_0123

Microsoft Word - LGIT_0123 218 년 1 월 24 일 I Equity Research LG 이노텍 (117) 18 년하반기실적까지기다림이필요하다 4Q17 Review: 영업이익 1,412억원으로컨센서스하회 LG이노텍의 17년 4분기매출액은 2조 8,698 억원 (YoY +4%, QoQ +61%) 으로컨센서스를상회했고, 영업이익은 1,412억원 (YoY +2%, QoQ +152%) 으로컨센서스를하회했다.

More information

2013년 0월 0일

2013년 0월 0일 218 년 8 월 23 일 I Equity Research 삼성전기 (915) 호황이실적으로반영 3Q18 영업이익 3,13억원으로상향삼성전기의 18년 3분기매출액은 2조 887억원 (YoY +13%, QoQ +15%), 영업이익은 3,13 억원 (YoY +192%, QoQ +46%) 으로전망한다. MLCC 업황호조에의한가격인상효과가예상보다양호한것으로추정되어이익률을상향조정한다.

More information

표 1. LG디스플레이분기별실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F F 2017F 출하면적 (000m2) 9,483 9,959 10,855 10,945 10,139 10,141

표 1. LG디스플레이분기별실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F F 2017F 출하면적 (000m2) 9,483 9,959 10,855 10,945 10,139 10,141 2016 년 12 월 14 일 I Equity Research LG 디스플레이 (034220) LCD 는좋으나, OLED 는글쎄 16 년 4 분기실적은기존하나금융투자추정치상회 16 년 4 분기실적은매출액 7.6 조원 (+12%QoQ), 영업이익 8,284 억원 (+156%QoQ) 으로기존하나금융투자추정치영업이익 6,400 억원을상회할전망이다. 16 년 4 분기진입이후

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

<4D F736F F D20B9DDB5B5C3BC20B0F8C1A420BAAFC8AD D5020B0F8C1A42E646F63>

<4D F736F F D20B9DDB5B5C3BC20B0F8C1A420BAAFC8AD D5020B0F8C1A42E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 06. 11 반도체 Overweight 공정변화 4: CMP(Chemical Mechanical Polishing) Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy,

More information

2013년 0월 0일

2013년 0월 0일 2018 년 3 월 14 일 I Equity Research 삼성 SDI (006400) 폭스바겐의 Road map E 폭스바겐, 2030년까지전기차배터리생산에 68조원투자폭스바겐이지난 17년 9월발표한 Road map E 는 2030년까지 95조원을투자해 300종의전기차모델을생산한다는것이골자다. 특히투자금의 72% 수준인 68조원은전기차배터리생산공장투자에집중될것으로전망된다.

More information

2016 년 2 월 5 일 I Equity Research 한국전력 (015760) 4Q Review 예상치를상회하는실적 목표주가 67,000원, 투자의견매수유지한국전력목표주가 67,000 원, 투자의견매수를유지한다. 2015년 4분기영업이익은전년대비 208% 증가한

2016 년 2 월 5 일 I Equity Research 한국전력 (015760) 4Q Review 예상치를상회하는실적 목표주가 67,000원, 투자의견매수유지한국전력목표주가 67,000 원, 투자의견매수를유지한다. 2015년 4분기영업이익은전년대비 208% 증가한 16 년 2 월 일 I Equity Research Q Review 예상치를상회하는실적 목표주가 67,원, 투자의견매수유지한국전력목표주가 67, 원, 투자의견매수를유지한다. 년 분기영업이익은전년대비 8% 증가한 2조6,788 억원으로당사예상치를상회한분기최대실적을달성했다. 실적정상화로배당주관점에서의접근이유효하며, 16년 1분기배당과전기요금인하폭이결정될경우투자의견과목표주가를변경할예정이다.

More information

Microsoft Word - LGE_0402

Microsoft Word - LGE_0402 218 년 4 월 2 일 I Equity Research LG 전자 (6657) 가전과 TV 튼실하다 1Q18 Preview: 영업이익 8,833억원으로상향 LG전자의 18년 1분기매출액은 15조 6,177 억원 (YoY +7%, QoQ -8%), 영업이익은 8,879억원 (YoY -4%, QoQ +142%) 으로전망한다. 전년동기대비소폭감익인데, 이는 17년

More information

표 1. YG 목표주가 상향 구분 변경 전 변경 후 16년 지배주주 순이익 3.2 31.2 주식 수 16,41,892 16,41,892 EPS 1,842 1,94 Target PER 3. 3. 목표 주가 55, 57, 현재주가 43,7 상승 여력 3.4% 자료: 하나금

표 1. YG 목표주가 상향 구분 변경 전 변경 후 16년 지배주주 순이익 3.2 31.2 주식 수 16,41,892 16,41,892 EPS 1,842 1,94 Target PER 3. 3. 목표 주가 55, 57, 현재주가 43,7 상승 여력 3.4% 자료: 하나금 216년 5월 12일 I Equity Research 와이지엔터테인먼트 (12287) 하반기는 더 좋다 목표주가 상향 YG의 연간 매출액/영업이익 추정치를 각각 8%/5% 상향하 면서 목표주가를 5.7만(+4%)으로 제시한다. 매니지먼트는 3분기 스타디움 콘서트(3회)를 포함한 빅뱅의 엄청난 규모의 해외 투어와 약 11% 상승한 /엔 환율로 콘서트/로열티 매

More information

2013년 0월 0일

2013년 0월 0일 218 년 12 월 18 일 I Equity Research 삼성전기 (915) IT 수요변동성확대 4Q18 Preview: 물량급감으로실적하향불가피삼성전기의 18년 4분기매출액은 2조 547억원 (YoY +2%, QoQ -13%), 영업이익은 3,432 억원 (YoY +222%, QoQ - 15%) 으로전망한다. 컨센서스인 3,748 억원을하회할것으로추정되는데,

More information

2013년 0월 0일

2013년 0월 0일 218 년 7 월 26 일 I Equity Research LG 이노텍 (117) 수확의시기가온다 2Q18 Review: 영업이익 136억원으로흑자유지 LG이노텍의 18년 2분기매출액은 1조 5,178 억원 (YoY +13%, QoQ -12%) 으로컨센서스에부합했고, 영업이익은 136억원 (YoY -58%, QoQ -19%) 으로컨센서스 -36억원을상회했다.

More information

2013년 0월 0일

2013년 0월 0일 219 년 7 월 3 일 I Equity Research 이녹스첨단소재 (27229) 꾸준한성장과낮은가격 2Q19 Review : 전년동기대비대폭실적증가 219년 2분기실적은매출 816억원 (YoY +31%, QoQ - 5%), 영업이익 11억원 (YoY +83%, QoQ -16%) 으로컨센서스를상회했다. 1) FPCB : 2분기삼성전자중저가스마트폰판매호조에따른

More information

2016 년 8 월 10 일 I Equity Research 코오롱글로벌 (003070) 2Q16 Review: 건설부문턴어라운드의위력 2Q16 실적영업이익 140억원, 전년비 86% 증가코오롱글로벌의 2Q16 실적은매출액 7,932억원으로전년비 1.48% 감소했지만,

2016 년 8 월 10 일 I Equity Research 코오롱글로벌 (003070) 2Q16 Review: 건설부문턴어라운드의위력 2Q16 실적영업이익 140억원, 전년비 86% 증가코오롱글로벌의 2Q16 실적은매출액 7,932억원으로전년비 1.48% 감소했지만, 216 년 8 월 1 일 I Equity Research 2Q16 Review: 건설부문턴어라운드의위력 2Q16 실적영업이익 14억원, 전년비 86% 증가코오롱글로벌의 2Q16 실적은매출액 7,932억원으로전년비 1.48% 감소했지만, 영업이익은 14억원으로전년비 86% 증가했다. 기타손익이 -72억원, 금융손익이 -62억원 ( 외환평가손 4억 ) 으로세전이익은

More information

표 1. YG의 목표주가 변경 구분 변경 전 변경 후 16년 지배주주 순이익 32. 26.8 주식 수 16,41,892 16,41,892 EPS 1,952 1,631 Target PER 29. 3. 56,597 48,945 목표 주가 56, 49, 현재주가(2/25일)

표 1. YG의 목표주가 변경 구분 변경 전 변경 후 16년 지배주주 순이익 32. 26.8 주식 수 16,41,892 16,41,892 EPS 1,952 1,631 Target PER 29. 3. 56,597 48,945 목표 주가 56, 49, 현재주가(2/25일) 216년 2월 26일 I Equity Research 와이지엔터테인먼트 (12287) YG의 방향성은 2분기에 결정 된다 목표주가 하향 YG에 대한 목표주가를 49,(-13%)으로 하향한다. YG 엔터의 실적 모멘텀은 빅뱅/아이콘/위너의 매니지먼트 매출 확대로 사상 최대 실적이 예상되지만, 자회사 YG플러스의 예상 영업손실은 63억으로( 16년 YG의 예상

More information

표 1. 목표주가 변경(P/E Valuation) 구분 16년 지배주주순이익 29.7 주식 수 16,59,892 EPS 1,79 Target PER 31 배(( (기존 34배에서 하향) 55,484 목표 주가 56, 현재주가(11/13일) 44,45 상승 여력 26.%

표 1. 목표주가 변경(P/E Valuation) 구분 16년 지배주주순이익 29.7 주식 수 16,59,892 EPS 1,79 Target PER 31 배(( (기존 34배에서 하향) 55,484 목표 주가 56, 현재주가(11/13일) 44,45 상승 여력 26.% 215년 11월 16일 I Equity Research (12287) YG플러스의 성장을 위한 투자가 반영된 실적 3Q Review: OPM 11.5% 3분기 실적은 시장 예상치를 크게 하회했고, 가장 보수적이 었던 당사의 예상치 또한 하회했다. YG의 3분기 별도 실적 은 매출액/영업이익 각각 374억(+14% YoY)/75억 (+38%, OPM 2%)으로,

More information

2013년 0월 0일

2013년 0월 0일 2017 년 4 월 18 일 I Equity Research 상신브레이크 (041650) 중국로컬완성차로의납품증가 2017년매출액 / 영업이익 5%/17% 증가할전망 2017년매출액 / 영업이익은 5%/17% 증가한 3,998 억원 /362 억원 ( 영업이익률 9.1%, +1.0%p (YoY)) 으로예상한다. 국내본사의매출액은미국 / 중국수출물량의증가로 3%

More information

2013년 0월 0일

2013년 0월 0일 2017 년 2 월 13 일 I Equity Research 일시적요인으로 4 분기실적은기대치하회 NAV 대비 29% 할인된상태 에대한투자의견 BUY 를유지한가운데, 목표주가는한국타이어에대한지분가치를소폭하향하면서 2.5 만원으로조정한다. 4 분기실적은연결회사인아트라스 BX 의수익성하락과상여금지급등으로기대치를하회했다. 전체기업가치의 73% 비중을차지하는한국타이어지분가치의상승여력이원가부담으로축소되었지만,

More information

Microsoft Word - 20160525172217103.doc

Microsoft Word - 20160525172217103.doc 216년 5월 26일 산업분석 디스플레이 Overweight (유지) 실적 턴어라운드 기대 LCD 구조조정, 하반기 수급 및 가격에 긍정적 디스플레이,가전,휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 김범수 2-6114-2932 bk.kim@hdsrc.com 하반기 글로벌 디스플레이 산업은 한국 패널업체의 LCD

More information

Microsoft Word

Microsoft Word 삼성전자 (005930) KB RESEARCH 2017년 4월 7일 1분기 잠정실적: 3년 만의 최대 실적 1분기 영업이익 9.9조원, 컨센서스 상회 2분기 추정 영업이익 12.5조원, 전년대비 54% 증가 IT Analyst 김동원 실적 업사이드 충분, 목표주가 270만원 유지 02-6114-2913 jeff.kim@kbfg.com RA 류진영 02-6114-2964

More information

2017 년 4 월 26 일 I Equity Research SK 하이닉스 (000660) 고점논란아직이르다 1Q17 Review: 영업이익 2.47조원으로컨센서스상회 SK하이닉스의 17년 1분기매출액은 6.29조원 (YoY +72%, QoQ +17%), 영업이익은 2

2017 년 4 월 26 일 I Equity Research SK 하이닉스 (000660) 고점논란아직이르다 1Q17 Review: 영업이익 2.47조원으로컨센서스상회 SK하이닉스의 17년 1분기매출액은 6.29조원 (YoY +72%, QoQ +17%), 영업이익은 2 217 년 4 월 26 일 I Equity Research 고점논란아직이르다 1Q17 Review: 영업이익 2.47조원으로컨센서스상회 SK하이닉스의 17년 1분기매출액은 6.29조원 (YoY +72%, QoQ +17%), 영업이익은 2.47조원 (YoY +339%, QoQ +61%) 으로하나금융투자의추정치및컨센서스를상회하는실적을달성했다. DRAM은비수기영향으로전분기대비

More information

Highlights

Highlights 2014 년 7 월 4 일 (036490) 굿바이 PDP TV 매수 ( 유지 ) 현재주가 (7 월 3 일 ) 38,150 원 목표주가 49,000 원 ( 상향 ) 상승여력 28.4% 소현철 (02) 3772-1594 johnsoh@shinhan.com 박광래 (02) 3772-1513 krpark@shinhan.com KOSPI 2,010.97p KOSDAQ

More information

2013년 0월 0일

2013년 0월 0일 218 년 7 월 24 일 I Equity Research SKC 코오롱 PI (17892) 2Q18 Review : 비수기가아니었군요 2Q18 Review: 영업이익 168억원전년동기대비 4% 증가 2분기실적은매출 677억원 (YoY +52%), 영업이익 168억원 (YoY +4%) 으로당사추정치및컨센서스를상회했다 (14%/12%). 1) FPCB 부문의경우전통적비수기임에도중국하이엔드모델

More information

2013년 0월 0일

2013년 0월 0일 218 년 4 월 23 일 I Equity Research 제주항공 (8959) 유가상승에도양호한 1 분기실적예상! 1분기영업이익시장컨센서스상회예상 218년 1분기제주항공의매출액과영업이익은각각 2,953 억원 (YoY +22.9%, QoQ +12.9%) 과 39억원 (YoY +42.9%, QoQ +12.4%) 을기록할전망이다. 국제유가상승에따른 1) 급유단가상승

More information

2013년 0월 0일

2013년 0월 0일 016 년 10 월 0 일 I Equity Research 태양금속 (004100) 해외공장증설계획 016년영업이익률.8% 예상 016 년연간매출액은전년수준인 4,800 억원으로예상한다. 인도법인이완성차판매호조로 15% (YoY) 성장하나, 절대비중 (75%) 을차지하는본사와프라이맥스 ( 지분율 95.6%) 가완성차파업의부정적영향을받기때문이다. 중국법인의생산능력이최대치에다다른점도성장성을제약중이다.

More information

2013년 0월 0일

2013년 0월 0일 219 년 5 월 28 일 I Equity Research LG 디스플레이 (3422) 극심한저평가속개선되는업황 북미고객사향 OLED 패널 Vendor 진입가능성최근 LG디스플레이의북미고객사향 OLED 패널 2 nd - Vendor 진입가능성에대한언론보도가있었다. 기존북미고객사모바일 LCD 시장에서의 LG디스플레이의높은점유율, LCD 모델대비 2배이상높은 Flexible

More information

Microsoft Word - edit_171213_Huvitz Update

Microsoft Word - edit_171213_Huvitz Update 217 년 12 월 13 일 I Equity Research 색칠작업은이제부터 1.1조원규모안과용진단기기시장진출휴비츠는이달말망막진단기 (OCT) 와안저카메라, 그리고 PC가결합된 올인원 HOCT 2종을출시할예정이다. 기존출시된제품들이안경점용검안기기위주였다면, 이번에출시될망막진단기는안과병원을대상으로한제품으로신시장진출의초석이될계획이다. 망막진단기출시를통해 1 신규매출창출뿐만아니라

More information

2015 년 8 월 5 일 CJ 제일제당 (097950) Equity Research Earnings Review 2Q15 Review: 시장기대치부합 2Q15 Review: 시장기대치부합하는실적시현 2분기연결매출액및영업이익은각각 3조 1,650억원 (+11.9% Yo

2015 년 8 월 5 일 CJ 제일제당 (097950) Equity Research Earnings Review 2Q15 Review: 시장기대치부합 2Q15 Review: 시장기대치부합하는실적시현 2분기연결매출액및영업이익은각각 3조 1,650억원 (+11.9% Yo 2015 년 8 월 5 일 (097950) Equity Research Earnings Review 2Q15 Review: 시장기대치부합 2Q15 Review: 시장기대치부합하는실적시현 2분기연결매출액및영업이익은각각 3조 1,650억원 (+11.9% YoY), 1,917억원 (+55.4% YoY) 을시현했다. 물류제외한매출액및영업이익은각각 1조 9,671억원

More information

2013년 0월 0일

2013년 0월 0일 2018 년 4 월 16 일 I Equity Research 동아타이어 (282690) 현금 = 시가총액, 현금의활용도가중요 타이어용튜브의글로벌과점기업 동아타이어공업은 2017 년 11 월 1 일을분할기준일로 ( 주 ) 디티알오토모 티브 ( 분할전 ( 구 ) 동아타이어공업 ) 의고무사업부문이인적분할되어 설립된회사이다. 주력은타이어용튜브이고 ( 매출비중 47%),

More information

2013년 0월 0일

2013년 0월 0일 219 년 1 월 31 일 I Equity Research LG 디스플레이 (3422) 트레이딩관점에서접근 4Q18 Review : IT용패널고수익성유지 218년 4분기실적은매출 6.9조원 (YoY -3%, QoQ +14%), 영업이익 2,793 억원 (YoY +528%, QoQ +99%) 로컨센서스를대폭상회했다. 1) LCD TV 패널의경우패널가격상승세가

More information

0904fc52803e572c

0904fc52803e572c 212. 4. 24 기업분석 (5619/매수) 디스플레이 상반기 부진은 하반기에 보상된다 투자의견 매수 유지, 목표주가 7,원으로 13% 하향 조정 에 대한 투자의견을 매수로 유지하지만 목표주가는 7,원으로 13% 하향 조정한다. 목표주가를 하향 조정하는 이유는 삼성디스플레이와 SMD 합병에 따른 발주 지 연으로 12~13년 EPS를 각각 13%, 18% 하향

More information

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc 212-4-18 In-Depth Report, 12-5 고영 (9846) 성장성에대한기대감재부각시점 매수 ( 신규편입 ) T.P 35, 원 ( 신규편입 ) Analyst 강문성 / 스몰캡 mskang74@sk.com +82-3773-9269 Company Data 자본금 43 억원 발행주식수 866 만주 자사주 1 만주 액면가 5 원 시가총액 2,289 억원

More information

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환)

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환) KRP Report (3회차) GOLDEN BRIDGE Research - 스몰켑 - Not Rated 테스 (095610) 공정미세화 추세의 수혜, 태양광 장비의 매출 가시화로 견조한 성장 작성일: 2009.11.18 발간일: 2009.11.19 3Q 실적 동사의 3분에 매출과 영업이익은 각각 141.5 억원(QoQ 142%), 6 억원(흑전)이다. 목표가

More information

2013년 0월 0일

2013년 0월 0일 219 년 5 월 15 일 I Equity Research 실리콘웍스 (1832) 중국 UHD 패널수요증가 1Q19 Review : 인건비증가에따른수익성훼손실리콘웍스 1분기실적은매출 1,774 억원 (YoY +9%, QoQ -25%), 영업이익 18억원 (YoY -44%, QoQ -92%) 으로컨센서스를하회했다. 1) 직군별임금체계개편과정에서인건비가상승하며판관비가전분기대비약

More information

2017 년 3 월 16 일 I Equity Research 한신공영 (004960) 너무싼주가 2017년, 자체사업공급확대가만들어낼마법같은실적 2017년한신공영의주택자체사업공급호수가메이저건설사수준인 3,900호로급증할것으로예상된다. 세종시 2-4블록과 1-5블럭, 인

2017 년 3 월 16 일 I Equity Research 한신공영 (004960) 너무싼주가 2017년, 자체사업공급확대가만들어낼마법같은실적 2017년한신공영의주택자체사업공급호수가메이저건설사수준인 3,900호로급증할것으로예상된다. 세종시 2-4블록과 1-5블럭, 인 217 년 3 월 16 일 I Equity Research 너무싼주가 217년, 자체사업공급확대가만들어낼마법같은실적 217년한신공영의주택자체사업공급호수가메이저건설사수준인 3,9호로급증할것으로예상된다. 세종시 2-4블록과 1-5블럭, 인천청라, 부산일광도시개발지역등 4개사업지공급이 5월부터시작된다. 한신공영의 215년실적턴어라운드는 214년과 215년에총 4,116호공급한자체사업으로부터시작된것이었다.

More information

0904fc52803dc24f

0904fc52803dc24f 212. 4. 6 기업분석 덕산하이메탈 (7736/매수) 전자재료 OLED 대표 브랜드 세일 기간입니다 투자의견 매수, 목표주가 4,원 유지 조우형 2-768-436 will.cho@dwsec.com 덕산하이메탈에 대한 투자의견 매수, 목표주가 4,원을 유지한다. 1분기 실적 둔화, SMD OLED 라인 투자 지연 우려, 신규 라인 부재로 인한 OLED 모멘텀

More information

현대글로비스 (8628) Analyst 신민석 표 1. 현대글로비스분기실적 ( 단위 : 십억원, %, 천대 ) F 1Q 2Q 3Q 4Q 1Q 2Q 3Q 4QF 매출액 3,284 3,49 3,2 3,68 3,386 3,71 3,861 3,7

현대글로비스 (8628) Analyst 신민석 표 1. 현대글로비스분기실적 ( 단위 : 십억원, %, 천대 ) F 1Q 2Q 3Q 4Q 1Q 2Q 3Q 4QF 매출액 3,284 3,49 3,2 3,68 3,386 3,71 3,861 3,7 216 년 1 월 18 일 I Equity Research 현대글로비스 (8628) 환율과판매량증가수혜 투자의견매수, 목표주가 27,원유지현대글로비스목표주가 27,원을유지하며, 현재주가대비 38.% 상승여력이있어매수를유지한다. 21년 4분기영업이익은원 / 달러환율상승과현대 / 기아차유럽판매량호조세로전년대비 9.3% 증가한 1,86억원이예상된다. 최근원 / 달러환율상승이이어지는가운데

More information

0904fc52803f4757

0904fc52803f4757 212. 5. 16 기업분석 덕산하이메탈 (7736/매수) 전자재료 잘나가는 OLED와 스마트폰의 중심에 서다 1Q12 Review: 수익성 측면에서 돋보였던 1분기 조우형 2-768-436 will.cho@dwsec.com 덕산하이메탈의 1분기 매출액은 325억원(+39.6% YoY), 영업이익은 92억원(+62.2% YoY, OPM 28.2%)으로 비수기임에도

More information

C O M P A N Y N O T E 기아자동차삼영전자 ( 6 8 ) (7) < 표 1> 실적추정변경사항 ( 십억원 ) 변경후변경전 1Q1F Q1F 3Q1F 4Q1F 1F 16F 1Q1F Q1F 3Q1F 4Q1F 1F 16F DRAM Bit Growth -.1%.4%

C O M P A N Y N O T E 기아자동차삼영전자 ( 6 8 ) (7) < 표 1> 실적추정변경사항 ( 십억원 ) 변경후변경전 1Q1F Q1F 3Q1F 4Q1F 1F 16F 1Q1F Q1F 3Q1F 4Q1F 1F 16F DRAM Bit Growth -.1%.4% Company Note 1. 4. 1 4 SK 하이닉스 (66) BUY / TP 6, 원 반도체 / 가전, 전자부품 Analyst 노근창 ) 3787-31 greg@hmcib.com 하반기 LP DDR4 모멘텀에주목 현재주가 (4/13) 상승여력 44, 원 3.7% 시가총액발행주식수자본금 / 액면가 3,178 십억원 78, 천주 368 십억원 /, 원 주최고가

More information

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원 반도체산업 3D NAND 투자가앞당겨진다 216. 4. 1 Analyst 박유악 (698-6688) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 실리콘웍스 STRONG BUY TP 5, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 디엔에프 BUY

More information

1. 14 년 4 분기실적은시장기대치상회예상 14 년 4 분기어닝서프라이즈 15 년 1 분기저점으로개선추세전환 14년 4분기실적은매출액 1,191억원 (+21%QoQ), 영업이익 150억원 (+93%QoQ) 으로시장기대치영업이익 120억원을큰폭으로상회할전망이다. 이익개

1. 14 년 4 분기실적은시장기대치상회예상 14 년 4 분기어닝서프라이즈 15 년 1 분기저점으로개선추세전환 14년 4분기실적은매출액 1,191억원 (+21%QoQ), 영업이익 150억원 (+93%QoQ) 으로시장기대치영업이익 120억원을큰폭으로상회할전망이다. 이익개 2015 년 1 월 21 일실리콘웍스 (108320) Equity Research Update 2015 구조적인변화의원년 14년 4분기실적은시장기대치상회예상 14년 4분기실적은매출액 1,191억원 (+21%QoQ), 영업이익 150억원 (+93%QoQ) 으로시장기대치영업이익 120억원을큰폭으로상회할전망이다. 이익개선의주요원인은애플아이패드및맥시리즈출하량증가에따른

More information

Microsoft Word - 2016011217350042.docx

Microsoft Word - 2016011217350042.docx (000120) 운송 Company Report 2016.1.12 (Maintain) 매수 목표주가(원,12M) 260,000 현재주가(16/01/12,원) 200,000 상승여력 30% 영업이익(,십억원) 199 Consensus 영업이익(,십억원) 209 EPS 성장률(,%) -16.0 MKT EPS 성장률(,%) 21.4 P/E(,x) 90.6 MKT

More information

한국전자금융 (6357) Analyst 이정기 / 안주원 / 김두현 / 김재윤 투자포인트 1) POS, 무인주차장, KIOSK 신규사업고성장전망 2 년 8 월 POS 기기전문업체 OKPOS 인수및무인주차장시장진 입으로큰성장잠재력보유 한국전자금융은

한국전자금융 (6357) Analyst 이정기 / 안주원 / 김두현 / 김재윤 투자포인트 1) POS, 무인주차장, KIOSK 신규사업고성장전망 2 년 8 월 POS 기기전문업체 OKPOS 인수및무인주차장시장진 입으로큰성장잠재력보유 한국전자금융은 216 년 3 월 4 일 I Equity Research 216 년 3 월유망주 #4 한국전자금융 (6357) 신사업 (POS+ 무인주차장 +KIOSK) 초고성장시작! 투자의견 BUY, 목표주가 1,2원제시한국전자금융에대해투자의견 BUY 및목표주가 1,2 원을제시하며커버리지를개시한다. 목표주가는한국전자금융의신규사업매출이본격적으로반영되는 216년예상 EPS에

More information

2013년 0월 0일

2013년 0월 0일 219 년 4 월 1 일 I Equity Research JYP Ent. (359) 트와이스팬덤의글로벌확장을기대하며 Update BUY I TP(12M): 43, 원 I CP(4 월 9 일 ): 31,95 원 Key Data Consensus Data KOSDAQ 지수 (pt) 756.81 219 22 52 주최고 / 최저 ( 원 ) 39,15/19,4 매출액

More information

2014 년 12 월 5 일선데이토즈 (123420) Equity Research Update 국내퍼즐게임의최강자, 글로벌게임사로의도약! 투자의견 BUY 유지, 목표주가 31,000 원으로상향조정 국내에치중했던매출구조에서신작게임의글로벌출시를 통해글로벌게임사로발돋움하고있

2014 년 12 월 5 일선데이토즈 (123420) Equity Research Update 국내퍼즐게임의최강자, 글로벌게임사로의도약! 투자의견 BUY 유지, 목표주가 31,000 원으로상향조정 국내에치중했던매출구조에서신작게임의글로벌출시를 통해글로벌게임사로발돋움하고있 214 년 12 월 5 일선데이토즈 (12342) Equity Research Update 국내퍼즐게임의최강자, 글로벌게임사로의도약! 투자의견 BUY 유지, 목표주가 31, 원으로상향조정 국내에치중했던매출구조에서신작게임의글로벌출시를 통해글로벌게임사로발돋움하고있는선데이토즈에대해 투자의견 BUY 를유지하고, 목표주가를 31, 원으로상향 조정한다. 하반기최대기대작

More information

Microsoft Word - HMC_Company_Note_Petasys_130128.doc

Microsoft Word - HMC_Company_Note_Petasys_130128.doc Company Note 213. 1. 28 이수페타시스(766) BUY / TP 8,원 스마트폰 PCB 업체로 재평가 필요 현재주가 (1/25) 상승여력 시가총액 발행주식수 자본금/액면가 52주 최고가/최저가 일평균 거래대금 (6일) Analyst 김상표 2) 3787-259 spkim@hmcib.com Analyst 노근창 2) 3787-231 greg@hmcib.com

More information

2013년 0월 0일

2013년 0월 0일 216 년 1 월 28 일 I Equity Research S-Oil (19) 예상치를하회하는실적시현. 다만, 연말배당은예상치상회예상 3Q16 영업이익예상치를큰폭하회 3Q16 영업이익은 1,162 억원 (QoQ -82%, YoY +62%) 으로시장예상치 (3,369 억원 ) 와하나금융투자추정치 (2,296 억원 ) 를각각 66%, % 하회하는부진한실적을시현했다.

More information

<4D F736F F F696E74202D20B9DDB5B5C3BCB0F8C1A426B8DEB8F0B8AEBFEBBDC5BCD2C0E75FBEF7B7CEB5E52E707074>

<4D F736F F F696E74202D20B9DDB5B5C3BCB0F8C1A426B8DEB8F0B8AEBFEBBDC5BCD2C0E75FBEF7B7CEB5E52E707074> Chap. 1 Information/Communication Technology 반도체칩제조공정및메모리반도체 Advanced Materials and Future Technology Fabrication Processes of Semiconductor Chips ( 반도체칩제조공정 ) IC (Integrated Circuit) Devices ( 집적회로소자

More information

Microsoft Word - 2012년 7월 Mid Small-cap_final_.doc

Microsoft Word - 2012년 7월 Mid Small-cap_final_.doc 212년 7월호 리서치센터 Mid Small-cap Corporate Day 후기 하반기 관심을 가져야 할 중소형 유망주 212. 6. 26 스몰캡분석 한화증권 Mid Small-cap Team은 5월 31일부터 6월 13일까지 17개 기업에 대해 Corporate Day 를 진행하였습니다. 이 기업들에 대한 IR 후기를 제시합니다. 최근 그리스 2차 총선에서

More information

2013년 0월 0일

2013년 0월 0일 218 년 4 월 4 일 I Equity Research LG 하우시스 (1867) 미래를위한투자가성과로이어지는날까지 1Q18 LG하우시스실적은전년비약보합전망 LG하우시스의 218년 1분기실적은매출액 7,97 억원으로전년비 5.8% 증가하고, 영업이익은 329억원으로전년비 3.5% 감소할것으로전망한다. 건축자재는 5,444 억원으로전년비 7.6% 증가하고,

More information

0904fc52804b1bc5

0904fc52804b1bc5 213. 3. 14 기업분석 솔브레인 (3683/ 매수 ) IT 부품 / 소재 IT 업계의 P&G What s new: 4분기에도확인된실적안정성솔브레인의 IFRS 별도기준 4분기실적은매출액 1,543 억원 (+14.7% YoY), 영업이익 251 억원 (+26.5% YoY) 으로당사예상치및시장컨센서스에부합했다. 전분기대비영업이익은 55억원감소했지만, 계절적비수기와및성과급지급을감안하면사상최대실적을기록했던전분기수준에서크게벗어나지않은것으로판단된다.

More information

2013년 0월 0일

2013년 0월 0일 2018 년 11 월 8 일 I Equity Research 동원산업 (006040) 3Q18 Re: 어가, 어획량모두부진 3Q18 Re: 시장기대치하회연결매출액및영업이익은각각 6,165 억원 (YoY -0.2%), 337억원 (YoY -55.6%) 을시현해시장기대치를큰폭하회했다. 본업이부진한가운데연결사들은전년높은베이스기인해 YoY 감익을시현했다. 연결세전이익은

More information

2017 년 7 월 19 일 I Equity Research 영원무역 (111770) 2Q17 Pre: 밋밋한실적이지만, 밸류에이션매력보유 2분기영업이익 633억원기록하며컨센서스소폭하회전망 2분기연결매출액과영업이익은각각 5,500억원 (YoY - 0.2%) 과 633억

2017 년 7 월 19 일 I Equity Research 영원무역 (111770) 2Q17 Pre: 밋밋한실적이지만, 밸류에이션매력보유 2분기영업이익 633억원기록하며컨센서스소폭하회전망 2분기연결매출액과영업이익은각각 5,500억원 (YoY - 0.2%) 과 633억 2017 년 7 월 19 일 I Equity Research 2Q17 Pre: 밋밋한실적이지만, 밸류에이션매력보유 2분기영업이익 633억원기록하며컨센서스소폭하회전망 2분기연결매출액과영업이익은각각 5,500억원 (YoY - 0.2%) 과 633억원 (YoY -8.8%) 을기록하며, 컨센서스 ( 매출 5,664억원, OP 691억원 ) 를소폭하회할전망이다. 1)OEM부문달러매출은전년동기대비

More information

<4D F736F F D BBEFBFB5C0FCC0DA2028BFC2B6F3C0CE29>

<4D F736F F D BBEFBFB5C0FCC0DA2028BFC2B6F3C0CE29> 2018 년 5 월 16 일 삼영전자 (005680) 기업분석 Mid-Small Cap 1Q18 Review: 영업이익 +26.7 Analyst 정홍식 02 3779 8688 hsjeong@ebestsec.co.kr 1Q18 Review: Sales +14.2, OP +26.7 동사의 1Q18 실적은매출액 611 억원 (+14.2% yoy), 영업이익 26

More information

2013년 0월 0일

2013년 0월 0일 2018 년 4 월 11 일 I Equity Research 아진엑스텍 (059120) 저평가된모션제어회사하나알려드립니다. 자동화공정의핵심. 모션제어칩원천기술보유회사아진엑스텍은 모션제어칩 을연구 개발하는회사이다. 모션제어칩은자동화장비에탑재되어모터의회전수와속도를제어하는자동화공정의핵심적역할을수행한다. 주요고객사는 SEMES, 주성엔지니어링, 하이비젼시스템, AP

More information

2013년 0월 0일

2013년 0월 0일 218 년 4 월 24 일 I Equity Research SKC 코오롱 PI (17892) 놓치지마세요 1Q18 Review : 영업이익전년동기대비 33% 증가 SKC코오롱 PI의 18년 1분기실적은매출액 675억원 (YoY +41%, QoQ +19%), 영업이익 168억원 (YoY +33%, QoQ +61%) 로컨센서스및당사추정치에부합했다. 부문별로살펴보면,

More information

2013년 0월 0일

2013년 0월 0일 219 년 4 월 5 일 I Equity Research LG 디스플레이 (3422) 하방은 LCD 가확실히막고있다 1Q19 Preview : 예상보다좋은실적 LG디스플레이 1분기실적은매출 5.7조원 (YoY +1%, QoQ +14%), 영업적자 -347억원 (YoY 적자전환, QoQ 적자지속 ) 으로시장컨센서스대비적자폭이축소될전망이다. 이는 1) LCD TV

More information

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc Research Center 2005.4.26 에이디피 (079950) 2005년, 두 마리 토끼를 잡는다 Analyst 류제현 (02) 3774-1418 jayryu@miraeasset.com Initiate BUY Target Price 13,600원 Price(4/25) 9,840원 6개월 목표주가 13,600원, BUY 의견으로 Initiate 목표주가

More information

Contents

Contents 2006. 5. 2 Intel, Qualcomm MK TANAKA, Heraus STS LF : BGA : ASE Amkor STATSChiPAC SPIL ASTAT LF : BGA : IBIDEN Shinko, Nanya MK GDS, IBIDEN, Compeq, Nanya Contents Gold Wiring Bumping Lead Frame Package

More information

2017 년 10 월 12 일 I Equity Research 하나투어 (039130) 가장고민되는구간이저가매수의기회 우려와기대가교차되는구간이저가매수의기회우려와기대가교차되는구간이다. 2번의황금연휴에따른 18년출국자수둔화우려는모두투어와달리 ASP 하락률이높은하나투어에게는

2017 년 10 월 12 일 I Equity Research 하나투어 (039130) 가장고민되는구간이저가매수의기회 우려와기대가교차되는구간이저가매수의기회우려와기대가교차되는구간이다. 2번의황금연휴에따른 18년출국자수둔화우려는모두투어와달리 ASP 하락률이높은하나투어에게는 217 년 1 월 12 일 I Equity Research 가장고민되는구간이저가매수의기회 우려와기대가교차되는구간이저가매수의기회우려와기대가교차되는구간이다. 2번의황금연휴에따른 18년출국자수둔화우려는모두투어와달리 ASP 하락률이높은하나투어에게는본업의성장성훼손에따른밸류에이션 ( 18년목표 P/E 3배 ) 하향요소로작용할위험이있다. 또한, 사드규제완화기대감이점증하고있지만하나투어는외인카지노

More information

Microsoft Word _Daesang 2Q16 Review

Microsoft Word _Daesang 2Q16 Review 216 년 8 월 17 일 I Equity Research 2Q16 Review: 연결자회사실적개선이이끈호실적 2Q16 Review: 연결자회사실적호조 2분기연결매출액및영업이익은각각 7,16 억원 (YoY +9.9%), 33억원 (YoY +36.4%) 를시현했다. 개별기준매출액및영업이익은각각 4,568 억원 (YoY +14.1%), 285억원 (YoY +12.1%)

More information

Microsoft Word _OLED-편집2

Microsoft Word _OLED-편집2 OLED 산업 OLED 삼성SDI 모두가 외면할 때 진정한 매수기회가 온다 (64) BUY(Maintain) 2분기부터 갤럭시S4 효과 극대화 주가(4/5) 127,원 1분기 비수기를 지나 2분기부터 신제품 효과가 극대화 목표주가 2,원 될 것이다. 주력인 2차전지 사업부의 영업이익(률)은 1 주력인 소형 2차전지 사업은 1분기 비수기 분기 674억원(7.8%)에서

More information

Microsoft Word _Type2_기업_LG디스플레이.doc

Microsoft Word _Type2_기업_LG디스플레이.doc 211 년 1 월 24 일기업분석 BUY ( 유지 ) LG 디스플레이 (3422) 긍정적시각으로접근할때 김동원 김경민 1분기선제적비중확대필요 적정주가, 원 주가상승률 1개월 3개월 개월 2 분기부터본격적실적개선 세트재고소진은연착륙의신호 결산기말 12/8A 12/9A 12/1P 12/11F 12/12F 1분기선제적비중확대필요 그림 1> LGD 주가상승촉매 1

More information

2013년 0월 0일

2013년 0월 0일 217 년 11 월 15 일 I Equity Research 코오롱글로벌 (37) 짐을벗어던지고 218 년으로 3분기실적이다소기대치미흡코오롱글로벌의 217년 3분기실적은매출액 9,211 억원으로전년비 22.7% 증가하고영업이익은 176억원으로전년비 19.9% 증가하였다. 다만세전이익은 32억원, 지배지분순이익은 9억원으로부진했는데, 영업외항목으로보유자산손상차손비용등을반영한결과다.

More information

In-depth 반도체소재 솔브레인 (036830) 반도체중심견조한실적개선세전망 Investment Point - 2Q18 매출액 2,383 억원 (+9.4% QoQ, +29.2% YoY), 영업이익 433억원 (+14.2% QoQ, +75.6% YoY) 기록. 당사와

In-depth 반도체소재 솔브레인 (036830) 반도체중심견조한실적개선세전망 Investment Point - 2Q18 매출액 2,383 억원 (+9.4% QoQ, +29.2% YoY), 영업이익 433억원 (+14.2% QoQ, +75.6% YoY) 기록. 당사와 솔브레인 (3683) 반도체중심견조한실적개선세전망 Investment Point - 2Q18 매출액 2,383 억원 (+9.4% QoQ, +29.2% YoY), 영업이익 433억원 (+14.2% QoQ, +75.6% YoY) 기록. 당사와시장컨센서스를상회하는호실적 - 동사매출비중은반도체 63%, DP 29%, 기타 8% 순. DP 부문외형성장정체에도불구반도체부문호조로중장기실적개선세유효.

More information

1분기 실적 컨센서스 충족 추정 영업이익 성장률 17% 기대 아모레그룹의 1분기 연결 매출액과 영업이익은 각각 1조7,128억원(+18.6%, y-y) 과 3,753억원(+17.0%, y-y)으로 시장 컨센서스를 충족시킬 것으로 추정된다. 이익 기여도가 86%에 달하는

1분기 실적 컨센서스 충족 추정 영업이익 성장률 17% 기대 아모레그룹의 1분기 연결 매출액과 영업이익은 각각 1조7,128억원(+18.6%, y-y) 과 3,753억원(+17.0%, y-y)으로 시장 컨센서스를 충족시킬 것으로 추정된다. 이익 기여도가 86%에 달하는 (002790.KS) 반가운 에뛰드 턴어라운드 Company Note 2016. 4. 5 아모레퍼시픽, 이니스프리, 에뛰드 등 핵심 자회사 실적 견조. 특히 최근 까지 매출 역신장과 영업 적자로 부진했던 에뛰드 브랜드가 히트 제품 출시로 턴어라운드에 성공한 점 긍정적. Buy 투자의견 유지 에뛰드, 2년만의 턴어라운드 아모레G의 1분기 연결 매출액과 영업이익은

More information

4 분기실적은시장기대치상회예상 4분기실적시장기대치상회 LG디스플레이의 4분기실적은매출액 7.6조원 (+17%QoQ), 영업이익 6,561억원 (+38%QoQ) 으로시장기대치영업이익 6,060억원을상회할것으로예상한다. 성수기효과에대한출하량증가와견조한패널가격흐름, 원 /

4 분기실적은시장기대치상회예상 4분기실적시장기대치상회 LG디스플레이의 4분기실적은매출액 7.6조원 (+17%QoQ), 영업이익 6,561억원 (+38%QoQ) 으로시장기대치영업이익 6,060억원을상회할것으로예상한다. 성수기효과에대한출하량증가와견조한패널가격흐름, 원 / 2014 년 12 월 4 일 LG 디스플레이 (034220) Equity Research Update OLED TV 시장을열다 4분기실적은시장기대치상회예상 LG디스플레이의 4분기실적은매출액 7.6조원 (+17%QoQ), 영업이익 6,561억원 (+38%QoQ) 으로시장기대치영업이익 6,060억원을상회할것으로예상한다. 성수기효과에대한출하량증가와견조한패널가격흐름,

More information

하이투자증권 f

하이투자증권 f Company Brief 219-5-13 Buy (Maintain) 한솔케미칼 (1468) 1Q19 실적저점확인 목표주가 (12M) 11, 원 ( 유지 ) 1Q19 계절적비수기이나전년동기대비성장세지속될전망 종가 (219/5/1) 82,5 원 동사 1Q19 실적은매출액 1,464 억원 (YoY: +1%, QoQ: -2%), 영업이익 276 억원 (YoY: Stock

More information

2019 년 3 월 14 일 SK 하이닉스 (000660) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 Buy (maintain) 목표주가 현재주가 컨센서스대비 상회

2019 년 3 월 14 일 SK 하이닉스 (000660) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 Buy (maintain) 목표주가 현재주가 컨센서스대비 상회 219 년 3 월 14 일 SK 하이닉스 (66) 기업분석 반도체 / 디스플레이 아직도 4.4 배입니다! Analyst 어규진 2 3779 8425 kjsyndrome@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 상회 부합 하회 Stock Data KOSPI(3/13) 시가총액 발행주식수 2,494.49 pt 655,22

More information

17 년 1 분기실적은분기사상최대실적예상 17 년 1 분기실적은영업이익 9,350 억원으로시장컨센서스영업이익 8,467 억원을상회할전망 LG디스플레이의 17년 1분기실적은매출액 7.1조원 (-10%QoQ), 영업이익 9,350억원 (+3%QoQ) 로분기사상최대실적을기록

17 년 1 분기실적은분기사상최대실적예상 17 년 1 분기실적은영업이익 9,350 억원으로시장컨센서스영업이익 8,467 억원을상회할전망 LG디스플레이의 17년 1분기실적은매출액 7.1조원 (-10%QoQ), 영업이익 9,350억원 (+3%QoQ) 로분기사상최대실적을기록 2017 년 3 월 31 일 I Equity Research LG 디스플레이 (034220) 저평가 vs. 상고하저 17 년 1 분기실적은분기사상최대실적예상 17 년 1 분기실적은매출액 7.1 조원 (-10%QoQ), 영업이익 9,346 억원 (+3%QoQ) 로분기사상최대실적을기록할것으로전망한다. 3 월들어원 / 달러환율급감에도불구하고 17 년 1 분기분기평균환율은지난분기와유사한수준을유지한가운데비수기진입에도불구하고전제품에있어패널가격강세가지속되며수익성이개선되었기때문이다.

More information

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10 실적 Review 2017. 4. 27 Outperform(Maintain) 목표주가 : 34,000원주가 (4/26): 31,400원시가총액 : 112,354억원 LG 디스플레이 (034220) 중소형 OLED 경쟁력확대가능성에주목 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com 2Q17 영업이익 9,310

More information

Microsoft Word - HMC_Company_DNF_Final

Microsoft Word - HMC_Company_DNF_Final 215. 7. 8 디엔에프 (927) BUY / TP 33, 원 Analyst 이존아단 2) 3787-2186 jon@hmcib.com 반도체미세화의소재수혜주 현재주가 (7/7) 상승여력 25,6 원 28.9% 시가총액발행주식수자본금 / 액면가 2,771 억원 1,762 천주 54억원 /5 원 52주최고가 / 최저가 29,3 원 /15, 원 일평균거래대금 (6일

More information

Microsoft Word - VB_May

Microsoft Word - VB_May SK securities Research center June.13 1 반도체최성제 dchoi@sk.com / +82-3773-94 / 디스플레이정한섭 hanchong@sk.com / +82-3773-9 Contents 1. Global IT Company Peer Group Table 3 2. Global IT Company Valuation Chart 3.

More information

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L Sector update Korea / Semiconductors 25 February 2016 NEUTRAL Stocks under coverage Company Rating Price Target price 삼성전자 (005930 KS) BUY 1,172,000 1,550,000 SK 하이닉스 (000660 KS) BUY 30,900 37,000 이오테크닉스

More information

2013년 0월 0일

2013년 0월 0일 219 년 1 월 3 일 I Equity Research 이녹스첨단소재 (27229) 향후분기실적계단식상승전망 4Q18 Review : OLED 소재성장세지속 218년 4분기실적은매출 752억원 (YoY -6%, QoQ -3%), 영업이익 16억원 (YoY+51%, QoQ +6%) 으로컨센서스에부합했다. 사업부별로사렾보면, 1) FPCB 및방열시트부문의경우중국을중심으로한스마트폰수요둔화로부진한실적을보였으며,

More information

(Microsoft Word \306\333\275\303\275\272\(\277\302\266\363\300\316\))

(Microsoft Word \306\333\275\303\275\272\(\277\302\266\363\300\316\)) 218 년 5 월 8 일 퍼시스 (168) 기업분석 Mid-Small Cap 안정적인현금흐름 Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 42, 원 3,6 원 상회부합하회 Stock Data KOSPI (5/4) 시가총액 발행주식수 2,461.38pt 3,519

More information

기업분석 [SK 하이닉스 ] Fig 2: SK하이닉스부문별실적전망 ( 단위 : 십억원, %) 1Q17 2Q17 3Q17 4Q17 1Q18 2Q18 3Q18F 4Q18F F 2019F 매출액 6,290 6,692 8, 9,028 8,720 10,371 1

기업분석 [SK 하이닉스 ] Fig 2: SK하이닉스부문별실적전망 ( 단위 : 십억원, %) 1Q17 2Q17 3Q17 4Q17 1Q18 2Q18 3Q18F 4Q18F F 2019F 매출액 6,290 6,692 8, 9,028 8,720 10,371 1 2018/8/1 SK 하이닉스 (000660) 기업분석리포트 더성장할수있다 2분기사상최대실적경신 SK하이닉스는 2Q18 매출액 10.4조원 (+55.0% YoY, +18.9% QoQ), 영업이익 5.6조원 (+82.7%YoY, +27.6% QoQ) 으로높아진컨센서스를상회하였 투자의견 [ 신규 ] 목표주가 (6M) [ 신규 ] 현재주가 2018/7/31 매수

More information

바이오 부문 실적 개선 지연, 소재식품 역기저 효과가 부담 1분기 실적 컨센서스 하회 전망 CJ제일제당의 1분기 연결 매출액과 영업이익은 각각 3조4,636억원(+11.0%, y-y) 과 2,127억원(-5.6%, y-y)으로 컨센서스를 소폭 하회할 전망이다. CJ대한

바이오 부문 실적 개선 지연, 소재식품 역기저 효과가 부담 1분기 실적 컨센서스 하회 전망 CJ제일제당의 1분기 연결 매출액과 영업이익은 각각 3조4,636억원(+11.0%, y-y) 과 2,127억원(-5.6%, y-y)으로 컨센서스를 소폭 하회할 전망이다. CJ대한 (097950.KS) 단기 모멘텀보다 개선 잠재력에 주목 Company Note 2016. 3. 24 바이오제품 가격 상승 지연, 환율 상승에 따른 일부 사업부 역기저 효과 등으로 1분기 실적은 컨센서스 하회 예상. 하지만 가공식품 고성장세 지 속될 전망이고, CJ헬스케어 상장 모멘텀 대기 중이어서 Buy 관점 유효 1분기 실적 컨센서스 소폭 하회할 듯 CJ제일제당의

More information

Figure 01 AP 시스템 12 개월 forward PER Band 추이 Figure 02 AP 시스템 12 개월 forward PBR Band 추이 Figure 03 AP 시스템실적추이 Figure 04 중소형 Display 투자전망 Source: AP 시스템,

Figure 01 AP 시스템 12 개월 forward PER Band 추이 Figure 02 AP 시스템 12 개월 forward PBR Band 추이 Figure 03 AP 시스템실적추이 Figure 04 중소형 Display 투자전망 Source: AP 시스템, In-Depth AP 시스템 (054620) 가치를더해가는 OLED 장비업체 BUY 현재 직전 변동 투자의견 BUY 신규 목표주가 31,000원 신규 Earnings Stock Information 현재가 (9/5) 예상주가상승률시가총액비중 (KOSPI내) 발행주식수 52주최저가 / 최고가 3개월일평균거래대금외국인지분율 20,850원 48.7% 5,340억원

More information

(Microsoft Word \277\241\275\272\305\330\(\277\302\266\363\300\316\))

(Microsoft Word \277\241\275\272\305\330\(\277\302\266\363\300\316\)) 218 년 5 월 9 일 에스텍 (6951) 기업분석 Mid-Small Cap Valuation 저평가 Analyst 정홍식 2 3779 8688 hsjeong@ebestsec.co.kr Buy (maintain) 목표주가 현재주가 컨센서스대비 17, 원 1,6 원 상회부합하회 자동차용스피커 & 모바일이어폰성장동사는자동차용스피커와모바일이어폰에서성장성이부각되고있다.

More information

2013년 0월 0일

2013년 0월 0일 2016 년 11 월 15 일 I Equity Research 평화정공 (043370) 안정적성장과매도가능금융자산의가치 P/E 5.5배이나매도가능금융자산을감안하면 3배중반평화정공에대한투자의견 BUY를유지한가운데, 기대를하회한 3분기실적을반영하여목표주가를 1.5만원 ( 목표 P/E 7배 ) 으로하향한다. 3분기실적은인도 / 중국법인의선전에도불구하고, 완성차파업과중국마진하락의영향으로수익성이둔화되면서기대치를하회했다.

More information

KCC (238) Analyst 채상욱 Q16 Preview 1. 건자재영업이익전년비 24.5% 증가하나, 도료부문전년비 13.2% 감소 매출액 9,29 억원으로전년비 5.2% 증가, 영업이익은 942 억원으로전년 비 14.1% 증가할전망 KCC

KCC (238) Analyst 채상욱 Q16 Preview 1. 건자재영업이익전년비 24.5% 증가하나, 도료부문전년비 13.2% 감소 매출액 9,29 억원으로전년비 5.2% 증가, 영업이익은 942 억원으로전년 비 14.1% 증가할전망 KCC 216 년 7 월 6 일 I Equity Research KCC (238) 이제다시살때도됐다고봅니다. 2Q16 영업이익 942억원으로전년비 14% 상승전망 KCC의 2분기실적을매출액 9,29억원으로전년비 5.2% 증가, 영업이익은 942억원으로전년비 14.1% 증가할전망이다. 건자재부문영업이익 594억원으로전년비 24.5% 증가하고, 영업이익률은 16.% 예상하고,

More information

2013년 0월 0일

2013년 0월 0일 217 년 8 월 16 일 I Equity Research LG (355) 상장 / 비상장자회사실적안정성강화 2 분기영업익 5,679 억원 (YoY +36.%), 지배순익 5,291 억원 (YoY +49.5%) 으로컨센서스상회 2 분기매출 2.89 조원 (YoY +8.1%), 영업익 5,679 억원 (YoY +36.%), 지배순익 5,291 억원 (YoY +49.5%)

More information

Microsoft Word _KTNG 1Q16 Review

Microsoft Word _KTNG 1Q16 Review 216 년 4 월 22 일 I Equity Research 1Q16 Review: 체력 Level-up 1Q16 Review: 시장기대치큰폭상회 는 1분기연결매출액및영업이익을각각 1조 913억원 (YoY -4.%), 3,93 억원 (-8.3% YoY) 을시현했다. 개별기준매출액및영업이익은각각 7,12 억원 (YoY - 8.9%), 3,274 억원 (YoY -13.8%)

More information

0904fc5280257b90

0904fc5280257b90 02-768-3722 james.song@dwsec.com 02-768-4168 will.lee@dwsec.com Valuation 저평가 + 사상 최대 실적 + 재무 구조 개선의 3박자 2분기 OP 1.05조원, 연간 OP 4.1조원의 사상 최대 실적 예상 메모리 시장과 하이닉스를 확신하는 이유 중장기 Level up의 변곡점! I. Valuation 및

More information

Microsoft Word docx

Microsoft Word docx 케이씨텍 (2946) 안정적인사업구조가빛을발할시기 반도체장비 Results Comment 21.8.18 (Maintain) 매수 목표주가 ( 원,12M) 17, 현재주가 (1/8/17, 원 ) 11,4 상승여력 4% 영업이익 (1F, 십억원 ) 39 Consensus 영업이익 (1F, 십억원 ) 42 EPS 성장률 (1F,%) 2.4 MKT EPS 성장률 (1F,%)

More information