슬라이드 1

Size: px
Start display at page:

Download "슬라이드 1"

Transcription

1 Chapter 7. Steady-State Errors Things to know - The steady-state error for a unity feedback system - A system s steady-state error performance - The steady-state error for disturbance inputs - The steady-state error for nonunity feedback system - Designing system parameters to meet steady-state error performance specifications - The steady-state error for systems represented in state space Chapter 7. Steady-State Errors /9

2 Chapter 7. Steady State Errors Definition and Test Inputs: t s0 e lim e( t) lim se( s) Table 7. Test waveforms for evaluating steadystate errors of position control systems Chapter 7. Steady-State Errors /9

3 Figure 7. Test inputs for steady-state error analysis and design vary with target type Figure 7. Steady-state error: a. step input; b. ramp input Chapter 7. Steady-State Errors 3/9

4 Figure 7.3 Closed-loop control system error: a. general representation; b. representation for unity feedback systems e lim e( t) lim se( s) t s0 Figure 7.4 System with: a. finite steady-state error for a step input; b. zero steady-state error for step input e e t se s t s0 s0 s lim ( ) lim ( ) lim 0 s Chapter 7. Steady-State Errors 4/9

5 7. Steady- State Error for Unity Feedback Systems 폐루프제어시스템의오차신호 E(s) 는 E( s) R( s) C( s) R( s) T( s) R( s) Gs ( ) 폐루프제어시스템이안정하다는가정아래서최종값정리 (final value theorem) 를이용하여 e e t se s ss sr() s lim ( ) lim ( ) lim t s0 s0 Gs ( ) Gs () m ( s z ) i n N s ( s p ) j i j 시스템형태에따른정상상태오차 Chapter 7. Steady-State Errors 5/9

6 Ex. 7.3 Find the steady-state errors for inputs of 5 u( t), 5 tu( t), 5 t u( t) 5 u( t), 5 tu( t), 5 t u( t) Figure 7.6 Feedback control system for Example 7.3 ) For a step input 5 ut ( ) sr( s) 5 5 estep lim e step( t) lim se( s) lim = = =0 t s0 s0 G( s) lim G( s) ) For a ramp input 5 tu( t) sr( s) 5 5 eramp lim e ramp ( t) lim se( s) lim = = = t s0 s0 G( s) lim sg( s) ) For a parabolic input 5 t u( t) sr( s) 0 0 eparabola lim e parabola ( t) lim se( s) lim = = = t s0 s0 G( s) lim s G( s) 0 s0 s0 s0 Chapter 7. Steady-State Errors 6/9

7 7.3 Static Error Constants and System Type ) For a step input, ) For a ramp input, Rs () s Gs () s0 m ( s z ) i n N s ( s p ) j sr( s) estep lim e step ( t) lim se( s) lim = t s0 s0 G( s) lim G( s) r( t) tu( t), R( s) s sr( s) eramp lim e ramp ( t) lim se( s) lim = t s0 s0 G( s) lim sg( s) s0 v p i j 3) For a parabolic input, r( t) t u( t), R( s) 3 s sr( s) eparab lim e parab ( t) lim se( s) lim = t s0 s0 G( s) lim s G( s) s0 Chapter 7. Steady-State Errors 7/9 a

8 System Type Figure 7.8 Feedback control system for defining system type sr() s ess lim e( t) lim se( s) lim, t s0 s0 Gs ( ) Gs () m ( s z ) s n i n j ( s p ) i j Chapter 7. Steady-State Errors 8/9

9 Ex. 7.4 Find the steady -state errors for inputs ) Type 0 (n=0) e e e 5.08 lim sg( s) 0 s0 lim s G( s) 0 s p lim G( s) s0 v a step ramp parab 8 0 ( ) 0.6 ( ) ( ) v a p Figure 7.7 Feedback control systems for Example 7.4 Chapter 7. Steady-State Errors 9/9

10 ) Type (n=) e e e p v a step lim G( s) s lim sg( s) 3.5 s0 80 ramp parab lim s G( s) 0 s0 ( ) 0 ( ) ( ) v a p Chapter 7. Steady-State Errors 0/9

11 ) Type (n=) p v a lim G( s) s0 lim sg( s) s lim s G( s) 875 s0 e e e step ramp parab ( ) 0 p ( ) 0 v ( ) a 3 Chapter 7. Steady-State Errors /9

12 Table 7. Relationships between input, system type, static error constants, and steady-state errors Chapter 7. Steady-State Errors /9

13 7.4 Steady-State Error Specifications Figure 7.9 A robot used in the manufacturing of semiconductor random-access memories (RAMs) similar to those in personal computers. Steady-state error is an important design consideration for assembly-line robots. Westlight/ Charles O Rear. Chapter 7. Steady-State Errors 3/9

14 Ex. 7.6 Find the value of so that there is 0% error in the steady state for ramp input Figure 7.0 Feedback control system for Example 7.6 Sol) Since the system is Type I e ramp ( ) 0. v 5 v 0 lim sg( s) s Chapter 7. Steady-State Errors 4/9

15 7.5 Steady-State Error for Disturbances Figure 7.Feedback control system showing disturbance C( s) E( s) G ( s) G ( s) D( s) G ( s) But E( s) R( s) C( s) C( s) R( s) E( s) E( s) G ( s) G ( s) R( s) D( s) G ( s) G ( s) E s R s D s G ( s) G ( s) G ( s) G ( s) ( ) ( ) ( ) Chapter 7. Steady-State Errors 5/9

16 Find Steady-State Errors sr( s) sg ( s) lim e( t) lim se( s) lim lim D( s) t s0 s0 G 0 ( s) G( s) s G ( s) G( s) e ( ) e ( ) R D In case of a step disturbance D( s) / s e D( ) lim D( s) s0 G( s) G( s) sg ( s) lim lim G ( s) G () s s0 s0 Figure 7. Figure 7. system rearranged to show disturbance as input and error as output, with R(s) = 0 Chapter 7. Steady-State Errors 6/9

17 Example 7.7 Find the steady-state error component due to a step disturbance for the system of Fig. 7.3 Sol) Fig. 7.3 Feedback control system for Example 7.7 e sg ( s) ( ) ( ) lim lim G ( s) G () s D( ) lim D( s) s0 G s G s s0 s0 Chapter 7. Steady-State Errors 7/9

18 7.6 Steady-State Error for Nonunity Feedback Systems Figure 7.5 Forming an equivalent unity feedback system from a general nonunity feedback system Chapter 7. Steady-State Errors 8/9

19 Example 7.8 Find the system type and steady state errors Sol) Figure 7.6 Nonunity feedback control system G () s e G( s) 00( s 5) 3 G( s) H( s) G( s) s 5s 50s p lim Ge( s) s e( ) 4 5/ 4 p Chapter 7. Steady-State Errors 9/9

20 ( 제어시스템설계 3 장참조 ) 특성방정식과안정도 Lyapunov 방법 : 선형및비선형시스템에대한안정도조사 선형시스템의경우 : 특성방정식의근을직접조사하거나특성방정식의근중에서양의실수부를갖는근이존재하는지를판정하는방법을이용하여시스템의안정도를조사하고있다. 시스템의안정도를판별하기위하여전달함수 G(s) 를고려 y( s) N( s) ( s z) ( s zm) Gs () r( s) D( s) ( s p ) ( s p ) 단위스텝입력 (r(s) = /s) 을가했을때의출력 y(s) 를생각하기로한다 ( s z ) ( s z ) m y( s) G( s) r( s), pi i j i s( s p) ( s pn) 또한, 식 (3.8) 을다음과같이부분분수로전개한다 ys c c c c 0 n () s s p s p s p n n (3.80) (3.8) (3.8) Chapter 7. Steady-State Errors 0/9

21 위식을역 Laplace 변환하면출력 y(t) 는다음과같다. y t c0 ce ce cne us t pt pt n ( ) pt ( ) 여기서, u () s t 는단위스텝함수이다. (3.83) 그림 3.3 σ 값에따른과도응답 시스템의안정도 : 특성방정식 D(s) 의근의상태에따라결정 n D( s) a s a s a s a 0 n n n 0 시스템이안정특성방정식의근의실수부가모두음수. (3.84) Chapter 7. Steady-State Errors /9

22 ( 제어시스템설계 3 장참조 ) 3.8 MATLAB 을이용한제어시스템성능및안정도평가 MATLAB과 SIMULIN를이용하여시스템의성능및안정도를평가하고또한시스템의응답특성을컴퓨터시뮬레이션하는방법 [ 예제 3.9] 다음과같은전달함수 G(s) 로표현되는시스템의안정도와시간역성능을평가하기로한다. 이시스템은 Gs () s 5 s0 p, j3 실수부가음수이므로시스템은안정하다. 에극점이있다. 시스템의모든극점의 Chapter 7. Steady-State Errors /9

23 num = [5]; den = [ 0]; pole = roots(den) pole = i i MATLAB 프로그램 3. step(num, den) grid xlabel('time(sec)') ylabel('output') title('unit step response of G(s)=5/s^+s+0') 그림 3.4 Gs () s 5 s0 의 단위스텝응답 Chapter 7. Steady-State Errors 3/9

24 MATLAB 프로그램 3. 는시스템의 시간역성능을나타내는시간응답에 관한지수인최대값시간 ( t p ), 퍼센트오버슈트 ( P.O ), 상승시간 ( t r ), % 정착시간 ( t s ), 정상상태오차 ( e ss ) 를 구하는프로그램이다. MATLAB 프로그램 3. num = [5]; den = [ 0]; % Fv is finalvalue Fv = polyval(num, 0) / polyval(den, 0); [y, x, t] = step(num, den); [Y, k] = max(y); % Tp is peak time Tp = t(k); % PO is percent-overshoot PO = 00*(Y-Fv)/Fv; % compute rising time n= ; while y(n)<fv, n=n+; end % Tr is rising time Tr = t(n); % compute settling time l = length(t); while (y( ㅣ ) > 0.98 * Fv) & (y( ㅣ ) <.0 * Fv) l = l- end % Ts is settling time Ts = t(l); Ess = -Fv [Tp PO Tr Ts Ess] ans = Chapter 7. Steady-State Errors 4/9

25 [ 예제 3.] 그림 3.6 은 /4- 차량시스템모델의개략도이다. /4- 차량시스템모델은자동차의네바퀴중한바퀴에대한모델이다. 시스템파라미터들의값은다음과같다. m m k k s u s t : ( 현가장치위의질량 ) 40( kg) : ( 현가장치아래의질량) 36( kg) : ( 코일스프링상수) 6,000( N / m) : ( 타이어탄성의스프링상수) 60,000( N / m) c : ( 현가장치의감쇠계수) 500( N sec/ m) 그림 3.6 /4- 차량시스템의모델의개략도 Chapter 7. Steady-State Errors 5/9

26 Newton 의제 법칙을이용하여 /4- 차량시스템의운동방정식을유도 m z c( z z ) k ( z z ) 0 s s s u s s u m z c( z z ) k ( z z ) k ( z z ) 0 u u u s s u s t u r 이시스템은 4 차시스템이므로다음과같이상태변수를선정 x z z ( 현가장치의변위), x z x z z ( 타이어의상대변위), x z s u s 3 u r 4 u 이때, /4- 차량시스템의상태방정식은다음과같이유도된다. x x x4 c ks x ( x x4) x ms ms x3 x4 zr c k k x ( x x ) x x s t ms mu mu Chapter 7. Steady-State Errors 6/9

27 혹은, X AX Bu 여기서, A ks / ms c / ms 0 c / m s 0 B ks / mu c / mu kt / mu c / mu 0 그리고, u z r 이다. 시스템행렬 A에주어진시스템파라미터들의값을대입하면, A Chapter 7. Steady-State Errors 7/9

28 MATLAB 프로그램 3.4 A = [0 0 -; ; ; ]; B = [0 ; 0; -; 0]; C = [ 0 0 0]; D = [0]; [num, den] = sstf(a, B, C, D) num =.0e+003 * den =.0e+005 * t = (0 : 0.0 : 5); impulse(num, den, t) grid ylabel( 'output (m)' ) title ('impulse response of suspension system') 그림 3.7 MATLAB 을이용한 /4- 차량시스템의임펄스응답선도 Chapter 7. Steady-State Errors 8/9

29 4 th edition Home Work #5 (Due date: one weeks from today). Solve Problem () on page 357(405) in the text book.. Solve Problem () on page 358(407) in the text book. 3. Solve Problems 30(9) on page 360(40) in the text book. 4. Solve Problem 45(4) on page 36(44) in the text book. Chapter 7. Steady-State Errors 9/9

PowerPoint Presentation

PowerPoint Presentation 기계항공시스템해석 MATLAB 실습 - 시스템구성및시간 / 주파수응답그래프 - 박사과정서종상 azuresky@snuackr Tel:0-880-194 301-113 Vehicle Dynamics & Control Laboratory Set Transfer Function and State Space 1) tf Transfer Function From = tf(numerator,

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

PowerPoint Presentation

PowerPoint Presentation 시간영역에서의시스템해석 5.. 개요 대상시스템의특성은일정한입력이시스템에가해질경우, 시스템이어떻게응답하는가를통해서파악할수있다. ) 시간응답 (ime repoe) 특성을살펴보기위해자주사용되는기준입력에는단위계단입력, 임펄스입력, 경사입력, 사인입력등이있는데, 대부분경우에단위계단신호를사용한다. 단위계단응답 (ui ep repoe) 을알면나머지임펄스응답과경사응답을유추할수있기때문이다.

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

fig_01_01

fig_01_01 Farid Golnaraghi Simon Fraser University Vancouver, Canada ISBN-13: 978-1259643835 ISBN-10: 1259643832 1 2 INTRODUCTION In order to find the time response of a control system, we first need to model the

More information

슬라이드 1

슬라이드 1 Chapter 8. Root Locus Techique Thigs to kow The defiitio of root locus How to sketch the root locus How to use the root locus to fid the poles of a closed-loop syste How to use the root locus to desig

More information

PowerPoint Presentation

PowerPoint Presentation 상태공간설계법 상태변수형의미분방정식 [] 선형의경우, x Ax y Cx B D A: nⅹn 시스템행렬 B: nⅹ 입력행렬 C: ⅹn 출력행렬 D: 직접전달항 SSTF [4] x Ax B X AX BU y Cx D I AX BU X I A BU Y Y CX DU DU C I A C I A BU B DU G Y U C I A B D SSTF [4] SSTF [4]

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

Chapter4.hwp

Chapter4.hwp Ch. 4. Spectral Density & Correlation 4.1 Energy Spectral Density 4.2 Power Spectral Density 4.3 Time-Averaged Noise Representation 4.4 Correlation Functions 4.5 Properties of Correlation Functions 4.6

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

슬라이드 1

슬라이드 1 Chapter 3. Sampling and The -Transform Digital filter 의설계와해석은 -transform을이용 용이해짐 -transform : 연속된수의형태로나타내어구하는방법 2 continuous signal 은 sample 하여 Laplace Transform을취한후 -transform을구하는방법. n m 일반적으로이용. y( k)

More information

Microsoft PowerPoint - 7-Work and Energy.ppt

Microsoft PowerPoint - 7-Work and Energy.ppt Chapter 7. Work and Energy 일과운동에너지 One of the most important concepts in physics Alternative approach to mechanics Many applications beyond mechanics Thermodynamics (movement of heat) Quantum mechanics...

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

REVERSIBLE MOTOR 표지.gul

REVERSIBLE MOTOR 표지.gul REVERSIBLE MOTOR NEW H-SERIES REVERSIBLE MOTOR H-EX Series LEAD WIRE w RH 1PHASE 4 POLE PERFORMANCE DATA (DUTY : Min.) MOTOR OUTPUT VOLTAGE (V) FREQUENCY (Hz) INPUT CURRENT (ma) RATING SPEED (rpm) STARTING

More information

@ p a g e c o n te n tt y p e = " te x t/ h tm l;c h a rs e t= u tf- 8 " fo r (in t i= 0 ; i< = 1 0 ; i+ + ) { o u t.p rin tln (" H e llo W o rld " + i + " < b r/> " ); = re s u lt + re s u lts u m ()

More information

*º¹ÁöÁöµµµµÅ¥-¸Ô2Ä)

*º¹ÁöÁöµµµµÅ¥-¸Ô2Ä) 01 103 109 112 117 119 123 142 146 183 103 Guide Book 104 105 Guide Book 106 107 Guide Book 108 02 109 Guide Book 110 111 Guide Book 112 03 113 Guide Book 114 115 Guide Book 116 04 117 Guide Book 118 05

More information

장연립방정식을풀기위한반복법 12.1 선형시스템 : Gauss-Seidel 12.2 비선형시스템 12.1 선형시스템 : Gauss-Seidel (1/10) 반복법은초기근을가정한후에더좋은근의값을추정하는체계적인절차를이용한다. G-S 방법은선형대수방정

장연립방정식을풀기위한반복법 12.1 선형시스템 : Gauss-Seidel 12.2 비선형시스템 12.1 선형시스템 : Gauss-Seidel (1/10) 반복법은초기근을가정한후에더좋은근의값을추정하는체계적인절차를이용한다. G-S 방법은선형대수방정 . 선형시스템 : GussSedel. 비선형시스템. 선형시스템 : GussSedel (/0) 반복법은초기근을가정한후에더좋은근의값을추정하는체계적인절차를이용한다. GS 방법은선형대수방정식을푸는반복법중에서 가장보편적으로사용되는방법이다. 개의방정식에서 인 ( 대각원소들이모두 0 이아닌 ) 경우를다루자. j j b j j b j j 여기서 j b j j j 현재반복단계

More information

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770>

<313120C0AFC0FCC0DA5FBECBB0EDB8AEC1F2C0BB5FC0CCBFEBC7D15FB1E8C0BAC5C25FBCF6C1A42E687770> 한국지능시스템학회 논문지 2010, Vol. 20, No. 3, pp. 375-379 유전자 알고리즘을 이용한 강인한 Support vector machine 설계 Design of Robust Support Vector Machine Using Genetic Algorithm 이희성 홍성준 이병윤 김은태 * Heesung Lee, Sungjun Hong,

More information

untitled

untitled Mathematics 4 Statistics / 6. 89 Chapter 6 ( ), ( /) (Euclid geometry ( ), (( + )* /).? Archimedes,... (standard normal distriution, Gaussian distriution) X (..) (a, ). = ep{ } π σ a 6. f ( F ( = F( f

More information

INDUCTION MOTOR 표지.gul

INDUCTION MOTOR 표지.gul INDUCTION MOTOR NEW HSERIES INDUCTION MOTOR HEX Series LEAD WIRE TYPE w IH 1PHASE 4 POLE PERFORMANCE DATA (DUTY : CONTINUOUS) MOTOR TYPE IHPF10 IHPF11 IHPF IHPF22 IHPFN1U IHPFN2C OUTPUT 4 VOLTAGE

More information

methods.hwp

methods.hwp 1. 교과목 개요 심리학 연구에 기저하는 기본 원리들을 이해하고, 다양한 심리학 연구설계(실험 및 비실험 설계)를 학습하여, 독립된 연구자로서의 기본적인 연구 설계 및 통계 분석능력을 함양한다. 2. 강의 목표 심리학 연구자로서 갖추어야 할 기본적인 지식들을 익힘을 목적으로 한다. 3. 강의 방법 강의, 토론, 조별 발표 4. 평가방법 중간고사 35%, 기말고사

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

슬라이드 1

슬라이드 1 장연립방정식을 풀기위한반복법. 선형시스템 : Guss-Sedel. 비선형시스템 . 선형시스템 : Guss-Sedel (/0) 반복법은초기근을가정한후에더좋은근의값을추정하는체계적인절차를이용한다. G-S 방법은선형대수방정식을푸는반복법중에서 가장보편적으로사용되는방법이다. 개의방정식에서 인 ( 대각원소들이모두 0 이아닌 ) 경우를다루자. j j b j b j j j

More information

¾Ë·¹¸£±âÁöħ¼�1-ÃÖÁ¾

¾Ë·¹¸£±âÁöħ¼�1-ÃÖÁ¾ Chapter 1 Chapter 1 Chapter 1 Chapter 2 Chapter 2 Chapter 2 Chapter 2 Chapter 2 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 4 Chapter 4

More information

01....b74........62

01....b74........62 4 5 CHAPTER 1 CHAPTER 2 CHAPTER 3 6 CHAPTER 4 CHAPTER 5 CHAPTER 6 7 1 CHAPTER 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50

More information

(291)본문7

(291)본문7 2 Chapter 46 47 Chapter 2. 48 49 Chapter 2. 50 51 Chapter 2. 52 53 54 55 Chapter 2. 56 57 Chapter 2. 58 59 Chapter 2. 60 61 62 63 Chapter 2. 64 65 Chapter 2. 66 67 Chapter 2. 68 69 Chapter 2. 70 71 Chapter

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

hapter_ i i 8 // // 8 8 J i 9K i? 9 i > A i A i 8 8 KW i i i W hapter_ a x y x y x y a /()/()=[W] b a b // // // x x L A r L A A L L A G // // // // /

hapter_ i i 8 // // 8 8 J i 9K i? 9 i > A i A i 8 8 KW i i i W hapter_ a x y x y x y a /()/()=[W] b a b // // // x x L A r L A A L L A G // // // // / A p p e n d i x Notation hapter_ i i 8 // // 8 8 J i 9K i? 9 i > A i A i 8 8 KW i i i W hapter_ a x y x y x y a /()/()=[W] b a b // // // x x L A r L A A L L A G // // // // // // // 8 b hapter_ hapter_

More information

MATLAB and Numerical Analysis

MATLAB and Numerical Analysis School of Mechanical Engineering Pusan National University dongwoonkim@pusan.ac.kr Review 무명함수 >> fun = @(x,y) x^2 + y^2; % ff xx, yy = xx 2 + yy 2 >> fun(3,4) >> ans = 25 시작 x=x+1 If문 >> if a == b >>

More information

Microsoft PowerPoint - m05_Equation1(Print) [호환 모드]

Microsoft PowerPoint - m05_Equation1(Print) [호환 모드] Chap. 5 비선형방정식의해법 (1) - 구간법 CAE 기본개념소개 비선형방정식의개요 증분탐색법 이분법 가위치법 1 Chap.5 비선형방정식 (1) 비선형방정식 (Nonlinear Equation) 선형방정식 : Ax = b 해석적인방법으로방정식을만족하는해의계산이용이함한번의계산으로해를구할수있음 x = A -1 b (Direct calculation) Example:

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A 예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = 1 2 3 4 5 6 7 8 9 B = 8 7 6 5 4 3 2 1 0 >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = 0 0 0 0 1 1 1 1 1 >> tf = (A==B) % A 의원소와 B 의원소가똑같은경우를찾을때 tf = 0 0 0 0 0 0 0 0 0 >> tf

More information

0.1-6

0.1-6 HP-19037 1 EMP400 2 3 POWER EMP400 4 5 6 7 ALARM CN2 8 9 CN3 CN1 10 24V DC CN4 TB1 11 12 Copyright ORIENTAL MOTOR CO., LTD. 2001 2 1 2 3 4 5 1.1...1-2 1.2... 1-2 2.1... 2-2 2.2... 2-4 3.1... 3-2 3.2...

More information

歯MW-1000AP_Manual_Kor_HJS.PDF

歯MW-1000AP_Manual_Kor_HJS.PDF Page 2 Page 3 Page 4 Page 5 Page 6 Page 7 Page 8 Page 9 Page 10 Page 11 Page 12 Page 13 Page 14 Page 15 Page 16 Page 17 Page 18 Page 19 Page 20 Page 21 Page 22 Page 23 Page 24 Page 25 Page 26 Page 27 Page

More information

2002년 2학기 자료구조

2002년 2학기 자료구조 자료구조 (Data Structures) Chapter 1 Basic Concepts Overview : Data (1) Data vs Information (2) Data Linear list( 선형리스트 ) - Sequential list : - Linked list : Nonlinear list( 비선형리스트 ) - Tree : - Graph : (3)

More information

DocsPin_Korean.pages

DocsPin_Korean.pages Unity Localize Script Service, Page 1 Unity Localize Script Service Introduction Application Game. Unity. Google Drive Unity.. Application Game. -? ( ) -? -?.. 준비사항 Google Drive. Google Drive.,.. - Google

More information

Kuo의자동제어10e_10v01

Kuo의자동제어10e_10v01 자동제어 (Automatic Control) 10 장주파수영역분석 교재 : Automatic Control Systems 서론 설계문제에있어서최대오버슈트와상승시간, 지연시간, 정정시간등의시간영역사양을만족시키는통일된설계방법은없음 주파수영역에서는저차의시스템에만국한되지않게이용할수있는도식적인방법이풍부함 주파수영역의특성을기초로시간영역의성능을예측할수있는것과같이주파수영역과시간영역성능의상관관계를이해하는것이중요

More information

% Rectangular Value 입력 t = -50 : 1 : 50; % 시간영역 for i = 1 : 101 if abs ( t ( i ) ) < 10 x ( i ) = 1; else x ( i ) = 0; % 화면을 2 열 1 행으로나눈후 % 2 열 1 행에 R

% Rectangular Value 입력 t = -50 : 1 : 50; % 시간영역 for i = 1 : 101 if abs ( t ( i ) ) < 10 x ( i ) = 1; else x ( i ) = 0; % 화면을 2 열 1 행으로나눈후 % 2 열 1 행에 R % sin 그래프계산및출력 t = -50 : 1 : 50; T = 10; f = 1/T; Nsin = sin ( ( 2 * pi * f * t ) ) % 시간영역 % 주기 % 주파수 % sin(2πft) % F(sin) 계산 Fsin = fftshift ( fft ( Nsin ) ); % 화면을 2 열 1 행으로나눈후 % 2 열 1 행에 Sin 그래프출력 subplot

More information

(Microsoft PowerPoint - Ch6_NumAnalysis.ppt [\310\243\310\257 \270\360\265\345])

(Microsoft PowerPoint - Ch6_NumAnalysis.ppt [\310\243\310\257 \270\360\265\345]) 수치해석 Numercal Analyss 6009 Ch6. Roots: Open Methods 개방법 : 한개의초기값에서시작하거나구간내에근을포함하지않을수도있는두개의초기값에서시작한다. 구간법과개방법의비교 (a 구간법 ( 이분법 (b 개방법 발산하는경우 (c 개방법-수렴하는경우 Numercal Analyss 6. 단순고정점반복법 (/3 f ( = 0 을재배열하여유도

More information

2힉년미술

2힉년미술 제 회 Final Test 문항 수 배점 시간 개 00 점 분 다음 밑줄 친 부분의 금속 공예 가공 기법이 바르게 연결된 것은? 금, 은, 동, 알루미늄 등의 금속을 ᄀ불에 녹여 틀에 붓거나 금속판을 ᄂ구부리거나 망치로 ᄃ두들겨서 여러 가지 형태의 쓸모 있는 물건을 만들 수 있다. ᄀ ᄂ ᄃ ᄀ ᄂ ᄃ 조금 단금 주금 주금 판금 단금 단금 판금 주금 판금 단금

More information

슬라이드 1

슬라이드 1 3.7 The Inverse -transfor f ( ) Z F( ) long dvson 2 expanson n partal dvson 3 resdue ethod 3.7. Long-Dvson Method B () F( ) B( ) 를 A( ) A () 로나누어 의 negatve power seres 로표현해계수를구함 Regon of Convergence(ROC)

More information

PJTROHMPCJPS.hwp

PJTROHMPCJPS.hwp 제 출 문 농림수산식품부장관 귀하 본 보고서를 트위스트 휠 방식 폐비닐 수거기 개발 과제의 최종보고서로 제출 합니다. 2008년 4월 24일 주관연구기관명: 경 북 대 학 교 총괄연구책임자: 김 태 욱 연 구 원: 조 창 래 연 구 원: 배 석 경 연 구 원: 김 승 현 연 구 원: 신 동 호 연 구 원: 유 기 형 위탁연구기관명: 삼 생 공 업 위탁연구책임자:

More information

5312 2015년 9월 15일 입 안 자 소관 실 과 재무담당관 실 과장 직위 성명 담당 팀장 직위 성명 담당자 성명 전화 재무담당관 오 문 순 관재담당사무관 김 승 호 지방교육행정주사 조윤주(249-0421) 현 행 개 정 안 제6 조( 공유재산심의회의 구성 ) 1 공유재산 및 물품관리법 ( 이하 " 법" 이라 한다) 제16 조에 따라 본청과 제1관서에

More information

STATICS Page: 7-1 Tel: (02) Fax: (02) Instructor: Nam-Hoi, Park Date: / / Ch.7 트러스 (Truss) * 트러스의분류 트러스 ( 차원 ): 1. 평면트러스 (planar tru

STATICS Page: 7-1 Tel: (02) Fax: (02) Instructor: Nam-Hoi, Park Date: / / Ch.7 트러스 (Truss) * 트러스의분류 트러스 ( 차원 ): 1. 평면트러스 (planar tru STATICS Page: 7-1 Instructor: Nam-Hoi, Park Date: / / Ch.7 트러스 (Truss) * 트러스의분류 트러스 ( 차원 ): 1. 평면트러스 (planar truss) - 2 차원 2. 공간트러스 or 입체트러스 (space truss)-3 차원트러스 ( 형태 ): 1. 단순트러스 (simple truss) 삼각형형태의트러스

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

歯삼성SDI개요

歯삼성SDI개요 The problem statement Air LOSS. LOSS. The problem statement The problem statement The Goal statement Base Line 1,864 / Goal 1,677 / Entitlement 1,584 / 2001 LOSS 10% Define of Physical Output Defining

More information

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M.

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. 오늘할것 5 6 HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. Review: 5-2 7 7 17 5 4 3 4 OR 0 2 1 2 ~20 ~40 ~60 ~80 ~100 M 언어 e ::= const constant

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

°ø±â¾Ð±â±â

°ø±â¾Ð±â±â 20, 30, 40 20, 30, 40 1 2 3 4 5 6 7 8 9 10 3.1 6.3 9.4 12.6 15.7 18.8 22.0 25.1 28.3 31.4 2.4 4.7 7.1 9.4 11.8 14.1 16.5 18.8 21.2 23.6 7.1 14.1 21.2 28.3 35.3 42.4 49.5 56.5 63.6 70.7 5.9 11.9 17.8 23.7

More information

Ver. DS-2012.T3.DWS.STR-1.0 System Test Report for Digital Watch System Test Cases Specification Test Summary Report Project Team 이동아 Latest update on

Ver. DS-2012.T3.DWS.STR-1.0 System Test Report for Digital Watch System Test Cases Specification Test Summary Report Project Team 이동아 Latest update on System Test Report for Digital Watch System Test Cases Specification Test Summary Report roject Team 이동아 Latest update on: 2012-10-26 Team Information 이동아 : dalee.dslab@gmail.com Dong-Ah Lee 1 Table of

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

산선생의 집입니다. 환영해요

산선생의 집입니다. 환영해요 Biped Walking Robot Biped Walking Robot Simulation Program Down(Visual Studio 6.0 ) ). Version.,. Biped Walking Robot - Project Degree of Freedom : 12(,,, 12) :,, : Link. Kinematics. 1. Z (~ Diablo Set

More information

DIY 챗봇 - LangCon

DIY 챗봇 - LangCon without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external

More information

CPX-E-SYS_BES_C_ _ k1

CPX-E-SYS_BES_C_ _ k1 CPX-E 8727 27-7 [875294] CPX-E-SYS-KO CODESYS, PI PROFIBUS PROFINET (). :, 2 Festo CPX-E-SYS-KO 27-7 ... 5.... 5.2... 5.3... 5.4... 5.5... 5 2... 6 2.... 6 2..... 6 2..2 CPX-E... 7 2..3 CPX-E... 9 2..4...

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

폐비닐수거기-김태욱.hwp

폐비닐수거기-김태욱.hwp , 11, 1, pp. 113-118 (2012. 2) Journal of the Korean Society of Manufacturing Process Engineers, Vol. 11, No. 1, pp. 113-118 (2012. 2) Twist Wheel 방식폐비닐수거기개발에관한연구 (Ⅰ) - 자주형비닐수거기의개발 - 하유신 *, 박규식 *, 김진현

More information

歯PLSQL10.PDF

歯PLSQL10.PDF 10 - SQL*Pl u s Pl / SQL - SQL*P lus 10-1 1 0.1 PL/ SQL SQL*Pl u s. SQL*P lus 10-2 1 0.2 S QL* Pl u s PL/ S QL SQL*Pl u s, Pl / SQL. - PL/ SQL (i npu t ), (s t or e ), (r un). - PL/ SQL s cr i pt,,. -

More information

NERO_M128_V10.opj

NERO_M128_V10.opj SW 0 R 0R ISP Port REF 0.uF PE P R 0K 0.uF R 0R 0.uF JP HEER/X 0.uF X pf PF PF PF PF PF PF PF REF TK TMS TO TI PE PE PE PE PE PE PE P0 P P P P P P P Y MHz X pf JTG Port IR_FREQ IR_OUT 0 0 0 TK TO TMS TI

More information

DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They

DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They DC Link Capacitor DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They are Metallized polypropylene (SH-type)

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 26(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 26(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Dec.; 26(12), 1100 1107. http://dx.doi.org/10.5515/kjkiees.2015.26.12.1100 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

歯전기전자공학개론

歯전기전자공학개론 Part I 1Chapter 2 Introduction V E amperes a m p s Example, SELF-TEST R, (electron) 4,,, ( ) [ j o u l s / s e c ] 1-1, 107 (element) (atom), 107,,, 1-1 - 1, (particle) 1 10 12, (white fuzzy ball) 1913

More information

USER GUIDE

USER GUIDE Solution Package Volume II DATABASE MIGRATION 2010. 1. 9. U.Tu System 1 U.Tu System SeeMAGMA SYSTEM 차 례 1. INPUT & OUTPUT DATABASE LAYOUT...2 2. IPO 중 VB DATA DEFINE 자동작성...4 3. DATABASE UNLOAD...6 4.

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

SSi Super Systems Inc 1 2 2 2 3-3 4 / 4 5 5 DP2000 11 RETURNING THE UNIT TO SSI 11 SPARE PARTS 12 APPENDIX A 13 APPENDIX B 14 Super System Inc DP2000

SSi Super Systems Inc 1 2 2 2 3-3 4 / 4 5 5 DP2000 11 RETURNING THE UNIT TO SSI 11 SPARE PARTS 12 APPENDIX A 13 APPENDIX B 14 Super System Inc DP2000 SSi Super Systems Inc Model DP 2000 : ( ) / / : -50 to +80 F (-47 to +27 C) : 0 to 120 F (-18 to +49 C) : 115/240 VAC 60Hz : LED Digital : +/- 1 F (+/- 01 C) : 4 20 ma ( ) : 12 V : 8 ( ): 11 x 10 x 7 :

More information

<C1DF3320BCF6BEF7B0E8C8B9BCAD2E687770>

<C1DF3320BCF6BEF7B0E8C8B9BCAD2E687770> 2012학년도 2학기 중등과정 3학년 국어 수업 계획서 담당교사 - 봄봄 현영미 / 시온 송명근 1. 학습 목적 말씀으로 천지를 창조하신 하나님이 당신의 형상대로 지음 받은 우리에게 언어를 주셨고, 그 말씀의 능 력이 우리의 언어생활에도 나타남을 깨닫고, 그 능력을 기억하여 표현하고 이해함으로 아름다운 언어생활 을 누릴 뿐만 아니라 언어문화 창조에 이바지함으로써

More information

C 언어 프로그래밊 과제 풀이

C 언어 프로그래밊 과제 풀이 과제풀이 (1) 홀수 / 짝수판정 (1) /* 20094123 홍길동 20100324 */ /* even_or_odd.c */ /* 정수를입력받아홀수인지짝수인지판정하는프로그램 */ int number; printf(" 정수를입력하시오 => "); scanf("%d", &number); 확인 주석문 가필요한이유 printf 와 scanf 쌍

More information

별표 3 에스컬레이터 해설서 (rev ).hwp

별표 3 에스컬레이터 해설서 (rev ).hwp [ 별표 3 ] 에스컬레이터및무빙워크 1. 3( ) ㆍ ㆍ,. 2. (KS) (ISO, IEC, EN...). 3.. 4. SI. < 1, > - 1 - 5. 5.1 /., (KS B ISO 12100-2), ISO 12100-2 5.2 ( ) 5.2.1 / / / ( )., (5.2.1.5 ).(5.2.1.1). 25 cm2 250 N,., ( ) 2.(5.2.1.2)

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

경제관련 주요 법률 제,개정의 쟁점 분석.doc

경제관련 주요 법률 제,개정의 쟁점 분석.doc 2004.5.7 3.,. [ ] i - - - - - i i 2008 (30% - 15%) i 5% i ( ) ( ) 2 i i ( 50%, 30%) i 3,,,,.,, M&A..,,.,. 2004.8 22, 9, 12 .,,. (1) 4,. [ ], (,, ) 5 3-20%p 5, 18 309 2005.4 10 250 3 61. 2004.8 18,, 7 (KT,,,,,,

More information

untitled

untitled Six Sigma - - Grouping Brainstorming : Observ. 8 - - 22 27 32 37 5 5 Capability -27.7552 63.7552 22 Capability 3.SL=69.82 X=29.73-3.SL=-.35 3.SL=49.24 R=5.7-2.29 7.7578-3.SL=.E+ 22 Data Source: Time Span:

More information

(2) : :, α. α (3)., (3). α α (4) (4). (3). (1) (2) Antoine. (5) (6) 80, α =181.08kPa, =47.38kPa.. Figure 1.

(2) : :, α. α (3)., (3). α α (4) (4). (3). (1) (2) Antoine. (5) (6) 80, α =181.08kPa, =47.38kPa.. Figure 1. Continuous Distillation Column Design Jungho Cho Department of chemical engineering, Dongyang university 1. ( ).... 2. McCabe-Thiele Method K-value. (1) : :, K-value. (2) : :, α. α (3)., (3). α α (4) (4).

More information

step-2-1

step-2-1 Written by Dr. In Ku Kim-Marshall STEP BY STEP Korean 2 through 15 Action Verbs Table of Contents Unit 1 Review Exercises 01~05 Unit 2 Review Exercises 06~10 STEP BY STEP KOREAN(2) with 15 Verbs Unit 3

More information

fx-82EX_fx-85EX_fx-350EX

fx-82EX_fx-85EX_fx-350EX KO fx-82ex fx-85ex fx-350ex http://edu.casio.com RJA532550-001V01 ...2... 2... 2... 3... 4...5...5...6... 8... 9...10... 10... 11... 13... 16...17...17... 17... 18... 20 CASIO Computer Co., Ltd.,,, CASIO

More information

slide2

slide2 Program P ::= CL CommandList CL ::= C C ; CL Command C ::= L = E while E : CL end print L Expression E ::= N ( E + E ) L &L LefthandSide L ::= I *L Variable I ::= Numeral N ::=

More information

A sudy on realizaion of speech and speaker recogniion sysem based on feedback of recogniion value

A sudy on realizaion of speech and speaker recogniion sysem based on feedback of recogniion value Maser s Thesis A sudy on realizaion of speech and speaker recogniion sysem based on feedback of recogniion value (Kim, Hyun Goo) Deparmen of Mechanical Engineering, Division of Mechanical Engineering Korea

More information

FGB-P 학번수학과권혁준 2008 년 5 월 19 일 Lemma 1 p 를 C([0, 1]) 에속하는음수가되지않는함수라하자. 이때 y C 2 (0, 1) C([0, 1]) 가미분방정식 y (t) + p(t)y(t) = 0, t (0, 1), y(0)

FGB-P 학번수학과권혁준 2008 년 5 월 19 일 Lemma 1 p 를 C([0, 1]) 에속하는음수가되지않는함수라하자. 이때 y C 2 (0, 1) C([0, 1]) 가미분방정식 y (t) + p(t)y(t) = 0, t (0, 1), y(0) FGB-P8-3 8 학번수학과권혁준 8 년 5 월 9 일 Lemma p 를 C[, ] 에속하는음수가되지않는함수라하자. 이때 y C, C[, ] 가미분방정식 y t + ptyt, t,, y y 을만족하는해라고하면, y 는, 에서연속적인이계도함수를가지게확 장될수있다. Proof y 은 y 의도함수이므로미적분학의기본정리에의하여, y 은 y 의어떤원시 함수와적분상수의합으로표시될수있다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

- 2 -

- 2 - - 1 - - 2 - 전기자동차충전기기술기준 ( 안 ) - 3 - 1 3 1-1 3 1-2 (AC) 26 1-3 (DC) 31 2 37 3 40-4 - 1 14, 10,, 2 3. 1-1 1. (scope) 600 V (IEC 60038) 500 V. (EV : Electric Vehicle) (PHEV : Plug-in Hybrid EV).. 2. (normative

More information

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45 3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : 20049 0/45 Define ~ Analyze Define VOB KBI R 250 O 2 2.2% CBR Gas Dome 1290 CTQ KCI VOC Measure Process Data USL Target LSL Mean Sample N StDev (Within) StDev

More information

Vector Differential: 벡터 미분 Yonghee Lee October 17, 벡터미분의 표기 스칼라미분 벡터미분(Vector diffrential) 또는 행렬미분(Matrix differential)은 벡터와 행렬의 미분식에 대 한 표

Vector Differential: 벡터 미분 Yonghee Lee October 17, 벡터미분의 표기 스칼라미분 벡터미분(Vector diffrential) 또는 행렬미분(Matrix differential)은 벡터와 행렬의 미분식에 대 한 표 Vector Differential: 벡터 미분 Yonhee Lee October 7, 08 벡터미분의 표기 스칼라미분 벡터미분(Vector diffrential) 또는 행렬미분(Matrix differential)은 벡터와 행렬의 미분식에 대 한 표기법을 정의하는 방법이다 보통 스칼라(scalar)에 대한 미분은 일분수 함수 f : < < 또는 다변수 함수(function

More information

SIGPLwinterschool2012

SIGPLwinterschool2012 1994 1992 2001 2008 2002 Semantics Engineering with PLT Redex Matthias Felleisen, Robert Bruce Findler and Matthew Flatt 2009 Text David A. Schmidt EXPRESSION E ::= N ( E1 O E2 ) OPERATOR O ::=

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

6자료집최종(6.8))

6자료집최종(6.8)) Chapter 1 05 Chapter 2 51 Chapter 3 99 Chapter 4 151 Chapter 1 Chapter 6 7 Chapter 8 9 Chapter 10 11 Chapter 12 13 Chapter 14 15 Chapter 16 17 Chapter 18 Chapter 19 Chapter 20 21 Chapter 22 23 Chapter

More information

歯표지_통합_.PDF

歯표지_통합_.PDF LG GLOFA MASTER-K PID G3F-PIDA G4F-PIDA G3F-PIDA/G4F-PIDA PLC GLOFA GM3/4 CPU MASTER-K 200S/300S/1000S CPU!!! 2 ! PLC,,,,,! PCB,,, Off! 1 1-1 ~ 1-1 11 1-1 2 2-1 ~ 2-13 21 2-1 22 2-2 23 2-3 24 PID 2-4 241

More information

2.2, Wm -2 K -1 Wm -2 K -2 m 2 () m 2 m 2 ( ) m -1 s, Wm -2 K -1 Wsm -3 K -1, Wm -2 K -1 Wm -2 K -2 Jm -3 K -1 Wm -2 K -1 Jm -2 K -1 sm -1 Jkg -1 K -1

2.2, Wm -2 K -1 Wm -2 K -2 m 2 () m 2 m 2 ( ) m -1 s, Wm -2 K -1 Wsm -3 K -1, Wm -2 K -1 Wm -2 K -2 Jm -3 K -1 Wm -2 K -1 Jm -2 K -1 sm -1 Jkg -1 K -1 KS B ISO 9806-2 - 2 1. ( ) ( ),. 2. 2.1 (1) :, (2) :,. (3) :, CPC(Compound Parabolic Concentrator) (4) : (5). (6) () (7) :. (8) :. (9) () :,., CPC. (10) : 2.2, Wm -2 K -1 Wm -2 K -2 m 2 () m 2 m 2 (

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

Microsoft Word - 2012년 7월 Mid Small-cap_final_.doc

Microsoft Word - 2012년 7월 Mid Small-cap_final_.doc 212년 7월호 리서치센터 Mid Small-cap Corporate Day 후기 하반기 관심을 가져야 할 중소형 유망주 212. 6. 26 스몰캡분석 한화증권 Mid Small-cap Team은 5월 31일부터 6월 13일까지 17개 기업에 대해 Corporate Day 를 진행하였습니다. 이 기업들에 대한 IR 후기를 제시합니다. 최근 그리스 2차 총선에서

More information

Microsoft PowerPoint - 기계공학실험1-1MATLAB_개요2D.pptx

Microsoft PowerPoint - 기계공학실험1-1MATLAB_개요2D.pptx 1. MATLAB 개요와 활용 기계공학실험 I 2013년 2학기 MATLAB 시작하기 이장의내용 MATLAB의여러창(window)들의 특성과 목적 기술 스칼라의 산술연산 및 기본 수학함수의 사용. 스칼라 변수들(할당 연산자)의 정의 및 변수들의 사용 방법 스크립트(script) 파일에 대한 소개와 간단한 MATLAB 프로그램의 작성, 저장 및 실행 MATLAB의특징

More information

s3fn21x_ev_main_r00_110325_temp

s3fn21x_ev_main_r00_110325_temp VIO- VSSIO- P0./T0P/TOPWM/SSPMOSI0 P0./TLK/S0/SSPMISO0 P0./TP/TPWM/SSPFSS0 P0./TLK/SL/SSPLK P0./TP/TPWM/SSPMOSI P0./TLK/S/SSPMISO P0./TP/TPWM/SSPFSS 0 P0./TLK/UZ/USRTTX P0./TP/TPWM/USRTRX P0.0/TLK/ET0/USRTLK

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 5 2004. 3. . 5.. Input. Output . 5 2004 7,, 1,000 5,. 40 2004.7 2005.7 2006.7 2007.7 2008.7 2011. 1,000 300 100 50 20 20 ( ) 0.01% 0.08% 0.36% 0.96% 3.07% 100% ( ) 5.3%(10.7%) 12.2%(17.3%) 21.9%(26.4%)

More information