hwp

Size: px
Start display at page:

Download "hwp"

Transcription

1 유기박막태양전지기술 문상진 최근들어정보전자산업의급속한발전과함께차세대디스플레이로서유연성 (flexible) 디스플레이에대한관심이증대하고있다. 이차세대디스플레이는마음대로휘거나접을수있고가벼우며곡면으로제작이가능하여, 개인이휴대하거나차량으로손쉽게이동이가능하며주어진환경에서의설치나철거가용이하여디스플레이로서의영역을크게확장할것으로기대되고있다. 그러나이러한편리한디스플레이를만들기위해서는가볍고깨지지않으며값이싼재료들이사용되어야하는데이런목적에가장적합한재료가플라스틱과같은유기물재료이다. 유기물이면서도전기를잘통하는전도성고분자나반도체소자를구현할수있는유기반도체재료들이최근들어큰관심을끌고있는것도이런이유에서이다. 이런재료들은앞서의유연성디스플레이를위한유기발광소자와유기전계트랜지스터를위시하여, 유기반도체레이저, 유기박막태양전지등으로그활용성을크게확대해나가고있다. 유기발광소자가이미 PDP, LCD를이을차세대디스플레이로서실용화의길에접어들었다면, 유기박막태양전지는이제야그기술적가능성을확인하고실험실적으로한창연구가진행중에있다. 사실미래의구부러지는디스플레이도그에걸맞는전기에너지원이없다면그편이성이반으로경감될것이다. 기존의무기재료를활용하는태양전지가본격적인대체에너지원으로서화력발전을대체할태양광발전소에서그주된용도를찾는다면, 유기태양전지는건물의창이나벽, 발코니등에설치하여수려한외관을창출하며동시에전기를생산해내는소위 BIPV 용도에서크게쓰여질것이다. 물론장래에는유연성디스플레이에도가장궁합이맞는파트너가될것이며입는옷이나들고다니는가방을장식하면서사람의건강과일상을책임지는핵심에너지원역할을톡톡히할것이다 [ 그림 1]. 이같은유기박막태양전지는실험실적으로새롭게합성할 수있는다양한유기반도체재료를소량으로사용하므로기존무기계태양전지에비해소재비용의대폭적인절감이가능하다. 또한소자제작공정에있어서도유기물자체의손쉬운가공성으로인해스핀코팅, 스크린프린팅, 잉크젯, 미세접촉프린팅법등저가의박막및대면적소자제작방법을응용할수있고, 롤투롤공정에의한유연성소자의제작이가능하여값싼공정단가를실현할수있다. 이런의미에서그동안무기계실리콘재료에거의전적으로의지해와현재원료수급문제와경제성문제에봉착해있으며저가박막소자에대한필요성이커지고있는태양전지분야에서도장기적으로는값싸고다양한응용성을갖는유기박막태양전지의도입이하나의큰대안이될수있다. 사실유기박막태양전지는 1970년대부터그가능성이제시되어왔지만효율이너무낮아세인들의관심을끌지못하다 저자약력 문상진박사는 KAIST 화학공학과에서원유탈황에관한촉매연구로박사학위를취득하였다 (1988). 이후한국화학연구원에서 Si 태양전지소재, 염료감응형및유기박막태양전지, 물분해수소광촉매등광기술관련에너지소재연구를수행해오고있다. (moonsj@krict.re.kr) 그림 1. 유기박막태양전지의용도 ( 30

2 가, 1986년미국코닥사의 Tang 박사그룹이 CuPc와 perylene 이라는유기재료를이용하여 1% 에육박하는효율을발표하면서주목을받기시작하였다. [1] 그후에도다양한종류의유기반도체재료가추구되었지만뚜렷한성과를내지못하다가 2000년대에들면서, 80년대중반에개발되어노벨상까지가능케하며전자재료로의응용이연구되고있던풀러렌 (C 60) 을본태양전지에도입하면서괄목할만한발전을이루게되었다. C 60 나 C 60 의유도체에 CuPc와같은단분자, PPV, P3HT와같은고분자물질들을도입한다양한유기박막태양전지에서지속적인효율증가를보이면서현재단층구조에서 ~5%, 2층탠덤구조에서 6.5% 대의최고효율을보일정도로발전하였다. [2] 또한유기반도체의에너지준위와광전기적물성을잘조절하면단층구조기준 10% 이상의에너지전환효율도가능하다는최근의이론적인예측은유기박막태양전지에대한실용화가능성을밝게하고있다. [3] 실제로미국의 Konarka나 Plextronics 등의회사들이본유기박막태양전지의발전및실용화가능성에주목하여본격적인개발및실용화프로젝트를이미진행시키고있음은특히유의할만하다. 이하에서는이러한차세대신형태양전지로서의유기박막태양전지의기술적원리와종류, 현재의개발동향과문제점, 그리고발전방향에대해간략히살펴보고자한다. (a) Transparent substrate (b) + - IT Me Acceptor layer Donor layer + - IT Me 유기박막태양전지의기술적특성 D/A bulk jnct. 먼저유기박막태양전지의기본구조를살펴보면그림 2와같이금속 / 유기반도체 ( 광활성층 )/ 금속 ( MIM ) 구조로간단히표시할수있는데, 높은일함수를가진전도성투명전극 (IT) 을양극으로, 낮은일함수를가진 Al이나 Ca 등을음극물질로사용한다. 그리고광활성층은 100 nm 정도의두께를가진, 도너 ( 전자주게, D) 물질과억셉터 ( 전자받게, A) 물질의두층구조 (D/A bi-layer) 혹은복합박막 ((D+A) blend) 구조를이용하는데 ( 각각그림 2의 (a) 및 (b)), 경우에따라서는전자의두 D-A 층사이에후자의복합박막이끼어있는혼합구조 (D/(D+A)/A) 를이용하기도한다. 또한버퍼층으로양극과광활성층사이에정공이송층을, 음극과광활성층사이에는전자이송층을끼워넣기도한다. 한편, 광활성층으로사용되는유기반도체에는유기단분자와고분자가있는데, 유기단분자의경우는진공에서가열하여도너층과억셉터층을연속으로형성시키는방법을사용하고, 유기고분자의경우는도너와억셉터물질이함께녹아있는용액을스핀코팅법이나, 잉크젯법, 또는스크린프린팅법과같은용액공정을이용하여박막을형성시킨다. 이렇게만들어진유기박막태양전지에빛을쬐어주면, 주로 그림 2. 유기박막태양전지의기본구조 ; (a) bi-layer 구조, (b) blend 구조. 도너물질에서빛을흡수하여여기상태의에너지덩어리인전자- 정공쌍 ( 엑시톤 ) 을형성한다. 이엑시톤은임의방향으로확산하다가억셉터물질과의계면을만나면전자와정공으로분리된다. 즉, 전자친화도가큰억셉터물질은전자를급속히잡아당겨전하분리를유도하며, 도너층에남아있는정공은양쪽전극의일함수차이로형성된내부전기장과쌓여진전하의농도차에의해양극으로이동하고전자는역시억셉터층내부를따라음극으로이동하여수집된다. 수집된전하는최종적으로외부회로를통해전류의형태로흐르게된다. 이런일련의현상을광기전력효과 (photovoltaic effect) [1] C. W. Tang, C.W., Appl. Phys. Lett. 48, 183 (1986). [2] J. Y. Kim, S. H. Kim, H. -H. Lee, K. Lee, W. Ma, X. Gong, A. J. Heeger, Adv. Mater. 18, 572 (2006). [3] M. C. Scharber, D. Mühlbacher, M. Koppe, P. Denk, C. Wadauf, A. J. Heeger, C. J. Brabec, Adv. Mater. 18, 789 (2006). 31

3 라고하는데, 그림 3에기본적인두가지구조의유기박막태양전지에대한광활성층내부에서의빛흡수와전하분리현상을도식적으로표시하였다. 그림에서특히 (b) 의 blend 구조는 bulk-heterojunction (BHJ) 구조라고도부르는데, 도너물질과억셉터물질의영역크기를 ~10 nm 이내수준에서섞어놓아 bi-layer 구조에비해도너 / 억셉터계면의면적이수백배이상커지는효과를나타내게된다. 이는그만큼전하분리의가능성을더크게증진시키며또한미세광산란에의한광흡수효율을높이는역할을하기도한다. 이구조는기존무기계태양전지의 p-n접합구조와는뚜렷이구별되는유기박막태양전지만의독특한구조이다. [4] 앞에서도언급한대로유기박막태양전지의광활성층의두께는 ~100 nm 수준으로현재의모든태양전지중가장얇은구조인데이는본전지를 나노태양전지 라고도부르는이유가되고있다. 광활성층의두께가얇으면모든태양빛을다흡수할수가없어효율저하가예상되는데이를상쇄하기위해서는사용되는광활성물질의광흡수계수가그만큼커야한다. 다행히도여기에서사용되는일반적인유기재료들의광흡수계수는무기재료들에비해상당히커서 (~10 5 cm -1 ), 100 nm 정도의두께이면큰문제가없는것으로알려지고있다. 그러나이두께또한일반적인유기계도너물질내에서의엑시톤의확산거리 ~10 nm에비하면매우커유기박막태양전지의효율을제한하는근본적인요인이되고있는바, BHJ 구조는역시이한계를극복하는하나의좋은수단이되고있다. 태양전지의성능을결정하는세가지인자, 즉, 개방전압 (V oc), 단락전류 (I sc), 충실도 (FF) 중에서개방전압의형성은본유기박막태양전지에서아직가장큰논란의대상이되고있다. 특히무기계태양전지와는차별화되면서본유기박막태양전지의가장대표적인구조가되고있는 BHJ 구조에서는도너영역과억셉터영역이임의로섞여있어, 접합계면의구조와형태가불분명하며양쪽전극과의접촉도잘정의되어있지않기때문에개방전압으로대표되는광기전력의크기와형성메카니즘을이론적으로밝히는데어려움을겪고있다. 이에대해먼저, 앞서의 MIM 구조 의이론을바탕으로유기반도체층이진성반도체로서공핍층을형성하고있어 V oc 는두전극의비대칭적인일함수차이에의해결정이된다는사실이알려져있다. [5] 이사실은양극물질의버퍼층으로흔히사용하는 PEDT의도핑농도를조절하여일함수값을변화시킬때 V oc 가비례적으로변화하는예에서볼수있다. [6] 하지만가장전형적인전극물질인 IT와 Al의일함수차이가 0.5 ev 정도에불과해도실제유기태양전지의 V oc 는물질에따라 0.6 ~ 1 V의큰값을보여주고있기때문에, 요즘에는보다보완적인차원에서 V oc 가도너의 HM 준위와억셉터 (a) bi-layer 구조 (b) blend (bulk heterojunction) 구조 그림 3. 각기본구조에서의광흡수및전하분리현상. 의 LUM 준위차이에일차적으로영향을받으며, 전극물질의일함수차이와전자, 정공의준페르미준위등다양한요소들에의해결정이되는것으로이해되고있다. [7] 다음으로, 단락전류 (I sc) 값은다음과같은구체적인요소들에의해결정이된다. I sc ℇ A * ℇ ED * ℇ CT * ℇ CC 여기서ℇ A 는광흡수효율, ℇ ED 는엑시톤확산효율, ℇ CT 는전하이동효율, ℇ CC 는전하수집효율인바, 반도체재료자체와소자의광흡수능이우선적인요소가되며, 생성된엑시톤의수명과전하의이동성이, 그리고전극에서의전하수집효율이전체전류값을결정한다. 유기반도체의경우매우높은광흡수계수를가지고있으며전하분리효율역시 100% 에가까우므로, 광전류및효율을높이는데관건이되는것은엑시톤의확산과전하수송효율을높이는데있음을알수 [4] G. Yu, J. Gao, J. C. Hummelene, F. Wudl, A. J. Heeger, Science 270, 1789 (1995). [5] I. D. Parker, J. Appl. Phys. 75, 1656 (1994). [6] H. Frohne, S. E. Shaheen, C. J. Brabec, D. Muller, N. S. Sariciftci, and K. Meerholz, ChemPhysChem 3, 795 (2002). [7] H. Kim, S. -H. Jin, H. Suh, K. Lee, Proc. of SPIE 5215, 111 (2004). 32

4 (a) (b) Me N N C 60 PCBM perylene PTCBI 그림 4. 전형적인도너 (a) 및억셉터 (b) 물질. 있다. 현재본태양전지에서얻어지는최대 I sc 는 10 ma/ cm 2 을약간상회하는정도로서상용화된실리콘전지의 1/3 정도임을감안할때유기반도체재료의광전기적물성변화에의한개선의여지가크다고하겠다. 충실도 (FF) 는대체로광전류값의변화와유사한경향을나타내는데유기재료자체의높은저항값으로인해아직무기계보다낮은 0.5 ~ 0.6 정도의최대값을나타내고있으며소자의제작조건에많은의존성을가지고있어개선해야할점이많다. 이들값의종합적인결과로얻어지는총괄효율은단층구조에서최고 5.5% 수준에도달해있다. 그러나최근의이론적인계산에따르면도너의 HM/LUM 에너지준위를잘조절하여도너와억셉터사이에전하분리가일어날수있는최소한의에너지차이를유지하되도너의 band gap을 1.5 ev 정도이하로조절하여태양광의흡수를극대화시키면 10% 이상의효율도가능할것으로예측되고있다. [3] 도너및억셉터물질의종류현재유기박막태양전지의도너및억셉터로사용되고있는대표적인물질들을그림 4에나타내었다. 도너물질로는반도체고분자의경우, poly(para-phenylene vinylene) (PPV) 계열의물질과 polythiophene (PT) 의유도체들이주로연구되어왔는데, 최근에는 polyflourene (PF) 계물질및이들의공중합체들도저밴드갭도너물질로사용되고있다. 유기단분자물질의경우에는 CuPc, ZnPc 등프탈로시아닌계물질 이많이이용되고있다. 도너물질들은일차적으로광흡수파장범위가태양광스펙트럼과잘맞고매우강한광흡수도를가지고있어야하며, 전하의이동도등전기적물성이우수하여야한다. 억셉터물질로는, 그림 4(b) 의풀러렌 (C 60) 자체혹은 C 60 이유기용매에잘녹도록설계된 C 60 유도체 (PCBM) 등이사용되고있으며, 그외단분자로 perylene, PTCBI 등도사용되고있다. 이중에서 C 60 의유도체들은대체로반도체고분자와복합하여 BHJ 구조재로많이사용되고있으나, C 60 의경우진공증착법을이용하여 bi-layer 구조의소자에도자주쓰이고있다. 억셉터물질은광흡수기능보다는도너와비교하여전자친화도와전하이동도가특별히클것이주문되고있다. 해외기술개발동향유기박막태양전지를크게분류하면광활성층재료의종류에따라, 유기단분자를주로증착법에의해형성하는 bi-layer 구조와고분자재료를스핀코팅, 닥터블레이드, 잉크젯과같은다양한용액공정을통하여도입하는 BHJ 구조로대별할수있다. BHJ 구조는다시사용되는억셉터의종류에따라고분자 / 고분자, 고분자 /C 60 유도체, 고분자 / 무기물등으로나눌수있다. 최근에는기존에도너물질로주로사용하고있는 PPV계와 PT계고분자이외에신규의저밴드갭고분자를개발하려는노력들이활발히진행되고있는데, 이들분류된재료를바탕으로정리하면다음그림 5와같은경향성을볼수 33

5 있다. 2000년이전까진대부분단분자구조에서연구가이루어졌으나 1% 미만의낮은수준에머물러있다가, 2000년이후부터 C 60 및이의유도체 (PCBM 등 ) 도입과함께급속한성능향상을이루고있으며, 현재까지소자구조의최적화와더불어다양한신물질에대한연구가가속화되고있음을알수있다. 전체적으론 2000년초부터가장먼저단분자유기박막태양전지의효율혁신이이루어졌고, 뒤이어 PPV계와 PT계도너고분자에 PCBM을억셉터로적용한태양전지가, 가장최근에는신규저밴드갭고분자를적용한태양전지의개발로효율이급속히올라가고있는추세이다. 본절에서는이들사용재료별로현재진행되고있는기술동향을간략히살펴보고자한다. 단분자유기반도체재료를이용하는 bi-layer 구조는 1986년미국 Kodak사의 Tang 그룹에의한발표이후가장많이시도된구조이다. 2001년미국 Princeton대의 Forrest 교수팀이 CuPc와 C 60 의 bi-layer 구조에서 3.6% 의에너지전환효율을발표한것을기점으로현재까지도꾸준히성능증가가보고되고있다. [8] 특징적인점으로는고분자 BHJ 구조에서처럼도너와억셉터를동시에증착시킨혼합층을도너층과억셉터층사이에끼워넣어큰효과를보고있는것인데, 얇은혼합층 (~10 nm) 을통해전하분리가일어나고도너와억셉터층이각각전자와정공의수송층역할을하여 4.5% 이상의고효율을얻을수있었다. [9] 또한본 bi-layer 구조를 2층으로포개놓은탠덤구조도고성능소자구현의한방법이되고있는데, 역시상기의 Forrest 교수팀은 IT/ CuPc/PTCBI/Ag/CuPc/PTCBI/Ag 형태의탠덤구조에서개방전압을 1.03 V까지증대시킴으로써 AM 1.5 조건에서 5.7% 라는기록적인에너지전환효율을달성하였다. [10] 한편고분자유기박막태양전지는도너와억셉터의최소한한쪽 ( 주로도너쪽 ) 을고분자로사용하고용액공정을통하여광활성층을도입할수있으므로대면적화와비용적인측면에서장점을가진다. 이때광활성층은도너와억셉터가섞여있는 BHJ 구조가되는것이일반적이다. 억셉터층에도고분자물질을사용하는소위고분자 / 고분자 BHJ 구조는영국 Cambridge대 Friend 교수팀을중심으로활발히연구가되었다. 본태양전지는고분자재료의특성상대체로낮은광전류를보이고있지만, 1 V 이상의높은개방전압구현이가능하고저렴한재료값과손쉬운제작공정으로인해경제성이큰태양전지제작의가능성을제시하였다는평을받고있다. 2003년영국의 CDT사는이기술로 P3HT와 Red-PF의고분자 / 고분자구조에서 1 V의개방전압과 2% 대의효율을달성하였다. [11] 단분자태양전지에비해상대적으로낮은효율을보이던 그림 5. 유기박막태양전지의재료에따른효율변화추이. 고분자태양전지는 2000년대초 C 60 의유도체인 PCBM을억셉터물질로사용하면서기술혁신을이룩하였다. PCBM에도너고분자로 PPV계를적용한유기박막태양전지의경우 2~3% 의에너지전환효율을쉽게얻을수있었는데, 이후 PPV계보다저밴드갭을가지면서결정성고분자인 P3HT를이용하여 5% 대의높은효율을달성하였다. [12] P3HT/PCBM 의조합은현재시장에서구입할수있는재료들을이용하여실험실단계에서검증할수있는유기박막태양전지중가장고효율을낼수있는최상의고분자태양전지조성이라할수있다. 현재이분야에는미국캘리포니아대 (S.B.) Heeger 교수팀을비롯하여오스트리아 Lintz대의 Sariciftci 교수팀, Konarka사의 Brabec 박사팀등이좋은결과들을내고있다. 기존에억셉터로사용하던유기반도체물질을새로운무기계나노물질로대체하려는시도도최근에진행되고있다. 이는유기반도체들이대체로낮은전하이동도를가지고있어이를이동도가큰무기계물질로대체함으로써극복하려는것이다. 미국버클리대의 Alibisatos 교수팀은 CdSe의나노막대와나노입자를합성하여 P3HT와 BHJ 구조를구성함으로써 1.7~2.8% 의에너지변환효율을보고하였다. [13] 이러한 [8] P. Peumans, S. R. Forrest, Appl. Phys. Lett. 79, 126 (2001). [9] J. Xue, B. P. Rand, S. Uchida, S. R. Forrest, Adv. Mater. 17, 66 (2005). [10] J. Xue, S. Uchida, B. P. Rand, S. R. Forrest, Appl. Phys. Lett. 85, 5757 (2004). [11] J. J. M. Halls, Presentation in PV-NET Workshop, University of Ljubljana, Slovenia (2003). [12]J. Y. Kim, S. H. Kim, H. -H. Lee, K. Lee, W. Ma, X. Gong, A. J. Heeger, Adv. Mater. 18, 572 (2006). [13] W. U. Huynh, J. J. Dittmer, A. P. Alivisatos, Science 295, 2425 (2002). 34

6 유기- 무기하이브리드형태의새로운박막태양전지는아직그렇게높은효율을보이고있진않으나다양한유기 -무기재료의조합가능성때문에상당한주목을받고있다. 그림 5에서가장최근에위치한신규의저밴드갭도너물질개발은본유기박막태양전지가본격적으로자신의존재를세상에알리는신호탄이라할수있다. 현재의 PPV계 (~2.3 ev) 와 PT계 (~1.9 ev) 고분자에비해낮은밴드갭을확보함으로써태양광스펙트럼의보다넓은영역을이용할수있는저밴드갭고분자물질은누구도그한계를상상할수없는신규의다양한재료개발가능성을열어두고있다. 2006년미국의 Konarka사는광학적밴드갭이 1.40 ev인신규고분자 (PCTDPT) 를개발하였는데, 이고분자를이용한유기박막태양전지의제작조건을다양하게변화시킴으로써 2006~2007년사이에 3.16% 에서 5.5% 까지의지속적인효율향상을성취하였다. [14] 가장최근에는광주과기원의이광희교수팀과캘리포니아대 Heeger 교수팀이공동으로이저밴드갭신규고분자와 P3HT 고분자를함께이용하여 2층탠덤구조의전지를구성함으로써 6.5% 의기록적인효율을달성하였다. [15] 또한 2007년미국 Plextronics사에서도 Plexcore PV-F3 라는이름의새로운고분자를개발하여당시까지 NREL에서검증받은가장좋은효율인 5.4% 을보고하기도하였다. [16] 이와같이최근 2-3년사이에저밴드갭신규고분자들의지속적인개발과이를이용한태양전지성능의급속한증대를보여주는일련의연구들은본유기박막태양전지의 10% 효율목표달성가능성을크게높이고있다고보여진다. 국내기술개발동향 C 60 계를사용하여이미 90년대중반에연구를시작한외국에비해국내연구는 6~7년정도늦은 2000년대초반부터시작되었다. 비록 1% 이하의낮은효율이었지만부산대 (PPV+PCBM) 와인하대 (CuPc/C 60 ) 같은선구팀들의초기연구들을바탕으로, [17] 최근에는광주과기원, 서울대, KAIST, 포항공대, 전북대와같은대학을중심으로유기박막태양전지에대한연구가확대되고있다. 특히광주과기원은히거 (Heeger) 신소재연구센터를세워미국 UCSB와공동으로유기박막태양전지연구를수행하고있는데, 작년에는 Konarka 사의신소재를이용하여 2층 tandem 고분자태양전지에서세계최고의효율인 6.5% 를보고하였다. [15] 한편, 한국화학연구원등의출연 ( 연 ) 과삼성SDI 등의기업연구소에서도그동안의염료감응형유기전지에대한연구를바탕으로 2000년대초중반부터유기박막태양전지에대한연구를지속해오고있다. 본한국화학연구원에서는 2002년이래가장핵심인신소재합성을중심으로소자화까지의연구를꾸준히수행해 오고있으며, [18] 현재단층구조의태양전지에서세계수준인 5% 대의에너지전환효율을구현하고있다. 최근에는 KIST, 생산기술연구원, DGIST 등출연 ( 연 ) 과 S기술원, K그룹연구소등의기업연구소에서도유기박막태양전지에대한연구를시작하고있고, 심지어는미국 Plextronics사의국내합작법인도거론되고있다. 현재국내의본분야에대한연구개발은선진국에비해연륜이나전문인력측면에서매우열세이지만, 유사분야인유기발광소자에대한연구가지난 10여년간의활발한연구를바탕으로지난해부터이미상용화되고있음을고려할때, 이러한기술을유기박막태양전지개발에응용한다면선진국과의기술격차는매우빠른시간내에줄일수있을것으로보여진다. 또한신물질이나신소재합성기술, 소자제작기술등기반이되는기술들도이미국내수준이상당히올라와있어본분야에보다적극적인연구개발투자가이루어진다면수년내에선진국수준을넘어실용화에먼저발을들여놓을가능성도배제할수없을것으로생각된다. 기술적과제 앞에서살펴본바와같이, 현재유기박막태양전지는지난 10년간의연구에의해하나의신형태양전지로완전한자리매김을완수하였다. 하지만, 현재의기대대로 2015년을목표로본태양전지를실용화의반열에올려놓기위해서는다음과같은몇가지의문제점을보다심도있게타개해야할것으로생각된다. 유기재료를사용할때첫번째로지적되는부분이유기물자체가가지는광안정성문제이다. 대부분의유기물은특히자외선에노출되었을경우광산화현상이일어나게되는데, 빛에노출되는시간에비례하여화학적인변형이일어나고색깔이변하며급기야는소자의효율이급격히떨어지는문제점이있다. 이점은물질자체의화학적구조에따라크게 [14] Z. Zhu, D. Waller, R. Gaudiana, M. Morana, D. Mühlbacher, M. Scharber, C. Brabec, Macromolecules 40, 1981 (2007). [15] J. Y. Kim, K. Lee, N. E. Coates, D. Moses, T. -Q. Nguyen, M. Dante, A. J. Heeger, Science 317, 222 (2007). [16] D. W. Laird, S. Vaidya, S. Li, M. Mathai, B. Woodworth, E. Sheina, S. Williams, T. Hammond, Proc. of SPIE, 6656, 66560X (2007). [17] Y. -J. Ahn, G. -W. Kang, C. H. Lee, Mol. Cryst. Liq. Cryst. 377, 301 (2002). [18] H. Kim, S. -J. Moon, Proc. PVSEC-15 (Shanghai) (2005). 35

7 차이를보이고있는데, 현재사용되고있는고분자중대표적인예가 PPV 계열의고분자이다. 이를극복하기위해최근에는비교적안정한구조인 polyfluorene (PF) 계물질이많이연구되고있으며, PF 자체로의비교적큰밴드갭 (E g > 2 ev) 에따른광흡수제약을벗어나기위해작은밴드갭을가진물질과공중합체형태로합성을하여, PF의안정성을그대로유지하면서태양전지용물질로이용하는방안이강구되었다. 또한가지방안으로태양전지소자나모듈제조시자외선차단층을상부에적층하여유기물의안정성을유지시키는방안도고려되고있으며, 광산화현상의가속화를막기위한산소나수분과의접촉방지기술즉, encap 기술은본유기박막태양전지제조시첫번째로고려해야할기반기술로인식되고있다. 결국, 광안정성과광흡수성이동시에양호한새로운유기계재료의개발은지속적으로추구되어야할핵심과제이다. 유기박막태양전지의광전류및효율을제한하는큰문제중의하나는벌크상태에서유기물의낮은전하이동도이다. 유기물반도체는분자구조상으로나결정학적으로결함이많아전하이동도가무기물에비해서매우낮다. 예를들면, 반도체고분자 /C 60 의경우매우높은전자 -정공분리효율에도불구하고광전류가낮은이유가이러한전하의낮은이동도때문이라고여겨지고있다. 또한유기반도체에서는전자의이동도가정공의이동도보다일반적으로낮은데, 이러한낮은전하이동도는유기발광소자와같은유기반도체소자에서도항상문제시되어왔다. 따라서유기고분자의분자량을높이고불순물혼입을최소화해야하며결정성을향상시킴은물론, 소자제작시박막의표면형상을잘제어하여전하의이동도를향상시키는노력들이경주되고있다. 또한각전극에서전하의원활한주입을위해전극과유기박막사이에버퍼층을첨가하여전극과유기물계면사이의에너지장벽을낮춰주는방법등도시도되고있다. 다음으로지적되는부분이태양광스펙트럼과비교하여사용되는유기물의광에너지흡수대가딱히일치되지않는문제이다. 태양광스펙트럼은가시광영역인파장 400~700 nm 정도의좁은구간에서가장높은에너지세기를보여주고있으며 ( 총괄에너지기준 ~45% 정도 ), 자외광영역부터적외광영역에이르기까지아주넓은구간을포함하고있다. 따라서일차적으로는이가시광영역의광흡수계수가커이구간의빛을아주유용히이용할수있어야하며, 더바람직하기로는적외광영역까지흡수범위를넓힐수있어야한다. 다음그림 6은태양광스펙트럼의에너지분포와현재많이사용되고있는 PPV, P3HT 반도체고분자의광흡수스펙트럼을보여주고있는데, 모두다태양광스펙트럼의일부만흡수 Absorption (Normalized) P3HT-RR MDM-PPV P3HT-RRa AM 1.5 Energy Flux AM 1.5 Energy Density Wavelength (nm) 그림 6. 태양광스펙트럼과 PPV, P3HT 고분자의광흡수스펙트럼. 하며특히장파장부분의흡수가매우부족함을볼수있다. 따라서가시광영역은물론장파장영역까지도광흡수율이높은도너물질을개발하는것이고효율의유기박막태양전지를개발하는또하나의관건이되고있다. 즉, 광흡수계수가큰저밴드갭도너물질의개발은지속적으로추진해야할일차적인숙제이며상기의광안정성과높은전하이동도의세가지명제를함께만족시킬수있는신규물질의개발은본분야의최종적인타겟이라할수있다. 향후전망 지금까지유기박막태양전지의기술적원리와개발동향, 앞으로의과제등을짚어보았다. 아직실용화를위해가야할길이멀지만, 값싸고수려하며가볍고얇아손쉽게대면적으로제작이가능한유연성태양전지는더이상먼미래의기술이아님이분명하다. 장래의멋진빌딩외관을포함하여곧시장에등장할유연성디스플레이와도가장궁합이맞는파트너가될수있다. 현재 ~5% 정도에이르고있는실험실적기술수준을대규모모듈스케일에서확보할수있다면본소자는급속히실용화의바람을탈것이확실하다. 그러나이를위해서는광안전성과전하이동속도, 광흡수계수, 밴드갭등에서보다최적화된소재개발이우선적으로추진되어야하며, 내구성향상을위한 encap 기술과새로운프린팅기법등을적용한대면적화기술등소자화기술개발에도역점이주어져야할것이다. 따라서이들핵심적인신소재, 신소자화기술개발에주력하면서유기디스플레이등이미산업적기반을갖고있는국내의연구개발력을최대한활용한다면 2015년정도의멀지않은장래에세계를선도할또하나의신성장동력창출도가능할것으로생각된다. 36

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

12-17 ??????.qxp

12-17 ??????.qxp 기존태양전지단점을극복한유기및무 유기하이브리드형태양전지개발 한국화학연구원광에너지융합소재연구그룹 연구그룹장 윤성철 주소 대전유성구가정로 141 한국화학연구원 (305-600) 전화 042) 860-7203 팩스 042) 860-7200 E-mail yoonsch@krict.re.kr 홈페이지 http://www.krict.re.kr 임인재객원기자 / mimohhh@naver.com

More information

PowerPoint Presentation

PowerPoint Presentation 전자회로 SEMICONDUCTOR P 1 @ INDEX 1. Conductors, Insulators, Semiconductors 2. Conduction in Semiconductors 3. The N-Type and P-Type Semiconductors 4. The PN Junction P 2 1.1 Conductors Insulators Semiconductors

More information

36 Chap 20 : Conjugated Systems 20.1 Stability of Conjugated Dienes Diene : 2 개의 C=C 이중결합을가진화합물 C 1,4-Pentadiene 1,3-Pentadiene 1,2-Pentadiene (unconj

36 Chap 20 : Conjugated Systems 20.1 Stability of Conjugated Dienes Diene : 2 개의 C=C 이중결합을가진화합물 C 1,4-Pentadiene 1,3-Pentadiene 1,2-Pentadiene (unconj 36 Chap 20 : Conjugated Systems 20.1 Stability of Conjugated Dienes Diene : 2 개의 C=C 이중결합을가진화합물 C 1,4-Pentadiene 1,3-Pentadiene 1,2-Pentadiene (unconjugated diene) (conjugated diene) (cumulated diene)

More information

16<C624><D22C><ACFC><D0D0> <ACE0><B4F1><BB3C><B9AC><2160>_<BCF8><CC45>.pdf

16<C624><D22C><ACFC><D0D0> <ACE0><B4F1><BB3C><B9AC><2160>_<BCF8><CC45>.pdf I I 02 03 04 05 06 II 07 08 09 III 10 11 12 13 IV 14 15 16 17 18 a b c d 410 434 486 656 (nm) Structure 1 PLUS 1 1. 2. 2 (-) (+) (+)(-) 2 3. 3 S. T.E.P 1 S. T.E.P 2 ) 1 2 (m) 10-11 10-8 10-5 C 10-2 10

More information

<5BB0EDB3ADB5B55D32303131B3E2B4EBBAF12DB0ED312D312DC1DFB0A32DC0B6C7D5B0FAC7D02D28312E28322920BAF2B9F0B0FA20BFF8C0DAC0C720C7FCBCBA2D3031292D3135B9AEC7D72E687770>

<5BB0EDB3ADB5B55D32303131B3E2B4EBBAF12DB0ED312D312DC1DFB0A32DC0B6C7D5B0FAC7D02D28312E28322920BAF2B9F0B0FA20BFF8C0DAC0C720C7FCBCBA2D3031292D3135B9AEC7D72E687770> 고1 융합 과학 2011년도 1학기 중간고사 대비 다음 글을 읽고 물음에 답하시오. 1 빅뱅 우주론에서 수소와 헬륨 의 형성에 대한 설명으로 옳은 것을 보기에서 모두 고른 것은? 4 서술형 다음 그림은 수소와 헬륨의 동위 원 소의 을 모형으로 나타낸 것이. 우주에서 생성된 수소와 헬륨 의 질량비 는 약 3:1 이. (+)전하를 띠는 양성자와 전기적 중성인 중성자

More information

untitled

untitled 공액고분자를이용한태양전지및 유기전기발광소재기술 한국과학기술정보연구원 < 제목차례 > 제 1 장서론 1 제2장기술동향및전망 2 1. 기술의개요 2 가. 공액고분자 2 나. 공액고분자를이용한태양전지 6 다. 고분자발광다이오드 10 2. 연구개발동향 12 가. 국내기술개발동향 12 나. 해외기술개발동향 13 제3장시장동향및전망 16 1. 산업동향 16 2. 국내시장동향

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

태양광산업 경쟁력조사.hwp

태양광산업 경쟁력조사.hwp 태양광산업산업경쟁력조사 1 Ⅰ. 1. 52 2. 53 Ⅱ. 1. 54 2. 60 3. 64 III. 1. 71 2. 82 Ⅳ. 1. 98 2. 121 3. 132 Ⅴ. 1. 147 2. 160 3. 169 4. SWOT 181 Ⅵ. 1. 187 2. 202 3. 217 Ⅶ. 225 < 요약 > Ⅰ. 서론 II. 태양광산업의개요 III. 태양광기술개발현황

More information

[Fig. 4] (a) Properties of OLED as IWO films as anode. (b)fabrication process of QDLED and image of QDLED device using IWO films as anode. [Fig. 3] 정보

[Fig. 4] (a) Properties of OLED as IWO films as anode. (b)fabrication process of QDLED and image of QDLED device using IWO films as anode. [Fig. 3] 정보 바이오인터페이스 기술의 현재와 미래 성균관대학교 정보재료소자연구실(IMDL) 김한기 최근 정보통신 분야의 발전에 따라 기존의 다양한 어플 리케이션들은 평면성을 벗어나 이전부터 요구된 투명유 연하고 깨지지 않는 특성과 더불어 신축성을 가진 특성까 지 요구되고 있다. 이러한 흐름 속에서 투명 전극은 투명 하면서 전도성을 가지고 있는 전극 물질로서 디스플레이, 터치센서,

More information

3 년의혁신, 30 년의성장 보도자료 ( 금 ) 조간 ( 온라인 :00) 부터보도하여주시기바랍니다. 문의 : 원천연구과박진선과장 ( ), 김용만서기관 (

3 년의혁신, 30 년의성장 보도자료 ( 금 ) 조간 ( 온라인 :00) 부터보도하여주시기바랍니다. 문의 : 원천연구과박진선과장 ( ), 김용만서기관 ( 3 년의혁신, 30 년의성장 보도자료 http://www.msip.go.kr 2014. 7. 11( 금 ) 조간 ( 온라인 7. 10. 12:00) 부터보도하여주시기바랍니다. 문의 : 원천연구과박진선과장 (02-2110-2380), 김용만서기관 (02-2110-2381) 멀티스케일에너지시스템연구단최만수단장 (02-889-6669) 한국화학연구원화학소재연구본부석상일박사

More information

- 2 -

- 2 - 작품번호 37 Solar material 로쓰일수있는검정색물질의재발견! 출품분야학생부출품부문화학 2009. 5. 13 시 군 학교 ( 소속 ) 학년 ( 직위 ) 성 명 성남시풍생중학교 2 김호기, 이희원 지도교사풍생중학교교사김경원 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - 석탄은주로탄소로구성되어있고, 수소와산소가들어있다. 이밖에질소

More information

융합WEEKTIP data_up

융합WEEKTIP data_up 2016 FEBRUARY vol.07 07 융합 인쇄전자기술 동향 김준혁 융합연구정책센터 발행일 2016. 02. 22 발행처 융합정책연구센터 융합 2016 FEBRUARY vol.07 인쇄전자기술 동향 김준혁 융합연구정책센터 선정 배경 인쇄전자산업은 2016년 300억 달러 규모에 도달할 것으로 예상되는 거대 시장이며, 차세대 태양광과 디스플레이 등에 활용이

More information

,. 2 차세대태양전지민 관합동간담회

,. 2 차세대태양전지민 관합동간담회 1 진천친환경에너지타운착공식 보도자료 미래부 ᆞ 충북도, 국내최초로 신재생에너지복합형 친환경에너지타운본격조성 1 진천친환경에너지타운조성 (2015~2018 년, 135 억원 ) 2 차세대태양전지 R&D 투자강화로 2020 년까지매출액 6,000 억원달성 - 미래부, 차세대태양전지 R&D 집중투자 (2020 년까지총 1 천억원규모 ) - 서울대최만수교수팀, LG

More information

<313230313230C6AFC1FD28C0CCC1A4BFEB2CC0AFBDC2C7F92CB1E8B9FCC1D8292E687770>

<313230313230C6AFC1FD28C0CCC1A4BFEB2CC0AFBDC2C7F92CB1E8B9FCC1D8292E687770> 유기 태양전지 연구 동향 및 실용화 전략 DOI: 10.3938/PhiT.21.004 이정용 유승협 김범준 Toward the Commercialization of Organic Photovoltaic and extension of their applications into flexible and/or see Cells through solar cells,

More information

<4D F736F F F696E74202D20352E20C7D1BFEBB1D420B0F8C1A4B1E2C3CAB1B3C0B B3E22031BFF929>

<4D F736F F F696E74202D20352E20C7D1BFEBB1D420B0F8C1A4B1E2C3CAB1B3C0B B3E22031BFF929> Plasma Display Panel 의공정기술 한용규 dbgmaco79@gmail.com Charged Particle Beam & Plasma Lab. / PDP Research Center Department of Electrophysics, Kwangwoon University, Seoul, Korea Contents 1. 개요 2. PDP의구조 3.

More information

Microsoft PowerPoint - Chapter4&6(강의용)

Microsoft PowerPoint - Chapter4&6(강의용) h. 4 반도체소자 반도체 : 상온에서도체와부도체의중간쯤에해당하는전기전도도를가지는물질 불순물첨가 (doping) 또는결함으로인해서전기전도도가매우크게변함. 주기율표에서 4 족, 3-5 족, 2-6 족화합물 (Si, Ge, GaAs, AlAs etc. ) c = 6.708 Å 1 원자가규칙적정렬을하는고체에서전자의상태 : 에너지밴드 E U E g a E V a 0

More information

12.2 Molecular Spectroscopy ( 분자분광학 ) 분자에전자기복사선을쪼여주면분자가낮은에너지상태에서높은에너지상태로이동하게되며, 이때특정흡수진동수를이용하여분자의구조를알아낼수있다. Figure 12.1 : Absorption of energy in elec

12.2 Molecular Spectroscopy ( 분자분광학 ) 분자에전자기복사선을쪼여주면분자가낮은에너지상태에서높은에너지상태로이동하게되며, 이때특정흡수진동수를이용하여분자의구조를알아낼수있다. Figure 12.1 : Absorption of energy in elec 39 hap 12 Infrared Spectroscopy ( 적외선분광학 ) 12.1 Electromagnetic radiation 12.2 Molecular spectroscopy 12.3 Infrared spectroscopy 12.4 Interpreting infrared spectra 12.5 Solving infrared spectral problems

More information

<30365FC0CFB9DDC3D1BCB35FB0ADC8ABB1D45F F D E687770>

<30365FC0CFB9DDC3D1BCB35FB0ADC8ABB1D45F F D E687770> 일반총설 유기태양전지모듈의제작기술연구동향 Research Trends on Organic Photovoltaic Modules 정수현 1 ㆍ홍순일 1,2 ㆍ이진호 1 ㆍ백형철 1 ㆍ장수영 2 ㆍ김근진 1 ㆍ강홍규 1,3 ㆍ이광희 1,2,3 Suhyun Jung 1 ㆍSoonil Hong 1,2 ㆍJinho Lee 1 ㆍHyungcheol Back 1 Soo-Young

More information

COMFILE_VOL13_20140204.cdr

COMFILE_VOL13_20140204.cdr "다양한 산업현장에서 쓰이고 있는 컴파일 제품" 데이터 수집 데이터 수집용 필드 I/O 제품 "모드포트" 필드 I/O 전력 모니터링 로봇 제어 태양광 발전 트랙커 제어 CUPC-P80 CT1721C CB405 포장기 화력발전소-화력 감지 시스템 녹방지장치(용존산소제거장치) CT1721C CB280, CLCD-216 CUWIN3500 일회용 용기 성형기 항온항습기

More information

jaeryomading review.pdf

jaeryomading review.pdf 4 5 6 7 8 9 10 11 12 13 1. S. Kim, H. Y. Jeong, S. K. Kim, S. Y. Choi and K. J. Lee, Nano Lett. 11, 5438 (2011). 2. E. Menard, K. J. Lee, D. Y. Khang, R. G. Nuzzo and J. A. Rogers, Appl. Phys. Lett. 84,

More information

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과 1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과기동전류가 400% 이하로표준모터의 650% 대비상당히낮은기동특성을가지고있어, 압축기운용시기동시간등을감안하여

More information

신진연구자칼럼 페로브스카이트구조를이용한새로운패러다임의태양전지 서형기 전북대학교화학공학부 2000 전북대학교화학공학부공학사 2006 전북대학교화학공학부공학박사 2008 콜로라도주립대학교화학과 Research Associate 현재전북대학교화

신진연구자칼럼 페로브스카이트구조를이용한새로운패러다임의태양전지 서형기 전북대학교화학공학부 2000 전북대학교화학공학부공학사 2006 전북대학교화학공학부공학박사 2008 콜로라도주립대학교화학과 Research Associate 현재전북대학교화 페로브스카이트구조를이용한새로운패러다임의태양전지 서형기 전북대학교화학공학부 hkseo@jbnu.ac.kr 2000 전북대학교화학공학부공학사 2006 전북대학교화학공학부공학박사 2008 콜로라도주립대학교화학과 Research Associate 현재전북대학교화학공학부조교수 1. 서론 최근우리나라의대기오염이심각한사회적이슈가되어작년에미국항공우주국 (NASA) 과공동으로우리나라미세먼지농도등대기오염정도를조사한바있다.

More information

ICT À¶ÇÕÃÖÁ¾

ICT À¶ÇÕÃÖÁ¾ Ver. 2012 T TA-11104-SA 4 21 21 42 65 91 103 124 140 161 187 Ver. 2012 ICT Standardization Strategy Map 4 Ver. 2012 Ver. 2012 5 ICT Standardization Strategy Map 6 Ver. 2012 Ver. 2012 7 ICT Standardization

More information

플렉시블태양전지기술동향 한국전자통신연구원 정용덕책임연구원 Ⅰ. 개요 15 Ⅱ. 동향분석 17 1. 국내동향 17 2. 해외동향 20 Ⅲ. 향후전망 23 < 참고문헌 > 23 플렉시블태양전지기술동향 _13 Green Technology Trend Report 플렉시블태양전지기술동향 한국전자통신연구원 정용덕책임연구원 Ⅰ. 개요 기존에상용화된대부분의태양전지는웨이퍼나유리등의단단한기판위에형성되어있기때문에힘을주어구부리게되면형태가변하거나파손되는문제점이있었다.

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

목차 Ⅰ 시험개요 1 Ⅱ 건전지품질비교시험결과요약 4 Ⅲ 건전지종합평가표 8 Ⅳ 시험결과조치계획 9 [ ]

목차 Ⅰ 시험개요 1 Ⅱ 건전지품질비교시험결과요약 4 Ⅲ 건전지종합평가표 8 Ⅳ 시험결과조치계획 9 [ ] 우리는소비자와함께행복한세상을만든다 건전지품질비교시험결과보고서 2012. 8 시험분석국 기계전기팀 목차 Ⅰ 시험개요 1 Ⅱ 건전지품질비교시험결과요약 4 Ⅲ 건전지종합평가표 8 Ⅳ 시험결과조치계획 9 [ ] 1. 10 2. 24 3. 25 I 시험개요 1. 시험배경및목적 - 1 - 2. 시험대상 () ( ) 1 [4 ] Zhejiang Mustang Battery

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

보도자료 차세대플렉서블전자기기용유기태양전지개발 - 뛰어난유연성 내구성으로상용화가능성높여 - 기존유기태양전지에사용되는 풀러렌 대신 고분자 를사용해기존보다 60배잘늘어나면서도내구성은 470배이상향상... 효율도상용화근접 글로벌프런티어멀티스케일에너지시스템연구단, 플렉서블 웨

보도자료 차세대플렉서블전자기기용유기태양전지개발 - 뛰어난유연성 내구성으로상용화가능성높여 - 기존유기태양전지에사용되는 풀러렌 대신 고분자 를사용해기존보다 60배잘늘어나면서도내구성은 470배이상향상... 효율도상용화근접 글로벌프런티어멀티스케일에너지시스템연구단, 플렉서블 웨 보도자료 차세대플렉서블전자기기용유기태양전지개발 - 뛰어난유연성 내구성으로상용화가능성높여 - 기존유기태양전지에사용되는 풀러렌 대신 고분자 를사용해기존보다 60배잘늘어나면서도내구성은 470배이상향상... 효율도상용화근접 글로벌프런티어멀티스케일에너지시스템연구단, 플렉서블 웨어러블전자기기용유기태양전지상용화위해지속적협업연구추진 이자료에대하여더욱자세한내용을원하시면 미래창조과학부이주헌사무관

More information

47(4)-20(최영선).fm

47(4)-20(최영선).fm Korean Chem. Eng. Res., Vol. 47, No. 4, August, 2009, pp. 418-423 탄소나노튜브가도입된정공주입층에의한유기발광다이오드의성능특성연구 강학수 박대원 최영선 부산대학교화학공학과 609-735 부산시금정구장전동산 30 (2009 년 4 월 9 일접수, 2009 년 7 월 18 일채택 ) Performance Characteristics

More information

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종 [ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : 2013. 3 ~ 2013. 12 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종찬 ( 서울과학고 2학년 ) 소재원 ( 서울과학고 2학년 ) 1,.,.,.... surface

More information

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터 실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터의전면패널에꼽는다. 통상적으로검은색프로브는전면패널의검은단자 (COM) 에꼽으며, 빨간색프로브는빨간색단자에꼽는다.

More information

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis 그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Display Panel(PDP) 의상용화가급속히이루어지면서기존의브라운관을대체해가고있다. 특히,

More information

MD-C-035-1(N-71-18)

MD-C-035-1(N-71-18) MD-C-035-1(N-71-18) KEPIC 적용사례 : MD-C-035-1(N-71-18) ( 승인일자 : 2010. 8. 31) 제목 : 용접으로제조되는 KEPIC-MNF 의 1, 2, 3 및 MC 등급기기지지물의추가재 료 (KEPIC-MN) 질의 : 품목이용접으로제작될경우, KEPIC-MDP의부록 IA, IB, IIA, IIB 및 VI에나열된것이외에추가로어떤재료가

More information

공주대학교생산시스템연구실 - 디스플레이및태양광자율주행자동차연구 그림 2. 유연 (Flexible) OLED 구조 하기판발광층과발광층에전류를인가하는 Anode/ Cathode 층으로구성되며, 상용화된 OLED 제품의경우발광층을포함한박막형성을위하여진공증착 (Thermal

공주대학교생산시스템연구실 - 디스플레이및태양광자율주행자동차연구 그림 2. 유연 (Flexible) OLED 구조 하기판발광층과발광층에전류를인가하는 Anode/ Cathode 층으로구성되며, 상용화된 OLED 제품의경우발광층을포함한박막형성을위하여진공증착 (Thermal 공주대학교생산시스템연구실 디스플레이및태양광자율주행자동차연구 이응기 공주대학교기계공학과교수 eklee@kongju.ac.kr 한국과학기술원박사 (PhD) 삼성자동차연구원 삼성 SDI 생산기술연구소연구원충남테크노파크전문위원한국생산제조학회이사 ( 현 ) 공주대학교기계공학과교수 관심분야 : 진공증착공정, 롤투롤공정, OLED, 태양전지, 2 차전지 현대사회에서기술의중요성공주대학교기계공학전공의생산시스템연구실

More information

. 0.. Planck : sec : sec : : m in radian m in ln sec 심장 발 기압

. 0.. Planck : sec : sec : : m in radian m in ln sec 심장 발 기압 . 0.. Planck : sec : sec : : sec sec A . 0.. Planck : sec : sec : : m in radian m in ln sec 심장 발 기압 . 0. sec π . 0.. Planck : sec : sec : : sec sec sec sec . 0.. Planck : sec : sec : : m p = 1u=931.5 MeV

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

12-17 총설.qxp

12-17 총설.qxp 우수선도연구기관 최고 수준의 분광기 활용 분자의 3차원 구조 동역학 연구 부산대학교 분자구조동역학 연구단 센터장 임만호 단장(부산대학교 화학과 교수) 주소 부산광역시 금정구 부산대학로63번길 2(장전동) 부산대학교 화학관 403호 전화 051)510-2243 이메일 mhlim@pusan.ac.kr 홈페이지 http://chemlab.pusan.ac.kr/femto

More information

신성장동력업종및품목분류 ( 안 )

신성장동력업종및품목분류 ( 안 ) 신성장동력업종및품목분류 ( 안 ) 2009. 12. 일러두기 - 2 - 목 차 < 녹색기술산업 > 23 42-3 - 목 차 45 52 < 첨단융합산업 > 66 73 80-4 - 목 차 85 96 115 < 고부가서비스산업 > 120 124 127 129 135-5 - 녹색기술산업 - 6 - 1. 신재생에너지 1-1) 태양전지 1-2) 연료전지 1-3) 해양바이오

More information

02 KH _미니리뷰( ).hwp

02 KH _미니리뷰( ).hwp Appl. Chem. Eng., Vol. 25, No. 5, October 2014, 447-454 http://dx.doi.org/10.14478/ace.2014.1110 Mini Review 유기태양전지의효율및수명향상을위한기능성계면소재연구 홍기현 박선영 * 임동찬 재료연구소표면기술연구본부 *Photocatalytic Synthesis Group, MESA+

More information

백색 LED를 사용한 조명용 광원의 설계 및 분석

백색 LED를 사용한 조명용 광원의 설계 및 분석 1879 년백열등 1938 년형광램프 1964 년 HID 램프 1990 년대초 ( 신광원 ) 무전극방전램프 LED 램프 에너지절약기술개발활성화대두 Solid-State Lighting 고효율, 장수명, 고연색성, 에너지및자원절약 쾌적성, 안전성, 지구환경보호, 다양한색가변가능 1000 0.3 x CIE 비시감도곡선 (CIE) 발광효율

More information

49(1)-11(이연식).fm

49(1)-11(이연식).fm , pp. 95-100 Phenylene-Thiophene-Thieno[3,4-b]pyrazine 올리고머의합성과특성 황미림 이길성 서은옥 이수형 이연식 전북대학교화학공학부 561-756 전북전주시덕진구덕진동 1 가 664-14 (2010 년 8 월 23 일접수, 2010 년 9 월 30 일채택 ) Synthesis and Characterization of

More information

전기 회로 과목의 성취기준 및 성취수준

전기 회로 과목의 성취기준 및 성취수준 ( 과 학 ) 과목의 성취기준 및 성취수준 1. 교과의 개요 (1) 성격 과학 에서는 물리, 화학, 생명과학, 지구과학의 기본 개념들이 적절하게 균형을 이루면서 자연스럽게 융합되도 록 구성한 학생들이 과학에 대한 흥미를 느끼고 자연을 통합적으로 이해하는 데 필요하다면 어려운 과학 개념 일지라도 적절한 수준에서 소개한 과학 을 통하여 학생들이 심화된 물리, 화학,

More information

12-17 ??????.qxp

12-17 ??????.qxp 높은연색지수, 낮은발열량지닌백색 OLED 연구 경희대유기광소자연구실 책임자 권장혁 주소 서울동대문구회기동 1 번지경희대푸른솔문화관 111 호정보디스플레이학과 전화 02) 961-0971 팩스 02) 968-6924 임인재객원기자 / mimohhh@naver.com 2013. 09 화학세계 33 신진선도연구기관 경희대유기광소자연구실 ( 책임자권장혁 ) 은고효율장수명유기전계발광소자

More information

statistics

statistics 수치를이용한자료요약 statistics hmkang@hallym.ac.kr 한림대학교 통계학 강희모 ( 한림대학교 ) 수치를이용한자료요약 1 / 26 수치를 통한 자료의 요약 요약 방대한 자료를 몇 개의 의미있는 수치로 요약 자료의 분포상태를 알 수 있는 통계기법 사용 중심위치의 측도(measure of center) : 어떤 값을 중심으로 분포되어 있는지

More information

Microsoft PowerPoint 상 교류 회로

Microsoft PowerPoint 상 교류 회로 3상교류회로 11.1. 3 상교류의발생 평등자계중에놓인회전자철심에기계적으로 120 씩차이가나게감은코일 aa, bb,cc 를배치하고각속도의속도로회전하면각코일의양단에는다음식으로표현되는기전력이발생하게된다. 11.1. 3 상교류의발생 여기서 e a, e b, e c 는각각코일aa, bb, cc 양단에서얻어지는전압의순시치식이며, 각각을상 (phase) 이라한다. 이와같이전압의크기는같고위상이

More information

2009_KEEI_연차보고서

2009_KEEI_연차보고서 http://www.keei.re.kr KOREA ENERGY ECONOMICS INSTITUTE KEEI ANNUAL REPORT 2010. 5 KOREA ENERGY ECONOMICS INSTITUTE 3 KOREA ENERGY ECONOMICS INSTITUTE 4 KOREA ENERGY ECONOMICS INSTITUTE 5 KOREA ENERGY

More information

2011-67 차례 - iii - 표차례 - vii - 그림차례 - xi - 요약 - i - - ii - - iii - 제 1 장서론 대구 경북지역인력수급불일치현상진단과해소방안에대한연구 1) ( ) 574 208 366 263 103 75.6 77.9 74.3 73.0 77.7 19.3 19.2 19.4 20.5 16.5 3.0 1.0 4.1

More information

<30335F325F315F3430C1D6B3E220BFACB1B8BFF820BCD2B0B328B0E6BBF3B4EB295F F D E687770>

<30335F325F315F3430C1D6B3E220BFACB1B8BFF820BCD2B0B328B0E6BBF3B4EB295F F D E687770> 창립 40 주년기념고분자관련학교및연구원소개 고분자관련연구실소개경상대학교유기반도체재료연구실 (Organic Semiconductor Materials Laboratory) 주소 : ( 우 : 52828) 경남진주시진주대로 501, 경상대학교자연과학대학 352 동 406 호전화 : 055-772-1491, FAX: 055-772-1489 E-mail: ykim@gnu.ac.kr

More information

<4D F736F F F696E74202D2035BBF3C6F2C7FC5FBCF8BCF6B9B0C1FA2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D2035BBF3C6F2C7FC5FBCF8BCF6B9B0C1FA2E BC8A3C8AF20B8F0B5E55D> 5. 상평형 : 순수물질 이광남 5. 상평형 : 순수물질 상전이 phase transition 서론 ~ 조성의변화없는상변화 5. 상평형 : 순수물질 전이열역학 5. 안정성조건 G ng ng n G G 자발적변화 G < 0 G > G or 물질은가장낮은몰Gibbs 에너지를갖는상 가장안정한상 으로변화하려는경향 5. 상평형 : 순수물질 3 5. 압력에따른Gibbs

More information

12-17 총설.qxp

12-17 총설.qxp 나노기반정보 에너지사업본부 나노기술 (NT) 정보기술 (IT) 에너지기술 (ET) 융합 신성장동력창출할원천기술개발 나노기반정보 에너지사업본부 연구책임자 김창균본부장 주소 대전시유성구가정로 141 한국화학연구원내나노기반정보 에너지사업본부 전화 042-860-7354 이메일 cgkim@krict.re.kr 홈페이지 www.niet.re.kr 임인재객원기자 / mimohhh@naver.com

More information

**09콘텐츠산업백서_1 2

**09콘텐츠산업백서_1 2 2009 2 0 0 9 M I N I S T R Y O F C U L T U R E, S P O R T S A N D T O U R I S M 2009 M I N I S T R Y O F C U L T U R E, S P O R T S A N D T O U R I S M 2009 발간사 현재 우리 콘텐츠산업은 첨단 매체의 등장과 신기술의 개발, 미디어 환경의

More information

67~81.HWP

67~81.HWP 기술현황분석 나노 기공성 에어로겔 제조기술 및 응용현황 안 영 수 / 기능소재연구센터 요 약 나노 기공성 에어로겔 제조기술 및 응용현황 한국에너지기술연구원 Property Value Bulk Density Internal surface area % solid Mean pore diameter Primary particle diameter Index of refraction

More information

<BCD2B9E6C0FCB1E2C8B8B7CE20BECFB1E2B3EBC6AE20BAB8C3E6C0DAB7E E687770>

<BCD2B9E6C0FCB1E2C8B8B7CE20BECFB1E2B3EBC6AE20BAB8C3E6C0DAB7E E687770> 01. 제어계의종류 1) 개-루프제어계 (Open loop system) 1 제어동작이출력과관계없이순차적으로진행되는제어계 2 구조가간단하고경제적 2) 폐-루프제어계 (Close loop system)- 피드백제어계 1 출력신호를입력신호로되돌려서제어량이목표값과비교하여정확한제어가가능하도록한제어계 2 정확하고대역폭이증가하지만구조가복잡하고설치비가많이든다. 3 계의특성변화에대한입력대출력비에대한감도가감소한다.

More information

REVIEW CHART

REVIEW CHART Rev.6, 29. June 2015 보호및절연협조 2015. 06. 29 한국철도시설공단 REVIEW CHART 1 2 Ω 3 4 5 6 단락보호과전류방식 단락보호 지락보호비율차동방식 단락보호과전류방식 지락보호지락과전류 7 8 9 10 I inrush FLA 배at sec 11 12 I pickup Slope P I n 여기에서 I n 변류기 차정격전류

More information

Ⅰ. 석면 1 1) American Geological Institute, Glossary of geology, 2008, http://glossary.agiweb.org 2) US OSHA standard 29CFR1910.1001(b) 2 석면분석전문가양성교육교재 : 편광현미경을이용한고형시료중석면분석 1) Cornelis Klein, The Manual

More information

윤선진외 / 박막태양전지의기술개발동향 Ⅰ. 서론화석연료사용에따른지구환경의오염, 화석연료고갈의위험을극복하는데반드시필요한기술로서의신재생에너지에대한인식은더강조할필요가없을만큼이미큰관심을받고있다. 신재생에너지산업중에서도빠르게성장하고있는산업이바로태양광산업이다. 2004~2009

윤선진외 / 박막태양전지의기술개발동향 Ⅰ. 서론화석연료사용에따른지구환경의오염, 화석연료고갈의위험을극복하는데반드시필요한기술로서의신재생에너지에대한인식은더강조할필요가없을만큼이미큰관심을받고있다. 신재생에너지산업중에서도빠르게성장하고있는산업이바로태양광산업이다. 2004~2009 박막태양전지의기술개발동향 Development Trends of Thin Film Solar Cell Technologies 스마트 & 그린융합부품소재기술특집 윤선진 (S.J. Yun) 정용덕 (Y.D. Chung) 임정욱 (J.W. Lim) 박헌균 (H.K. Pak) 김제하 (J.H. Kim) 박막태양광기술연구팀팀장박막태양광기술연구팀선임연구원박막태양광기술연구팀선임연구원에너지응용기술연구팀선임연구원

More information

웹진을 위한 경험 디자인 적용방안 연구

웹진을 위한 경험 디자인 적용방안 연구 8) 의 팔찌장신구는 단위면적간의 간격을 일정하게 만들고, 이를 원형으로 배열하여 디자인하였다. 팔찌의 내 경을 60mm로 최소화하여 어린이나 손이 작은 성인여성을 대상으로 착용이 가능하도록 하였다. 장신구구조물의 내부 형태에 예각으로 조각부분을 주어 3D 프린터로 출력하였 다. 의 조형물은 내부공간을 최대한 비우고, ABS 소재의 가벼운

More information

Microsoft PowerPoint - energy_materials( ) [호환 모드]

Microsoft PowerPoint - energy_materials( ) [호환 모드] Types of Fuel Cell Types of Fuel Cell 인산염연료전지 (PAFC) -제1세대연료전지 -전해질로액체인산염사용하고탄화규소 (SiC) 매트릭스에함침시켜사용 -저온 (170~220 o C) 에서작동 -전해질내에수소이온이이동 -음극, 양극반응은앞의기본연료전지구조와동일 인산염연료전지 알칼리연료전지 (AFC) -전해질로알칼리 (KOH) 용액

More information

Microsoft PowerPoint - TFT-Solar Cell-LED (14주차-노재상교수님) [호환 모드]

Microsoft PowerPoint - TFT-Solar Cell-LED (14주차-노재상교수님) [호환 모드] TFT LCD (Thin Film Transistor Liquid Crystal Display) Advanced Materials and Future Technology 2009-06-04~05 TFT-LCD Panel 구조 Passive and Active Matrix TFT in AMLCDs TFT 와화소구조 LC(Liquid Crystal) 액체와결정의중간성질을갖는유기화합물로전압이나온도등에의해분자의배열이변화되어색이나투명도가달라지는특징을가지고있다.

More information

사회문화적관점에서개발주의비판하기 사회양극화와개발주의 Ÿ Ÿ Ÿ /

사회문화적관점에서개발주의비판하기 사회양극화와개발주의 Ÿ Ÿ Ÿ   / 사회문화적관점에서개발주의비판하기 사회양극화와개발주의 Ÿ Ÿ Ÿ www.greenkiss.org / 02-747-339 사회문화적관점에서개발주의비판하기 사회양극화와개발주의 김정자 이경재 < 진행순서 > < 발제문 > 1. 사회양극화와개발주의... 1p 2. 대운하로흐르는힘들, 그리고객관과물질의세계... 20p < 토론문 > 1. 양극화와개발주의... 35p 2.

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

CD실행표지

CD실행표지 November 30 (Wed) ~December 2 (Fri), 2011 Hanwha Phoenix Park, PyeongChang Organized by OSK / Photonics Division KICS / Optical Communication Division IEEK / Optical Wave and Quantum Electronics Division

More information

소성해석

소성해석 3 강유한요소법 3 강목차 3. 미분방정식의근사해법-Ritz법 3. 미분방정식의근사해법 가중오차법 3.3 유한요소법개념 3.4 편미분방정식의유한요소법 . CAD 전처리프로그램 (Preprocessor) DXF, STL 파일 입력데이타 유한요소솔버 (Finite Element Solver) 자연법칙지배방정식유한요소방정식파생변수의계산 질량보존법칙 연속방정식 뉴톤의운동법칙평형방정식대수방정식

More information

º»ÀÛ¾÷-1

º»ÀÛ¾÷-1 Contents 10 http://www.homeplus.co.kr 11 http://www.homeplus.co.kr 12 http://www.homeplus.co.kr 13 http://www.homeplus.co.kr Interview 14 http://www.homeplus.co.kr Interview 15 http://www.homeplus.co.kr

More information

Art & Technology #5: 3D 프린팅 - Art World | 현대자동차

Art & Technology #5: 3D 프린팅 - Art World | 현대자동차 Art & Technology #5: 3D 프린팅 새로운 기술, 새로운 가능성 미래를 바꿔놓을 기술 이 무엇인 것 같으냐고 묻는다면 어떻게 대답해야 할까요? 답은 한 마치 한 쌍(pair)과도 같은 3D 스캐닝-프린팅 산업이 빠른 속도로 진화하고 있는 이유입니 가지는 아닐 것이나 그 대표적인 기술로 3D 스캐닝 과 3D 프린팅 을 들 수 있을 것입니 다. 카메라의

More information

03

03 CHAPTER 01 4 PART 01 소비윤리를 논의하기에 앞서 현대 사회에서 나타나는 소비문화의 특성을 이해하고 그에 대한 문제점을 해결하기 위한 대안을 살펴보고자 한다. 소비윤리는 현대 소비사회에 대한 문제 인식으로부터 출발하기 때문에 현대 소비사회에 대한 이해가 전제되어야 한다. 현대 소비사회에 나타나는 소비문화의 특성과 그에 따른 문제점에 대해서는 많은

More information

슬라이드 1

슬라이드 1 반도체소자 2012 학년도 2 학기 담당교수 : 김태환 ( 소속 : 융합전자공학부 ) 강의시간 : 1) 월 10:30-12:00 (H27-0209) 수 14:30-16:00 (H27-0209) Office : 공업센터별관 503-1 Office hour : 수요일 10:30 ~ 12:30 수업조교 : 안준성 (joon.ahn86@gmail.com, Tel :

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

hwp

hwp OLED 수명현황 권장혁 이준엽 저자약력 서 1987년에 Kodak사의 Tang [1] 등이발광층과전하수송층으로각각 Alq3와 TPD라는이중층저분자유기물박막을형성하여효율과안정성이개선된녹색의발광현상을발견한이후로저분자 OLED 디스플레이의개발이급속도록빠르게이루어졌다. OLED의첫상업화는 1990년대후반일본 Pioneer사에의해 PMOLED(Passive Matrix

More information

(72) 발명자 강경태 서울서초구반포본동반포주공아파트 110 동 105 호 이상호 서울관악구봉천 9 동 윤규영 인천남구용현동 신권용 서울구로구천왕동천왕이펜하우스 6 단지 601 동 1203 호 이발명을지원한국가연구개발사업 과제고유번호 ES-1

(72) 발명자 강경태 서울서초구반포본동반포주공아파트 110 동 105 호 이상호 서울관악구봉천 9 동 윤규영 인천남구용현동 신권용 서울구로구천왕동천왕이펜하우스 6 단지 601 동 1203 호 이발명을지원한국가연구개발사업 과제고유번호 ES-1 (19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) (45) 공고일자 2013년11월20일 (11) 등록번호 10-1331589 (24) 등록일자 2013년11월14일 (51) 국제특허분류 (Int. Cl.) H01L 21/28 (2006.01) (21) 출원번호 10-2012-0052189 (22) 출원일자 2012 년 05 월 16 일 심사청구일자

More information

16-27( 통권 700 호 ) 아시아분업구조의변화와시사점 - 아세안, 생산기지로서의역할확대

16-27( 통권 700 호 ) 아시아분업구조의변화와시사점 - 아세안, 생산기지로서의역할확대 16-27( 통권 700 호 ) 2016.07.08 - 아세안, 생산기지로서의역할확대 본보고서에있는내용을인용또는전재하시기위해서는본연구원의허락을얻어야하며, 보고서내용에대한문의는아래와같이하여주시기바랍니다. 총괄 ( 경제연구실장 ) : 주원이사대우 (2072-6235, juwon@hri.co.kr) 경제연구실 / 동향분석팀 : : 조규림선임연구원 (2072-6240,

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

제 호 년 제67차 정기이사회, 고문 자문위원 추대 총동창회 집행부 임원 이사에게 임명장 수여 월 일(일) 년 월 일(일) 제 역대 최고액 모교 위해 더 확충해야 강조 고 문:고달익( 1) 김병찬( 1) 김지훈( 1) 강보성( 2) 홍경식( 2) 현임종( 3) 김한주( 4) 부삼환( 5) 양후림( 5) 문종채( 6) 김봉오( 7) 신상순( 8) 강근수(10)

More information

12-17 ??????.qxp

12-17 ??????.qxp CHEMISTRY TOPICS d 6 금속착체를이용한광전이스핀선책규칙극복 : 이리듐착체의삼중항광기능성 유영민 경희대학교정보전자신소재공학과, odds2@khu.ac.kr 서론 광자 (photon) 의응용범위는센서, 광메모리와같은정보의표시와저장뿐만아니라태양광소자및광산화환원촉매등에너지전환을두루포함한다. 이러한응용은광자를흡수하여생성된분자의전자여기상태로부터기인하는다양한광물리

More information

Microsoft Word - KSR2015A135

Microsoft Word - KSR2015A135 2015 년도한국철도학회추계학술대회논문집 KSR2015A135 PSCAD/EMTDC 를이용한직류전기철도급전계통모델링 Modeling for power feeding system of DC electric railway using the PSCAD/EMTDC 정현기 * Hyun-Ki Jung * 초록직류전기철도는 DC 1,500V 전차선로등급전계통에서단락또는지락사고발생시

More information

1차내지

1차내지 1»` 1904.1.1 10:39 AM ` 1 1»` 1904.1.1 10:39 AM ` 2 1»` 1904.1.1 10:39 AM ` 3 1»` 1904.1.1 10:39 AM ` 4 1»` 1904.1.1 10:39 AM ` 5 1»` 1904.1.1 10:39 AM ` 6 1»` 1904.1.1 10:39 AM ` 7 1»` 1904.1.1 10:39

More information

연구팀은리튬이온배터리의새로운전극재료로써황 - 금속 ( 몰리브데늄 ) 화 합물에주목하고이를이용해현재의리튬이온배터리의양극재보다무게당 용량이최대 6 배향상되고 ( 에너지밀도 3 배 ) 충 방전 2,500 회동안초기성능 의 90% 이상유지할수있는새로운실시간전기화학적처리를개발하

연구팀은리튬이온배터리의새로운전극재료로써황 - 금속 ( 몰리브데늄 ) 화 합물에주목하고이를이용해현재의리튬이온배터리의양극재보다무게당 용량이최대 6 배향상되고 ( 에너지밀도 3 배 ) 충 방전 2,500 회동안초기성능 의 90% 이상유지할수있는새로운실시간전기화학적처리를개발하 GIST( 광주과학기술원 ) 보도자료 http://www.gist.ac.kr 보도일시배포즉시보도부탁드립니다. 보도자료 담당 대외협력팀김미연팀장 062-715-2020 / 010-5302-3620 대외협력팀이나영행정원 062-715-2024 / 010-2008-2809 자료문의신소재공학부엄광섭교수 062-715-2313 전기차주행거리 3 배늘릴리튬이온배터리개발

More information

= ``...(2011), , (.)''

= ``...(2011), , (.)'' Finance Lecture Note Series 사회과학과 수학 제2강. 미분 조 승 모2 영남대학교 경제금융학부 학습목표. 미분의 개념: 미분과 도함수의 개념에 대해 알아본다. : 실제로 미분을 어떻게 하는지 알아본다. : 극값의 개념을 알아보고 미분을 통해 어떻게 구하는지 알아본다. 4. 미분과 극한: 미분을 이용하여 극한값을 구하는 방법에 대해 알아본다.

More information

120~151역사지도서3

120~151역사지도서3 III 배운내용 단원내용 배울내용 120 121 1 2 122 3 4 123 5 6 124 7 8 9 125 1 헌병경찰을앞세운무단통치를실시하다 126 1. 2. 127 문화통치를내세워우리민족을분열시키다 1920 년대일제가실시한문화 통치의본질은무엇일까? ( 백개 ) ( 천명 ) 30 20 25 15 20 15 10 10 5 5 0 0 1918 1920 ( 년

More information

< 서식 5> 탐구보고서표지 제 25 회서울학생탐구발표대회보고서 출품번호 유글레나를이용한산소발생환경의탐구 소속청학교명학년성명 ( 팀명 ) 강서교육청서울백석중학교 3 임산해 [ 팀원이름 ]

< 서식 5> 탐구보고서표지 제 25 회서울학생탐구발표대회보고서 출품번호 유글레나를이용한산소발생환경의탐구 소속청학교명학년성명 ( 팀명 ) 강서교육청서울백석중학교 3 임산해 [ 팀원이름 ] < 서식 5> 탐구보고서표지 제 25 회서울학생탐구발표대회보고서 출품번호 유글레나를이용한산소발생환경의탐구 2010. 09. 28. 소속청학교명학년성명 ( 팀명 ) 강서교육청서울백석중학교 3 임산해 [ 팀원이름 ] 목 차 Ⅰ. 탐구주제 02 Ⅱ. 탐구하게된동기 02 Ⅲ. 배경이론 02 1. 유글레나의특징가. ph 나. 온도 Ⅳ. 선행연구고찰 03 1. 산소결핍과인체에미치는영향

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

2001/1학기 공학 물리 중간고사

2001/1학기 공학 물리 중간고사 2011/2 학기물리전자기말고사담당교수 : 김삼동 성명 학번 분반 e = 1.6 10-19 C, ε ox = 3.9, ε Si = 11.7,ε o = 8.85 10-14 F/cm 2, kt (300 K) = 0.0259 ev,, n i (Si, 300 K) =1.5x10 10 /cm 3 1. PN diode의 I-V 특성은아래의그림과같은거동을보인 (I) 다.

More information

ÃѼŁ1-ÃÖÁ¾Ãâ·Â¿ë2

ÃѼŁ1-ÃÖÁ¾Ãâ·Â¿ë2 경기도 도서관총서 1 경기도 도서관 총서 경기도도서관총서 1 지은이 소개 심효정 도서관 특화서비스 개발과 사례 제 1 권 모든 도서관은 특별하다 제 2 권 지식의 관문, 도서관 포털 경기도 도서관 총서는 도서관 현장의 균형있는 발전과 체계적인 운 영을 지원함으로써 도서관 발전에 기여하기 위한 목적으로 발간되 고 있습니다. 더불어 이를 통해 사회전반의 긍정적인

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

팬도캐드소개

팬도캐드소개 제목 : 4 층 50Ω, 55Ω, 90Ω Diff,100Ω Diff (1.46T) PCB 재질 : FR4( Er = 4.4 ) 외층 / 내층 : 1 Oz PCB 두께 : 1.46T ±10% CCL= 1.2T C 1/1 L3 0.08mm 0.08mm 0.09mm 0.09mm 0.26mm 0.26mm 프리프레그 (PrePreg) : 1080 0.06 mm, 2116

More information

1. 기술성분석 1. 기술의내용및특징 기술의정의 그림 1. 일함수제어를위한그래핀의유기분자기능시설계개념도 흑연으로부터화학적으로박리된산화그래핀을유기분자로기능화하여환원시키면 일함수를 6.0 ev ~ -2.5 ev로제어할수있어서이를이용하여 조명에서 의각층에적절한일함수를가진기능

1. 기술성분석 1. 기술의내용및특징 기술의정의 그림 1. 일함수제어를위한그래핀의유기분자기능시설계개념도 흑연으로부터화학적으로박리된산화그래핀을유기분자로기능화하여환원시키면 일함수를 6.0 ev ~ -2.5 ev로제어할수있어서이를이용하여 조명에서 의각층에적절한일함수를가진기능 IT 융합분과 조명용일함수제어된그래핀기반전하전달소재기술 Ⅰ. 제안기술개요 기술의내용기술의동향기술의제품화및시장전망 - 산화그래핀의 OH, -COOH, -O-O 그룹에유기분자를화학적방법으- KAIST 대학연구그룹은그래핀의일 로기능화하여산화그래핀을환원 시키면서일함수를제어하여 조명의발광층에정공및전자의 주입, 수송층을형성할수있는 [ 국내동향 ] 함수제어를통해그래핀과

More information

°ø±â¾Ð±â±â

°ø±â¾Ð±â±â 20, 30, 40 20, 30, 40 1 2 3 4 5 6 7 8 9 10 3.1 6.3 9.4 12.6 15.7 18.8 22.0 25.1 28.3 31.4 2.4 4.7 7.1 9.4 11.8 14.1 16.5 18.8 21.2 23.6 7.1 14.1 21.2 28.3 35.3 42.4 49.5 56.5 63.6 70.7 5.9 11.9 17.8 23.7

More information

<C6AFC1FDBFA120BACEC3C45F E687770>

<C6AFC1FDBFA120BACEC3C45F E687770> Polymer Science and Technology Vol. 23, NO, 1 고분자특성분석지상강좌 UPS 기술과유기전자재료의에너지준위 Ultraviolet Photoelectron Spectroscopy and the Energy Levels of Organic Electronic Materials 박용섭 Yongsup Park Department of

More information

3 4 5 6 7 8 2/25 26 27 28 2 3 3. 2 3. 2~8 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 3.15 3.28 3.29~30 1 8 15 2 9 16 3 10 17 4 11 18 5 12 19 6 13 20 7 14 21 4. 2~5 4.18

More information

12-17 ??????.qxp

12-17 ??????.qxp 전하수송층소재의현황과중요성 조남성 한국전자통신연구원차세대디스플레이연구단 1. AMOLED 의현황유기발광다이오드, 유기태양전지, 유기트랜지스터와같은유기재료를이용하는전자소자들은최근들어원천기술개발단계를넘어상업화에도이르면서가장주목받는기술의하나이다. 유기재료를사용하는소자들은경량화, 초박형, 저비용, 그리고유연소자가능등많은장점을가지고있어앞으로도혁신적인기술선도가진행될부분이라하겠다.

More information

04 Çмú_±â¼ú±â»ç

04 Çмú_±â¼ú±â»ç 42 s p x f p (x) f (x) VOL. 46 NO. 12 2013. 12 43 p j (x) r j n c f max f min v max, j j c j (x) j f (x) v j (x) f (x) v(x) f d (x) f (x) f (x) v(x) v(x) r f 44 r f X(x) Y (x) (x, y) (x, y) f (x, y) VOL.

More information

목 록( 目 錄 )

목 록( 目 錄 ) 부 附 록 錄 목록( 目 錄 ) 용어설명( 用 語 說 明 ) 색인( 索 引 ) 목 록( 目 錄 ) 278 고문서해제 Ⅷ 부록 목록 279 1-1 江 華 ( 內 可 面 ) 韓 晩 洙 1909년 10월 11일 1-2 江 華 ( 內 可 面 ) 韓 晩 洙 洪 元 燮 1909년 10월 2-1 江 華 ( 府 內 面 ) 曺 中 軍 宅 奴 業 東 고종 18년(1881) 11월

More information

오토10. 8/9월호 내지8/5

오토10. 8/9월호 내지8/5 I ndustry Insight Telematics Detroit 2010: 스마트폰이 바꿔가는 車 내비게이션의 장래가 스마트폰과 애플리케이션으로 인해 불투명해지고 있지만, 오히려 이것은 텔레매틱스 서비스의 질적 향상과 대중화를 이끌고 있다. 지난 6월 미시간 주 노비에서 열린 텔레매틱스 디트로이트 2010 에서 패널들은 포터블 디바이스가 기 존 차량의 텔레매틱스

More information

2

2 2 3 구분행사명일시및장소 참석 인원 제안서 수 비고 1 CIGS 박막태양전지연구자간담회 6.26 / 부산조선호텔 79 9 CIGS 박막태양전지워크숍연계 2 연구기관간담회 ( 태양광관련부서장 ) 8.18 / 서울역 15 21 3 차세대태양전지연구자간담회 8.22 / 평창 20 9 전기화학회태양전지분과워크숍연계 4 태양광산업간담회 9.17 / KINTEX 12

More information