<333520B0ADBCBAC1F82D46534DC0BB20C0CCBFEBC7D120BCF6C1A4B5C820C0AFC5ACB8AEB5E520BECBB0EDB8AEC1F220BCB3B0E82E687770>

Size: px
Start display at page:

Download "<333520B0ADBCBAC1F82D46534DC0BB20C0CCBFEBC7D120BCF6C1A4B5C820C0AFC5ACB8AEB5E520BECBB0EDB8AEC1F220BCB3B0E82E687770>"

Transcription

1 한국산학기술학회논문지 Vol., No. 6 pp , 2 강성진 * 한국기술교육대학교정보기술공학부 A esign of Modified Euclidean Algorithm using Finite State Machine Sung-Jin Kang * School of Info. Tech. Engineering, Korea University of Tech. and Educ. 요약본논문에서는 FSM(finite-state machine) 을이용하여차수계산 (degree computation) 을하지않고수정된유클리드알고리즘 (modified Euclidean algorithm) 을구현할수있는구조를제안한다. 제안된구조는차수계산이필요없기때문에 RS(Reed-Solomon) 복호기의하드웨어복잡도를줄일수있고, 고속의복호기설계가가능하게된다. 제안된구조를이용하는 RS(255,239) 복호기를 Verilog HL로구현하였고, 기존의복호기에비해게이트수를약 3% 정도줄일수있다. Abstract In this paper, an architecture for modified Euclidean(ME) algorithm is proposed, which is using finite-state machine(fsm) instead of degree computation. Since the proposed architecture does not have degree computation circuits, it is possible to reduce the hardware complexity of RS(Reed-Solomon) decoder, so that a very high-speed RS decoder can be implemented. RS(255,239) decoder with the proposed architecture is implemented using Verilog-HL and requires about 3% fewer gate counts than conventional one. Key Words : Reed-Solomon, Modified Euclidean, RS decoder, FSM. 서론 RS 부호는연집오류에대하여우수한오류정정능력을가지고있어서, 광 / 자기저장매체, 유무선통신, 방송, 위성통신등많은통신시스템에서널리사용되고있다. 또한, 최근에는 NAN 플래시메모리분야에서도오류정정을하기위한연구가활발히진행되고있다. 일반적인 RS(n,k,t) 부호에서 n은전체부호어 (codeword) 의길이 ( 심볼개수 ), k는정보심볼의개수를의미하며, 는 RS 부호의오류정정능력을나타낸다 [,6]. RS부호에대한복호기는그림 과같이신드롬연산 (syndrome computation), 키방정식연산 (Key Equation Solver, KES), Chien 탐색, Forney 알고리즘, 오류정정블록및 FIFO(First Input First Output) 로구성된다 [2-5]. 여기에서 KES 블록이오류위치다항식 (error locator polynomial, ) 과오류값다항식 (error value polynomial, ) 을찾기위해가장많은연산을필요로하며, 하드웨어복잡도가가장높다. Received Codeword Syndrome Computation S σ Key Equation Solver ω FIFO Chien Search And Forney Algorithm [ 그림 ] RS 복호기의블록도 i ω( α ) i i Corrected α σ '( α ) Error Codeword Correction RS 복호기에관한연구는대부분 KES 알고리즘에관한것이며, 많은복호알고리즘과복호기구조가연구되어왔다 [-8]. 이중에서수정된유클리드 (Modified Euclidean, ME) 알고리즘은하드웨어의규칙성이우수하여쉽게구현이가능한장점을지니고있다 [4]. ME 알고리즘 [2] 은차수계산과다항식연산을수행하는 processing element(pe) 블록을 개사용하여구현할수있 * 교신저자 : 강성진 (sjkang@kut.ac.kr) 접수일 년 3 월 29 일수정일 년 4 월 26 일게재확정일 년 6 월 8 일 222

2 으며, 이러한구조는하드웨어규칙성및경로지연 (critical path) 이작아서고속으로동작하는 RS 복호기를구현할수있다 [4,5]. [6] 에서는차수계산이필요치않는 CME(degree computationless ME) 를제안하였지만, 각기본셀 (basic cell) 내의 feedback되는부분과모든셀에입력되는 leading coefficient, 가 feedback되므로상대적으로고속구현이어렵게된다. [7] 에서는 CME 알고리즘의지연시간과 basic cell을개선하여 E-CME 알고리즘을제안하였다. [5] 에서는 [4] 의구조를개선하여 CME 알고리즘구조를제안하였다. [8] 에서는 [4] 의 PE 구조를개선하여마지막 PE 블록의출력신호에서차수비교및 MUX(multiplexer) 가필요없는구조를제안하였다. 본논문에서는 [8] 에서제안된 PE 구조의차수계산회로를 FSM(finite-state machine) 로대치하여하드웨어복잡도를줄일수있는구조를제안한다. 본논문의구성은 2장에서제안된 PE 구조를설명하고, 3 장에서는제안된 PE 구조를이용한 RS(255,239,8) 복호기설계에대하여설명한다. 4장에서는성능평가결과를제시하고 5장에서결론을맺는다. 2. 제안된 PE 구조 [8] 에서는맨마지막 PE 블록의출력 와 의차수를비교하여오류위치다항식 과오류값다항식 을결정하게된다. 이러한이유는 PE 블록에입력된 와 의차수를비교하여다항식스위칭여부를결정한후에다항식연산이이루어지기때문에 PE 블록외부에서출력다항식의차수의변화를알수없기때문이다. [4] 에서는각 PE 블록의출력에서항상 이성립하도록, PE 블록의출력다항식에대하여다항식스위치가이루어지는구조를제안하였으며, 이를통해마지막 PE 블록의출력으로에서바로, 를얻을수있다. [4,8] 의 PE 구조에서다항식차수를계산하는블록은스위치 () 와 신호를발생하기위해서필요하다. PE 블록에서, 차수가같고, 의 leading coefficient가 이아닌경우에, 다항식연산에서 의최고차항계수가제거되어차수가 감소하였으므로, 가되어다항식스위치가일어나게된다. 신호는 PE 블록의출력다항식, 의차수가오류정정능력 보다작을때발생하여, 이후의 PE 블록이동작하지않도록한다. PE 블록에서 신호를발생하기위해서는 의차수와 의차수가같은지를판단할수있다면, 차수계산을하지않아도됨을알수있다. 따라서, 를관찰함으로써차수계산을하지않고 신호를발생시킬수있으며, 식 () 과같은상태변수를정의할수있다. () 여기에서, 이다. 즉, 와 의차수차이는 을초과할수없다. [8] 의 PE는 의차수또는 의차수가 씩감소하는구조이므로, 그림 2와같은상태도로표현이가능하다. 따라서, PE 블록은그림 2와같은상태도를갖는 FSM을사용하여차수계산없이 신호를발생할수있다. 그림 2에서, 는 의 leading coefficient가 일때 이고, 그렇치않으면 인신호이다. Legend : zq/ / / / / λ λ λ t / / / [ 그림 2] 의상태도 [8] 의 PE는 가성립하므로, 의차수가 보다작으면 신호를발생한다. 식 () 에정의된 로부터 와 의차수의차이를알수있지만, 의차수가 보다작은지를알수가없다. 따라서, 각 PE에서 의변화를관찰하고있어야한다. 의차수가감소하는경우는 의 leading coefficient가 인경우와다항식연산후에 가되어다항식스위치가일어나는경우이다. 따라서 의차수가감소하는횟수를카운트하는상태변수를식 (2) 와같이정의할수있다. 만약, 라면 의차수가 2번감소함을의미한다. (2) 여기에서, 이다. 왜냐하면, 번째 PE블록에서 이고 이면, 223

3 한국산학기술학회논문지제 권제 6 호, 2 가되어야하지만, 이경우는 의차수가 에서 만큼줄어 의차수가 이되었음을의미하기때문에, 신호가발생하여 번째이후의 PE 블록은동작할필요가없으므로, 를증가시킬필요가없기때문이다. 따라서, 이면, 이다. 이로부터식 (3) 과같이 신호가발생됨을알수있다. 신호는 일때식 (3) 과같이계산되며, 이면 이다. 그림 3은본논문에서제안하는 PE 블록의구조이다. 그림 3에서 FSM은그림 2의상태도를가지며, Y 표시의박스는식 (2) 와식 (3) 을통해 와 신호를발생하는회로이다. 그림 3의 X 표시의박스는제어신호,, 를생성하는조합회로이며, leadr, 는각각, 의 leading coefficient를나타낸다. 제어신호 zq는 일때 이된다. 그리고, 와 는각각식 (4), (5) 와같이계산된다. (3) (4) (5) i- i µ i- zq FSM µ i stop i- R i- Q i- L i- U i- starti- zq leadr leadr X Y zq [ 그림 3] 제안된 PE 블록구조 stop i R i Q i L i U i starti 3. RS(255,239,8) 복호기설계 RS(255,239,8) 부호의발생다항식 는식 (6) 과같다. RS 부호기는 239byte의정보심볼을입력받아서, 발생다항식 를이용하여 RS 패리티 6byte를구한후에, 정보심볼 239byte와패리티 6byte를합하여총 255byte의부호어를발생시킨다. RS(n,k,t) 부호의송신된부호어 (codeword) 다항식을, 수신된부호어다항식을, 에러다항식을 라하면, 는식 (7) 과같다. (6) (7) RS 복호기는수신된부호어로부터식 (8) 와같이신드롬 (Syndrome) 를계산한다. (8) KES 블록은신드롬 로부터식 (9) 의키방정식연산을통해오류위치다항식 와오류값다항식 을계산한다 [-4]. (9) 여기에서, 의차수는 이고, 의차수는 이다. RS(255,239,8) 복호기를위한 KES 블록은 2장에서제안된 PE 블록을 개연결하여구현할수있다. µ stop R xq L xu start PE ω PE2 PE5 PE6 σ [ 그림 4] 제안된 PE 블록을이용한 KES 블록도 224

4 여기에서, 는 ME 알고리즘에서, 이므로, 이다. 그리고,, 이며, 는 [2] 에서와같이발생된다. 즉, 의최고차항을나타내는시간동안에만 이고, 나머지시간에는 이다., 이다. KES블록의출력인오류위치다항식 와오류값다항식 는각각식 (), 식 () 과같다. () () KES 블록에서 와 가계산되면, Chien 탐색과 Forney 알고리즘을이용하여식 (2) 과같이오류정정이가능하다 [3]. (2) 여기에서, 이고, 는오류가정정된 번째부호어심볼이다. Chien 탐색과 Forney 알고리즘은 [4] 에서와같이간단하게구현될수있다. 본논문에서는제안된 PE 구조를이용하는 RS(255,239,8) 복호기를 Verilog HL 를사용하여구현하였으며, 삼성 65nm library로합성하였다. 표 은본논문에서제안된구조의구현결과를비교한것이며, 그림 의 RS 복호기중에서 KES 블록만을비교하였고, 다른블록은 [4,5] 와동일하다. [7] 에서제안된 CME 구조는 latency와 gate count 측면에서가장우수하지만, 고속복호에는적합지않음을알수있다. 제안된 PE 구조와유사한구조를갖는 [4,5] 의구현결과와비교하면, 유사한동작주파수를가지면서본논문에서제안된구조가 [4] 에비해약 3% 정도 gate count가줄어드는것을알수있고, latency도 [4,5] 에비해현저하게줄어드는것을알수있다. [ 표 ] RS(255,239,8) 복호기구현결과 Architecture proposed pcme[5] ME[4] CME[7] Technology 65nm.3um.3um.8um KES (gate count) 4,6 46,2 55,5 8, Clock rate(mhz) Latency (clock) 성능평가 제안된 ME알고리즘구조의다양한오류패턴에대한유효성을검증하기위해 RS(255,239,8) 부호의복호기를 C프로그램을작성하여시뮬레이션을수행하였다. 그림 5는 AWGN(Additive White Gaussian Noise) 채널에서 BPSK(Binary Phase Shift Keying) 변조를사용했을때, RS(255,239) 부호의 BER성능곡선이다. RS(255,239) 부호는비트오류확률 에서약 2.5dB의부호이득을가진다. 5. 결론 본논문에서는차수계산을하지않고 FSM을이용하여 ME 알고리즘을구현할수있는 PE 구조를제안하였다. 제안된구조는차수계산회로대신 FSM을이용하기때문에, 고속복호기구현이가능할뿐만아니라하드웨어복잡도를줄일수있다. 제안된구조를이용하는 KES 블록은 66MHz의고속 clock에서동작하며, [5] 에비해약 3% 정도 gate count가줄어듬을확인하였다. 참고문헌 [ 그림 5] RS(255,239) 부호의 BER 성능 [] S. B. Wicker, Error Control Systems for igital Communication and Storage, Englewood Cliffs, NJ, Prentice-Hall, 995. [2] H. Shao, T. Truong, L. eutsch, J. Yuen, I. Reed, "A VLSI design of a Pipeline Reed-Solomon ecoder," IEEE Trans. on Computers, Vol.c-34, No.5, pp , May 985. [3] L. Song, M. Yu, M. Shaffer, "- and 4-Gb/s 225

5 한국산학기술학회논문지제 권제 6 호, 2 Forward Error Correction evices for Optical Communications," IEEE Journal of Soild-State Circuits, Vol.37, No., pp , Nov. 22. [4] Hanho Lee, "High-Speed VLSI Architecture for Parallel Reed-Solomon ecoder," IEEE Trans. on VLSI Systems, Vol., No.2, pp , April 23. [5] S. Lee, H. Lee, J. Shin, J. Ko, "A High-Speed Pipelined egree-computationless Modified Euclidean Algorithm Architecture for Reed-Solomon ecoders," ISCAS, pp. 9-94, May, 27. [6] J. H. Baek and M. H. SunWoo, "New degree computationless modified Euclid's algorithm and architecture for Reed-Solomon decoder", IEEE Trans. Very Large Integr. (VLSI) Syst., vol. 4, no. 8, pp 95-92, Aug. 26. [7] J. H. Baek and M. H. SunWoo, "Enhanced degree computationless modified Euclid's algorithm for Reed-Solomon decoders," Electronics Letters, vol. 43, no. 3, pp , Feb., 27. [8] 강성진, "RS(23,7) 리드-솔로몬복호기설계," 한국해양정보통신학회논문지, Vol.2, No.2, pp , ec., 28. 강성진 (Sung-Jin Kang) [ 정회원 ] 994년 8월 : 연세대학교대학원전자공학과 ( 공학석사 ) 998년 8월 : 연세대학교대학원전자공학과 ( 공학박사 ) 22년 9월 ~ 27년 2월 : 전자부품연구원책임연구원 27년 3월 ~ 현재 : 한국기술교육대학교정보기술공학부조교수 < 관심분야 > WPAN/WLAN, MOEM SoC 226

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2005 년 7 월전자공학회논문지제 42 권 SD 제 7 호 27 논문 2005-42SD-7-5 파이프라인재귀적인기술을이용한면적효율적인 Reed-Solomon 복호기의설계 (Design of an Area-Efficient Reed-Solomon Decoder using Pipelined Recursive Technique) 이한호 * (Hanho Lee )

More information

Microsoft Word doc

Microsoft Word doc 공학석사학위청구논문 광통신용 40Gb/s Forward Error Correction 아키텍처 40Gb/s Forward Error Correction Architecture for Optical Communications 2008 년 2 월 인하대학교대학원 정보통신공학과 이승범 지도교수이한호 이논문을석사학위논문으로제출함 이논문을이승범의석사학위논문으로인정함

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2013년 7월전자공학회논문지제 50 권제 7 호 Journal of The Institute of Electronics Engineers of Korea Vol 50, NO 7, July 2013 http://dxdoiorg/5573/ieek2013507140 논문 2013-50-7-1 0 Gb/s 급광통신시스템을위한고성능저면적반복 BCH 복호기구조 ( High-Performance

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

12권2호내지합침

12권2호내지합침 14 OPTICAL SCIENCE AND TECHNOLOGY April 2008 15 16 OPTICAL SCIENCE AND TECHNOLOGY April 2008 17 18 OPTICAL SCIENCE AND TECHNOLOGY April 2008 19 20 OPTICAL SCIENCE AND TECHNOLOGY April 2008 21 22 OPTICAL

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015) ISSN

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015)   ISSN (JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, 2015 11 (JBE Vol. 20, No. 6, November 2015) http://dx.doi.org/10.5909/jbe.2015.20.6.880 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) Frame

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 05-30-3C-02 한국통신학회논문지 '05-3 Vol.30 No.3C VHDL로구현된직렬승산리드솔로몬부호화기의복잡도분석 학생회원백승훈 *, 종신회원송익호 **, 배진수 * Complexity Analysis of a VHDL Implementation of the Bit-Serial Reed-Solomon Encoder Seung hun Back*

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

09È«¼®¿µ 5~152s

09È«¼®¿µ5~152s Korean Journal of Remote Sensing, Vol.23, No.2, 2007, pp.45~52 Measurement of Backscattering Coefficients of Rice Canopy Using a Ground Polarimetric Scatterometer System Suk-Young Hong*, Jin-Young Hong**,

More information

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월 지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., 2004 5 2009 12 KOSPI200.,. * 2009. 지능정보연구제 16 권제 1 호 2010 년 3 월 김선웅 안현철 社 1), 28 1, 2009, 4. 1. 지능정보연구제 16 권제 1 호 2010 년 3 월 Support

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

45-51 ¹Ú¼ø¸¸

45-51 ¹Ú¼ø¸¸ A Study on the Automation of Classification of Volume Reconstruction for CT Images S.M. Park 1, I.S. Hong 2, D.S. Kim 1, D.Y. Kim 1 1 Dept. of Biomedical Engineering, Yonsei University, 2 Dept. of Radiology,

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P 1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.341

More information

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti ATSC a), a) A Carrier Frequency Synchronization Scheme for modified ATSC Systems Young Gon Jeon a) and Joon Tae Kim a) 3D HDTV (3-Dimensional High Definition Television). 3D HDTV HDTV ATSC (Advanced Television

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

(5차 편집).hwp

(5차 편집).hwp (215), 54(1), 17-3 211 STEAM,.. STEAM, STEAM, 5~6 11.,., 5~6...,. (, 21)., 29. (,, 212). 211 STEAM * :, E-mail: njkwon@hanmail.net http://dx.doi.org/1.15812/ter.54.1.2153.17 (215), 54(1), 17-3,. (Arts)

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 876 884. http://dx.doi.org/10.5515/kjkiees.2015.26.10.876 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

에너지경제연구 제13권 제1호

에너지경제연구 제13권 제1호 에너지경제연구 Korean Energy Economic Review Volume 13, Number 1, March 2014 : pp. 23~56 거시계량모형을이용한전력요금 파급효과분석 * 23 24 25 26 < 표 1> OECD 전력요금수준 ( 단위 : $/MWh) 27 28 < 표 2> 모형의구성 29 30 31 [ 그림 1] 연립방정식모형의개요 32

More information

63-69±è´ë¿µ

63-69±è´ë¿µ Study on the Shadow Effect of 3D Visualization for Medical Images ased on the Texture Mapping D.Y. Kim, D.S. Kim, D.K. Shin, D.Y. Kim 1 Dept. of iomedical Engineering, Yonsei University = bstract = The

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(9), 783 789. http://dx.doi.org/10.5515/kjkiees.2015.26.9.783 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) GaN-HEMT

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

07.045~051(D04_신상욱).fm

07.045~051(D04_신상욱).fm J. of Advanced Engineering and Technology Vol. 1, No. 1 (2008) pp. 45-51 f m s p» w Á xá zá Ÿ Á w m œw Image Retrieval Based on Gray Scale Histogram Refinement and Horizontal Edge Features Sang-Uk Shin,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

±è¼ºÃ¶ Ãâ·Â-1

±è¼ºÃ¶ Ãâ·Â-1 Localization Algorithms Using Wireless Communication Systems For efficient Localization Based Services, development of accurate localization algorithm has to be preceded. In this paper, research trend

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 -

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 - (51) Int. Cl. (19) 대한민국특허청(KR) (12) 등록특허공보(B1) H04B 7/04 (2006.01) H04B 7/02 (2006.01) H04L 1/02 (2006.01) (21) 출원번호 10-2007-0000175 (22) 출원일자 2007년01월02일 심사청구일자 2008년08월26일 (65) 공개번호 10-2008-0063590 (43)

More information

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선 Point Operation Histogram Modification 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 HISTOGRAM HISTOGRAM MODIFICATION DETERMINING THRESHOLD IN THRESHOLDING 2 HISTOGRAM A simple datum that gives the number of pixels that a

More information

데이터베이스-4부0816

데이터베이스-4부0816 04 269 270 2012 Database White Paper 271 272 2012 Database White Paper 273 274 2012 Database White Paper 275 276 2012 Database White Paper 277 278 2012 Database White Paper 279 280 2012 Database White

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 28(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 28(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Nov.; 28(11), 837 842. http://dx.doi.org/10.5515/kjkiees.2017.28.11.837 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) 8PSK-TCM

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 09-34-05-02 한국통신학회논문지 '09-05 Vol. 34 No. 5 높은무게 LDPC 부호의저복잡도고성능복호알고리즘 정회원조준호 *, 성원용 * High-Performance and Low-Complexity Decoding of High-Weight LDPC Codes Junho Cho*, Wonyong Sung* Regular Members

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074>

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074> 아날로그및파워 IC 워크샵 저전력아날로그 IC 설계기술 서강대학교전자공학과안길초 Contents 2 1 2 Introduction Low-Power Design Techniques 3 Conclusions 1. Introduction 3 Why Low-Power? (1) 4 Increasing demand for mobile applications Longer

More information

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소 2012 년도기초과학연구소 대학중점연구소심포지엄 마이크로파센서를이용한 혈당측정연구 일시 : 2012 년 3 월 20 일 ( 화 ) 14:00~17:30 장소 : 서강대학교과학관 1010 호 주최 : 서강대학교기초과학연구소 Contents Program of Symposium 2 Non-invasive in vitro sensing of D-glucose in

More information

LDPC(Low Density Parity Check) 16 & 32 (APSK, Amplitude and Phase- Shift Keying) DVB-S2 30% [1]. DVB-S2 (SNR, Signal to Noise Ratio), (Offset). DVB-S2

LDPC(Low Density Parity Check) 16 & 32 (APSK, Amplitude and Phase- Shift Keying) DVB-S2 30% [1]. DVB-S2 (SNR, Signal to Noise Ratio), (Offset). DVB-S2 DVB-S2 a), a), a) A Simple Carrier Frequency Recovery Scheme for DVB-S2 Systems Jong Kyu Oh a), Eun Chul Yoon a), and Joon Tae Kim a) DVB-S2., DVB-S2 20% (Offset).. Fitz,. 80%. Abstract In this paper,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 25(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 25(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Dec.; 25(12), 12751283. http://dx.doi.org/10.5515/kjkiees.2014.25.12.1275 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

(JBE Vol. 7, No. 4, July 0)., [].,,. [4,5,6] [7,8,9]., (bilateral filter, BF) [4,5]. BF., BF,. (joint bilateral filter, JBF) [7,8]. JBF,., BF., JBF,.

(JBE Vol. 7, No. 4, July 0)., [].,,. [4,5,6] [7,8,9]., (bilateral filter, BF) [4,5]. BF., BF,. (joint bilateral filter, JBF) [7,8]. JBF,., BF., JBF,. : 565 (Special Paper) 7 4, 0 7 (JBE Vol. 7, No. 4, July 0) http://dx.doi.org/0.5909/jbe.0.7.4.565 a), b), a) Depth Map Denoising Based on the Common Distance Transform Sung-Yeol Kim a), Manbae Kim b),

More information

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M.

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. 오늘할것 5 6 HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. Review: 5-2 7 7 17 5 4 3 4 OR 0 2 1 2 ~20 ~40 ~60 ~80 ~100 M 언어 e ::= const constant

More information

Microsoft Word - 1-차우창.doc

Microsoft Word - 1-차우창.doc Journal of the Ergonomics Society of Korea Vol. 28, No. 2 pp.1-8, May 2009 1 하이브리드 환경하의 인간기계시스템 제어실 평가에 관한 연구 차 우 창 김 남 철 금오공과대학교 산업시스템공학과 A Study of the Evaluation for the Control Room in Human Machine

More information

±è±¤¼ø Ãâ·Â-1

±è±¤¼ø Ãâ·Â-1 Efficient Adaptive Modulation Technique for MAC-PHY Cross Layer Optimization in OFDMA-based Cellular Systems An adaptive transmission scheme using QAM and LDPC code is proposed for an OFDMA cellular system

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information

01이국세_ok.hwp

01이국세_ok.hwp x264 GPU 3 a), a), a) Fast Stereoscopic 3D Broadcasting System using x264 and GPU Jung-Ah Choi a), In-Yong Shin a), and Yo-Sung Ho a) 3 2. 2 3. H.264/AVC x264. GPU(Graphics Processing Unit) CUDA API, GPU

More information

24 GHz 1Tx 2Rx FMCW ADAS(Advanced Driver Assistance System).,,,. 24 GHz,, [1] [4]. 65-nm CMOS FMCW 24 GHz FMCW.. 송수신기설계 1 1Tx 2Rx FMCW (Local Oscillat

24 GHz 1Tx 2Rx FMCW ADAS(Advanced Driver Assistance System).,,,. 24 GHz,, [1] [4]. 65-nm CMOS FMCW 24 GHz FMCW.. 송수신기설계 1 1Tx 2Rx FMCW (Local Oscillat THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 758 765. http://dx.doi.org/10.5515/kjkiees.2018.29.10.758 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) 24

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 06-31-12C-03 한국통신학회논문지 06-12 Vol.31 No.12C IEEE 802.16e 표준에제시된 LDPC 부호의수렴속도개선을위한복호방법 정회원장민호 *, 신범규 *, 박우명 *, 종신회원노종선 *, 정회원전인산 ** Decoding Method of LDPC Codes in IEEE 802.16e Standards for Improving

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

-

- World Top 10 by 2030 CONTENTS CONTENTS 02 03 PRESIDENT S MESSAGE 04 05 VISION GOALS VISION GOALS STRATEGIES 06 07 HISTORY 2007 2008 2009 2010 2011 08 09 UNIST POWER 10 11 MPI USTC UNIST UCI UTD U-M GT

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

04 김영규.hwp

04 김영규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1121 1127. http://dx.doi.org/1.5515/kjkiees.214.25.11.1121 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Planar

More information

종합물가정보 2016년 4월호

종합물가정보 2016년 4월호 April 21 26 28 30 34 38 40 42 46 53 54 56 58 60 61 61 62 62 63 64 66 69 397 523 617 695 875 929 959 1 19 157 069 070 071 071 072 072 073 074 075 075 076 077 078 079 080 081 082 083 084 084 085 086 088

More information

005- 4¿ùc03ÖÁ¾š

005- 4¿ùc03ÖÁ¾š 210 212 213 214 215 218 219 223 224 226 4 228 229 230 231 232 233 236 238 240 241 244 245 _ April 1 210 1946 1970 211 _ April 212 1946 1970 _ April 4 213 _ April 3. 3 214 1946 1970 _ April 5 215 216 1946

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 901 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 (A Effective Sinkhole Attack Detection Mechanism for LQI based Routing in WSN) 최병구 조응준 (Byung

More information

04 Çмú_±â¼ú±â»ç

04 Çмú_±â¼ú±â»ç 42 s p x f p (x) f (x) VOL. 46 NO. 12 2013. 12 43 p j (x) r j n c f max f min v max, j j c j (x) j f (x) v j (x) f (x) v(x) f d (x) f (x) f (x) v(x) v(x) r f 44 r f X(x) Y (x) (x, y) (x, y) f (x, y) VOL.

More information

감각형 증강현실을 이용한

감각형 증강현실을 이용한 대한산업공학회/한국경영과학회 2012년 춘계공동학술대회 감각형 증강현실을 이용한 전자제품의 디자인 품평 문희철, 박상진, 박형준 * 조선대학교 산업공학과 * 교신저자, hzpark@chosun.ac.kr 002660 ABSTRACT We present the recent status of our research on design evaluation of digital

More information

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770>

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770> 북한의 주요 농업 관련 법령 해설 1) 이번 호와 다음 호에서는 북한의 주요 농업 관련 법령을 소개하려 한다. 북한의 협동농장은 농업협동조합기준규약초안 과 농장법 에 잘 규정되어 있다. 북한 사회주의 농업정책은 사회 주의농촌문제 테제 2), 농업법, 산림법 등을 통해 엿볼 수 있다. 국가계획과 농업부문의 관 계, 농산물의 공급에 관해서는 인민경제계획법, 사회주의상업법,

More information

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 (1920~1945 ) 1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 1918 4 1930 1933 1 932 70 8 0 1938 1923 3 1 3 1 1923 3 1920 1926 1930 3 70 71

More information

에너지경제연구 제13권 제1호

에너지경제연구 제13권 제1호 에너지경제연구 Korean Energy Economic Review Volume 13, Number 1, March 2014 : pp. 83~119 거시계량모형을이용한유가변동및 유류세변화의파급효과분석 * 83 84 85 86 [ 그림 1] 모형의해결정과정 87 [ 그림 2] 거시계량모형의흐름도 (flow chart) 88 89 < 표 1> 유류세현황 (2013

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

(2005) ,,.,..,,..,.,,,,,

(2005) ,,.,..,,..,.,,,,, (2005)... 20...,,.,..,,..,.,,,,, 24. 24. 1). 24. 24. 24. 24. PC,,, 24..,. (Paul Virilio).... (George Ritzer),.,,,. 2). 1), 24,,, 2001. 17. 2),,,, 2001, 22. . 3)... 4) 1. 20 1989.. 24.. 5),.,,,,,. 6).,,

More information

08김현휘_ok.hwp

08김현휘_ok.hwp (Regular Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.369 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) An Audio Coding Technique Employing the Inter-channel

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Mar.; 25(3), 304310. http://dx.doi.org/10.5515/kjkiees.2014.25.3.304 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

10 서석용(69~79)_수정.hwp

10 서석용(69~79)_수정.hwp 병행설계를이용한 H.264/AVC 의 DCT 및 CAVLC 하드웨어구현 왕덕상 *, 서석용 **, 고형화 **0 Duck-Sang Wang *, Seok-Yong Seo ** and Hyung-Hwa Ko **0 요약 H.264/AVC DCT(Discrete Cosine Transform) CAVLC(Context-Adaptive Variable Length

More information

2 : (JEM) QTBT (Yong-Uk Yoon et al.: A Fast Decision Method of Quadtree plus Binary Tree (QTBT) Depth in JEM) (Special Paper) 22 5, (JBE Vol. 2

2 : (JEM) QTBT (Yong-Uk Yoon et al.: A Fast Decision Method of Quadtree plus Binary Tree (QTBT) Depth in JEM) (Special Paper) 22 5, (JBE Vol. 2 (Special Paper) 22 5, 2017 9 (JBE Vol. 22, No. 5, Sepember 2017) https://doi.org/10.5909/jbe.2017.22.5.541 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) (JEM) a), a), a) A Fast Decision Method of Quadtree

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 978 984. http://dx.doi.org/10.5515/kjkiees.2015.26.11.978 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Transceiver

More information

07변성우_ok.hwp

07변성우_ok.hwp 2 : (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.631 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a), b) Metadata Management System Implementation

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 8, Aug [3]. ±90,.,,,, 5,,., 0.01, 0.016, 99 %... 선형간섭

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 8, Aug [3]. ±90,.,,,, 5,,., 0.01, 0.016, 99 %... 선형간섭 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Aug.; 27(8), 693700. http://dx.doi.org/10.5515/kjkiees.2016.27.8.693 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Design

More information

I

I I II III (C B ) (C L ) (HL) Min c ij x ij f i y i i H j H i H s.t. y i 1, k K, i W k C B C L p (HL) x ij y i, i H, k K i, j W k x ij y i {0,1}, i, j H. K W k k H K i i f i i d ij i j r ij i j c ij r ij

More information

006- 5¿ùc03ÖÁ¾T300çÃâ

006- 5¿ùc03ÖÁ¾T300çÃâ 264 266 268 274 275 277 279 281 282 288 290 293 294 296 297 298 299 302 303 308 311 5 312 314 315 317 319 321 322 324 326 328 329 330 331 332 334 336 337 340 342 344 347 348 350 351 354 356 _ May 1 264

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 207 Mar.; 28(3), 7785. http://dx.doi.org/0.555/kjkiees.207.28.3.77 ISSN 226-333 (Print)ISSN 2288-226X (Online) Performance Comparison

More information

음악부속물

음악부속물 Music Industry White Paper 2010 1-1-1 18 19 1-1-1 20 21 1-1-2 22 23 1-1-3 24 25 1-1-4 26 27 1-1-2 28 29 30 31 1-1-5 32 33 1-1-6 34 35 1-1-7 36 37 1-1-8 38 39 1-1-9 40 41 1-1-10 1-1-3 42 43 1-1-4 1-1-5

More information