슬라이드 1

Size: px
Start display at page:

Download "슬라이드 1"

Transcription

1 미래선도기술이슈분석보고서 나노소재를이용한전자소자 나노튜브와나노와이어를이용한전자소자로의적용현황및전망 박정원, 동향정보분석팀

2 미래선도기술이슈분석보고서는혁신형중소기업정보분석지원사업의일환으로작성된보고서로서, 유망기술에대한이슈분석을통해국내기업들이자사에적합한사업아이템발굴기회를극대화하는데목적이있다. 이슈분석대상은글로벌동향브리핑 (GTB) 사업에서축적한약 10년간의글로벌모니터링정보를키워드빈도분석후수요조사를통해정하였다. 또한국내외연구개발동향, 산업동향및기술 / 실용화 / 파급효과등의측면에서의이슈제기및분석을해당분야전문가와공동으로수행함으로서수요자중심의보고서가되도록노력하였다 미래선도기술이슈분석보고서 나노셀룰로오즈보강복합재료 차세대하드디스크 HAMR 멀티페로익스 (Multiferroics) 탄소나노튜브 휴대용연료전지 칩내장형임베디드기술 유전자치료 열화학적복합전환공정 자기냉장고 유기반도체태양전지 중전기기용나노절연재료 무선통신망간의간섭 이동통신 - 무선랜통합망의보안 해외선진국반도체장비기술동향 동유럽의 VoIP 사업현황 지능형자동차에사용되는텔레매틱스기술동향 주요선진국의냉동 공조기술현황 영상진단기기및초음파영상진단기기제품현황 해외주요국의디지털전자제품동향 광촉매박막제조기술 산업용무선필드버스 P2P 네트워크 센서네트워크기술 온라인게임 임베디드기술 십진부동소수점연산기 게임산업 나노소재를이용한전자소자 유기반도체 (Organic Semiconductors) 공기오염센서 위성항법시스템시험장 (GATE) 위성합법시스템소프트웨어수신기 광촉매의성능및응용기술현황 해외선진국의 DMB/DAB 기술동향 신약개발을위한 RNAi 제품현황 해외선진국의위성항법시스템기술동향 최근의게임시장동향 해외주요국의디지털전자제품동향

3 Contents 1 서론 기술 / 산업개요기술 / 산업의종류및특성이슈분석의필요성 본론 국내외연구개발동향국내외시장동향및전망 이슈분석 나노튜브와나노와이어를이용한전자소자개발의최근또는향후이슈실용화, 산업화를위한기술 ( 산업 ) 적과제산업적응용분야및경제적파급효과 결론 33 참고문헌 34

4 서론 1기술 / 산업개요 기술 / 산업의종류및특성 이슈분석의필요성

5 5 1 서론 기술 / 산업의개요 나노기술에대한관심이 2000년대에들어와급부상하고있음. 나노기술은그자체가효율적이고경제적이며친환경적이므로과학과기술을새로운방향으로발전시키면서산업계에막대한영향을미칠수있음. 현재인류가당면한기술발전과환경오염이라는상반된문제의근본적인해결책이될수도있을것으로기대하고있음. 나노기술의발전은신소재, 생명공학이나신약개발에크게영향을미치며정보기술 (IT) 을주체로한새로운지식산업의발전을가속화시켜이에따른소프트웨어산업도한층더발전시킬것으로예상됨. 이러한정보산업의발전은기타유통산업이나금융산업에도상당한파급효과를가져올것으로예상됨. 특히, 반도체나노와이어 (Semiconductor nanowires (NWs)) 및나노결정 (nanocrystals) 과탄소나노튜브 (Carbon Nano Tube: CNT) 는작은물질의제조공정 (bottom-up paradigm) 에의한어레이 (arrays) 와나노수준의전자소자에제조에다양한가능성을열어줄것으로보이기때문에각광받는신기술중의하나임. 나노기술의개발이중요한것은나노기술이갖고있는무한한잠재성에있지만, 실제로는나노기술이갖는특성이나속성때문으로, 미국을비롯한선진국에서는이나노기술에의한새로운산업혁명의도래를예측하기도함.

6 6 1 서론 기술 / 산업의종류및특성 가. 기술 / 산업의종류및특성 세계적으로나노기술은아직개발초기상태이며이며따라서, 사업화된예가많지않음. 나노소재기술은특정분야에국한된기술이아니며기존산업의거의전분야는물론 IT, BT, ET 분야에기술혁신을가져올것으로예측됨. 나노소재관련기술은독점성이강하며대단히큰파급성을갖고있음. 나노소재가갖는특이한물성은신규시장의창출을가능하게하거나기존시장의완전대체를가능하게도함. 이러한나노물질은여러기술분야에서새로운기능과향상된성능의소자를구현하였음. 이러한나노재료의성능은화학조성 (chemical composition) 과대상의구조및크기, 모양 (morphology) 과도핑에의하여좌우됨. 예를들면, 카본나노튜브는기계적으로쉽게변형되지않으며화학적안정성과음의전자친화도 (negative electron affinity; NEA) 등의많은장점을가지고있으며, 이와같은장점으로인해전자소자로서응용가능성이높아지고있음.

7 7 1 서론 이슈분석의필요성 가. 이슈분석의필요성 100nm보다작은크기를갖는미소구조물을만들수있는실용적인방법의개발은현재미소구조물응용분야가직면하고있는가장큰과제임. 기존의탑-다운 (TopDown) 기술인광리소그래피기술이나바텀-업 (BottomUp) 기술인자기조립기술은각자독자적인방법으로 50nm 이하의피처크기 (Feature size) 를원하는기능을갖도록패터닝하거나제작하는일은매우어려운일로간주되었음. 전자소자와광학소자는가정에서부터통신시스템, 컴퓨터, 의료용장비에까지많은영향을미치는영역임. 현재더욱정밀하고강력한시스템사양에맞추기위하여나노수준의새로운기능을가지면서효율이높은소자를제조하는것은매우중요함. 탄소나노튜브와반도체나노와이어는제어된성장과정렬을통하여새로운나노소자와나노광학소자로의응용에새로운장을열어주고있음. 따라서여기서는이러한나노수준의전자소자에응용되는전자소자및광학소자와향후응용을위한집적등에대하여다루고자함. 특히탄소나노튜브와같은나노와이어들은소재의훌륭함에도불구하고만들어진소재자체를 TopDown 방식으로패터닝하거나조립하는일은거의불가능하였음. 그러나모든연구자가직경이 1nm인탄소나노튜브를규소웨이퍼 (Wafer) 상의미세선에대체할수있으면기존의리소그래피기술로서는도달할수없다고판단되는테라비트 (Tera Bit) 급의메모리를구현할수있을것으로기대하고있음. 특히탄소나노튜브가가지고있는물리적, 전기적, 기계적성질은기존리소그래피기술로가공하여만들수있는어떤소재에비해서도뛰어난성능을가지고있으므로이를이용한나노디바이스를제작하는것은꿈의기술로여겨짐.

8 8 본론 2 국내외기술동향 국내외시장동향및전망

9 9 2 본론 국내외기술동향 가. 국내기술동향 국내에서는근래에들어일부대학에서나노와이어와탄소나노튜브의이론연구와합성에대한연구를추진해오고있으며, 최근에는나노와이어와탄소나노튜브의중요성에대한인식이확산됨에따라여러국립연구소와민간기업연구소등에서도합성과응용에대한연구를추진하고있음. 일부연구팀에서는탄소나노튜브합성과전자방출특성에서큰성과를거두고있지만, 아직도나노와이어와탄소나노튜브응용연구수준은전반적으로선진국에비해다소떨어지는편임. 국내대학의탄소나노튜브관련연구경향을살펴보면, 최근전북대에서는마이크로파플라즈마 CVD법에의한탄소나노튜브합성과 FED 응용에관한연구를추진중이며, 성균관대에서는 Hot filament RF 플라즈마 CVD법에의한탄소나노튜브합성과 FED 응용에관한연구를진행중이며, 연세대에서는열 CVD에의한탄소나노튜브합성과에미터응용에관한연구를추진중임. 또한그외의연구팀들도활발히합성에관한연구를진행하고있음. 표1 에국내의탄소나노튜브합성및에미터응용에관한연구현황을나타냈었음. 삼성종합기술원에서는탄소나노튜브를이용한 FED 제작연구를착수하여스크린프린팅 (screen printing) 방법으로 9인치 FED의동영상구현에성공하였는데, 저전압에서기존의 FED보다높은휘도 (1,800 cd/cm2 at 3.7 V/μm) 를보여주였음. 그러나 FED 제작에실제로사용된탄소나노튜브는주로외국에서합성한것을구입하여사용한것이여서핵심기술에있어서는여전히해외의존적임. 한편국내의에미터응용기술개발은지금까지주로 FED 응용에관심이집중되어있었음. 현재국내연구팀의 CVD를이용한탄소나노튜브의합성기술은부분적으로는선진국과거의동등한수준에도달했지만, 대량생산기술과 single-walled 탄소나노튜브합성법등에서는전반적으로아직도뒤쳐진상태임. 최근에보고된 9인치크기의스크린프린팅법에의한초기수준의 FED 개발은외국에비해손색이없는수준이지만전반적인에미터응용을비롯한각종응용연구수준은아직도선진국에비해크게뒤진상태임. 국내외적으로도기판에수직배향된탄소나노튜브를이용한 FED 개발은보고된바없으며, FED 이외의다른전자방출시스템 ( 백색광원, LCD back light, CRT 전자방출원, VFD 등 ) 에대한연구는아직거의시작단계에머무르고있는실정임.

10 10 표 1. 국내의탄소나노튜브의합성과에미터응용에관한연구 년도소속연구자연구성과구분 1998 서울대임지순 CNT 의 emission 특성에대한이론적연구에미터 1998 군산대, 전북대 이철진, 이영희 전기방전법으로 MWNT 합성 합성 1999 군산대이철진열 CVD 법으로 MVNT 수직배향합성합성 1999 군산대이철진 RF 플라즈마 CVD 법으로 MWNT 수직배향합성합성 1999 군산대이철진 열 CVD 법으로대면적기판위에서고순도 MWNT 수직배향합성및전계전자방출성공 합성, 에미터 1999 군산대이철진열 CVD 법으로유리기판위에서 MWNT 저온합성성공 (550 도 ) 합성 1999 전북대이영희마이크로파플라즈마 CVD 법으로 MWNT 합성맟전계전자방출성공 합성, 에미터 1999 삼성종기원 김종민, 최원봉 Screen printing 법으로 9 인치기판에서 FED 제작 에미터 1999 성균관대 유지범 Hot flament RF 플라즈마 CVD 법으로 MWNT 합성및전계방출성공 합성, 에미터 1999 서울대서정상알루미나기판의구멍에 MWNT 수직합성합성 1999 연세대백홍구열 CVD 법으로 MWNT 수직배향합성및전계전자방출성공 합성, 에미터 1999 경희대장진 RF 플라즈마 CVD 법으로 MWNT 수직배향합성합성 1999 광주과기원 이성훈레이저증착법으로 SWNT 합성합성 2000 군산대이철진 CRT 의전자방출원으로수직배향된 MWNT 의적용평가에미터 2000 ETRI, 군산대 이철진, 이진호 수직배향된 MWNT 를이용한 TFT controlled FED 성공 에미터 2000 군산대이철진기상합성법으로 MWNT 대량합성성공합성

11 11 2 본론 국내외산업동향 나. 해외기술동향 나노소재와관련한기술은, 현재까지는선진국에서우위를점하고있음. 소재가가지는기초과학력에대한요구도있지만사용부분에대한인지도가선진국에서높게나타나기때문에아직은이렇다할상품화가이루어지지않았음에도불구하고이에대한연구가많이진행되고있는실정임. 탄소나노튜브는 21 세기선도기술로주목받고있는나노미터크기의극미세영역을기반으로하는나노테크놀러지를대표하는전략핵심소재중의하나로서, 최근에이를소재로서이용뿐만이아니라적용제품을통해서도실용화와연결시키려는노력이활발히진행되고있음. 탄소나노튜브를전자방출원으로써적용한광소자, 즉전계방출디스플레이 (Field Emission Display: FED) 와형광표시관 (Vacuum Fluorescent Display: VFD) 과같은전계방출평판표시소자 (Field Emission Devices) 분야와광원 (Light source) 이주목을받고있음. 현재전지및복합체분야등에이용할목적으로 CVD 방식을이용하여합성되는비교적저급소재의경우, 대량생산기술을보유하고있는곳이이미세계적으로약 4 개회사가있으며, 기술수준도이미기존에사용되고있는탄소소재를대체할수있을정도의경쟁력을가지고있음. 전계방출분야에이용할수있는고급소재의경우에는현재까지합성기술이현저히앞서있는기업은없음. 미국의 Carbolex 및 CNI 사, 일본의 Showa-Denko 사, 한국의일진나노텍등이전계방출용 CNT 분야에연구개발을집중하고있음. 표 2 는국외소재관련개발현황을보여주고있음. 상업적제품의생산이원활하지않음에도불구하고탄소계나노소재를생산, 판매하는회사는전세계적으로약 60 여개정도가있으며, 기술력의한계로인하여대부분한가지품목의소재를집중생산하고있음. 외국의경우많은그룹이카본나노튜브를이용한전계방출소자에대한활발한연구를진행하고있으며, 일본의경우노리타케철강사 (Noritake Itron Corp.;Ise Electronic) 의 CNT 를이용한냉음극벌브형램프및열화학기상증착법 (thermal CVD) 로 CNT 를성장시켜 3.7 인치패널 ( 화소 1mm x1mm) 을제작하여 RGB 그래픽이미지를선보였음 ( 그림 2). 현재오사카대학에서는전기영동법 (electrophoresis) 을이용한 FED 및 LCDbacklight 를개발중이며, 미쯔비시전자는스크린프린팅방법을이용한 CNT lighting tube (Large-scale Tield Display ; 64 pixels, 256 dots, 휘도 3000cd/ m2 ) 개발결과를발표하였음 ( 그림 3).

12 12 또한미에대학과에서는탄소나노튜브를전자총으로이용한 CRT 전자방출원연구개발하고있으며, 이는기존의고온가열방식의전자총보다 2 배이상높은휘도와 7,000 시간이상안정된전류방출특성을나타내는것으로알려졌음. 일본의한업계에서는문자구동이가능한 4 인치급탄소나노튜브 3 전극 FED 를개발하였으나아직은해상도및안정성등의문제가있는것으로알려져아직상업화에는성공하지못하고있음. 전체적으로일본의기업연구소및국립연구소에서탄소나노튜브를이용한 FED 또는 CRT emitter 에관한연구논문을최근에많이발표하고있는실정임. 표 2. 국외탄소나노튜브소재관련개발현황 회사명생산품목생산량 (/ 년 ) 응용처 Hyperion Catalysis Interantional (USA) MWNT (CVD) t t 대전방지, 도료, 전도성플라스틱 Carbon Nanotechnologies Incorporated (USA) SWNT (HiPCO, Laser) Kg t Nanocomposites Carbolex (USA) SWNT (ARC) Kg Kg FED BUCKY USA (USA) Fullerene MWNT,SWNT t t Non-linear optics Pharmaceuticals Mitsui (Japan) MWNT t 연료전지, 도료용 Mitsubishi (Japan) Fullerene t 예정 의료용 Nikkiso (Japan) MWNT (CVD) Showa-Denko (Japan) VGCF (CVD) MWNT (CVD) VGCF - 수백 t MWNT - 수백 t 이차전지, 복합체 Honjo chemical (Japan) Fullerene, CNT (ARC) 대량합성 청화대학 (China) MWNT 30 t 120 t 규모가능

13 13 미국의경우는에스아이다이아몬드 (SI Diamond) 에서출자한어플라이드나노텍 (Applied Nanotec) 에서 CNT 를이용한광원, 옥외광고판및 Field emission display (Hybrid FED: HyFE) 개발중이며 ( 그림 4), 모토로라 (Motorola) 와듀폰사 (Dupont) 등에서도연구를진행중임. 유럽의경우는스위스 EPFL 에서 CNT 를이용한형광램프 (luminescent lamp) 를개발하였으며, EC(European Commission) 를중심으로 CNT 전계방출표시소자의개발을위한컨소시엄형태로연구 (CANADIS, TAKOFF 등 ) 를진행하고있음. 러시아의이론및실험물리연구소 (Institute of Theoretical and Experimental Physics, Moscow State University) 에서는 nanocarbon (nc) thin film 를이용한직관형이극관램프를제작한바있음. 그외중국의중한대학 (Zhongshan University), 싱가포르나양기술대학 (Nanyang Technological Uniersity) 와대만의산업기술연구소 (Industrial Technology Research Institute) 등에서간단한문자표시용평면형광원을발표한바있음. 그림 1. 냉음극벌브형램프와 3.7 인치패널 RGB 그래픽이미지 (Noritake Itron) 그림 2. 탄소나노튜브광원튜브 (Mitsubishi Electric)

14 14 미국의경우에는대학을중심으로나노소재관련연구가활발한편이다. 일례로노스캐롤라이나 (North Carolina) 대학에서는탄소나노튜브의방출 (emission) 에관한연구결과를많이발표하고있으며, 미국에서는수많은대학연구실과국립연구기관에서탄소나노튜브의방출및 FED 소자응용에관한연구결과를발표하고있음. 표 3 에탄소나노튜브합성과에미터응용에관한외국의중요한연구결과를나타냈었음. 표 3. 외국의탄소나노튜브의합성과에미터응용에관한연구 연도 국가 소속 연구자 연구성과 구분 1991 일본 NEC Ijima 전기방전법으로 MWNT 합성 합성 1993 미국 caltech Bethune 전기방전법으로 single-walled CNT 합성 합성 1995 미국 라이스대학 Samlley 탄소나노튜브 FED의전자방출능력증명 에미터 1995 스위스 이콜폴리텍 De Heer 탄소나노튜브 FED 를최초로설계 에미터 1996 미국 라이스대학 Samlley 레이저증착법으로 single-walled CNT 대량합성 합성 1997 영국 Sussex 대학 Kroto 열분해법으로 CNT 수평배향합성 합성 1998 미국 Northwestern 대학 Chang screen printing에의한 FED matrix 설계 에미터 1998 일본 Me 대학 Satio MWNT를이용한 FED 제작성공 에미터 1998 미국 SUNY (Buffalo) Ren RF 플라즈마 CVD법으로 MWNT 수직배향합성 합성 Smally 1998 인도 인도과학원 CNR Rao 열분해법으로 SWNT 합성 합성 1999 미국 Boston college Ren 나노크기패턴위에서 MWNT 수직합성 합성 1999 캐나다 Toronto 대학 Li 알루미나기판의미세구멍에서 MWNT 합성 합성 1999 미국 라이스대학 Samlley 기상합성법으로 SWNT 대량합성 합성 1999 인도 인도과학원 Li 기상합성법으로 SWNT, NWNT 대량합성 합성 1999 미국 켄터키대학 Rao 기상합성법으로 NWNT 대량합성 합성 2000 일본 Meyo 대학 Ando Arc plasma jet 법으로 SWNT 대량합성 합성 2000 미국 Berkely 대학 Jettl Liquid nitrogen Arc discharge 법으로 MWNT 대량합성 합성 2000 미국 Oak Ridge 연구소 Merkubv 점및선형패턴위에서 MWNT 수직배향합성 합성

15 15 반도체메이커인인피니언 (Infineon) 이나삼성전자, NEC 등에서는탄소나노튜브를이용한메모리연구를계속하고있으며, 이미난테로 (Nantero Inc., 미국 ) 에서는탄소나노튜브를사용한비휘발성메모리 (Non-volatile memory) 의초기원형 (Prototype) 을완성하여발표하기도했음. 또한디스플레이분야에서삼성전자, 일본의아세전자 (Ise Electronics), LG 전자등은나노소재를이용한 FED 등에대한연구를하고있음. 탄소나노튜브의합성과에미터응용에관한연구는미국, 영국, 독일, 일본등선진각국에서국내연구팀보다수년앞서서다양한합성법및각종응용연구를활발히수행하고있음. 우리나라에서도 나노기술종합발전계획 의수립하고이를추진하고있으며, 특히, 21 세기초까지나노기술선진 5 대국입지확보를위한 10 개년종합발전계획으로국가과학기술위원회에서확정하고, 국가정책에서도부처간역할분담과협조 연계를위해 국가과학기술위원회 에서각부처의정책과계획을검토 조정토록하고있음.

16 16 2 본론 국내외시장전망및동향 가. 국내시장전망및동향 전계방출에기반한탄소나노튜브신광원개발은국내 CNT 응용기술확산과기존조명기기를대체할수있음. 또한절전형기기개발로인해열악한에너지환경속에서범국가적차원의원천적인에너지절약및새롭게강화되고있는국제적인환경규제움직임에능동적인대응을가능하게하는등대규모의파급효과를가져올수있음. 전계방출에기반한탄소나노튜브신광원개발은국내 CNT 응용기술확산과기존조명기기를대체할수있음. 또한절전형기기개발로인해열악한에너지환경속에서범국가적차원의원천적인에너지절약및새롭게강화되고있는국제적인환경규제움직임에능동적인대응을가능하게하는등대규모의파급효과를가져올수있음. 나노기술에있어서는국내업계에서도많은심혈을기울여개발에박차를가하고있으며, 예를들어, 삼성 SDI 는스크린프린팅 (screen printing) 법으로탄소나노튜브를전자총으로사용하는 7 인치 FED 를개발해 2001 년에일본오사카에서열린심포지엄에서선보인바있고, 현재에는 32 FED 를개발한바있음. ( 그림 5) 그림 인치 3 극형탄소나노튜브전계방출디스플레이패널의동작모양 ( 삼성 ) KIST 와일진나노텍은 2002 년부터공동으로탄소나노튜브를이용한평면형광원을연구하여오고있음 ( 그림 6). 이때사용되는탄소나노튜브음극은화학기상증착법 (Chemical Vapor Deposition) 에의한성장이나합성후에스크린프린팅을이용하여제작되며, 양극으로는음극선관용형광체가도포된유리기판을적용하였음. 휘도는 15,000cd/m2 이상을얻을수있다. 또한 ( 주 ) 새한에서의 2 년간의연구, 신규법인나노퍼시픽으로창업후 1 년간의개발등총 3 년간의연구개발의결과로스크린프린팅법으로최근 4.5 및 5.7 CNT- 평면광원의 Prototype 을시제작하는데성공하였고, 최대발현휘도 20,000cd/ m2를보였음 ( 그림 7).

17 17 그림 4. 평면형광원의구조및동작모양 (KIST & 일진나노텍 ) 그림 CNT 평면광원의 Prototype 발광사진 ( 나노퍼시픽 )

18 18 나. 해외시장동향및전망 미국을위시하여일본, 독일, 프랑스, 영국등에서 21 세기첨단전자정보산업분야의경쟁력확보와고기능성복합소재의경쟁력확보차원에서국가적인지원아래탄소나노튜브의합성및응용에대한연구가추진되고있으며, 특히, 에미터및디스플레이응용, 2 차전지및연료전지, 나노부품및시스템, 고기능복합체등에관한응용연구는앞으로더욱활발하게진행될예정임. 현재 FED 는본격적인시장이형성되지않은도입단계로 2002 년에는 1,800 만달러의미미한시장규모였으나 2006 년까지연평균 76.6% 성장하여 6,500 만달러의시장을형성할것으로예상됨. 해외시장개척을위한국내기업의활동으로 LG 전자는 20 인치 FED 제품개발에성공하여 20 인치대제품생산을위한시험라인가동을 2004 년착수할예정임. 삼성전자는생산원가절감이가능한탄소나노튜브 (CNT) 기술을적용한 FED 개발에성공 30 인치급디지털 TV 를 2004 년까지상업화하기위하여고휘도 / 저가격 / 대형평판소자의개발을진행중임. 소니사 (Sony) 는미국의칸데슨트 (Candescent) 사와제휴하여 13.2 인치 FED 를이미개발하였으며 15~40 인치 FED 를 2003 년에상업화할목표로공동개발중이며, 도시바와캐논은합작법인을설립하여 32 인치 FED Photo Type 을공동개발하는등수년전부터 FED 개발을진행해왔으며상용화제품을내놓을계획을갖고있음.

19 19 이슈분석 3 나노튜브와 나노와이어를이용한전자소자개발의최근또는향후이슈 실용화, 산업화를위한기술 ( 산업 ) 적과제 산업적응용분야및경제적파급효과

20 20 3 이슈분석나노튜브와나노와이어를이용한전자소자개발의최근또는향후이슈 지난수십년간실리콘마이크로소자의발달은매우고무적이었음. 이러한기술로인하여컴퓨터, 통신장비와자동화기술은우리의삶을정보시대 (information age) 로변화시켰음. 이러한기술은지속적으로미세화되고있지만실리콘을이용한소자는향후이러한선폭의한계가곧도래할것으로보고있음. 현재반도체기술에있어서향후 10년간새로운기술과소자의선폭에대한로드맵이잘설정되어있음. 국제반도체기술로드맵 (International Technology Roadmap for Semiconductors) 에서는탄소나노튜브와나노와이어와같은 1차원적인구조를가지는소자에대한실제적인목표치를제시하였음. 하지만이러한소자는아직도산업체의측면에서보면연구단계이기때문에기존의금속-산화물-반도체 (complementary metal-oxidesemiconductor ;CMOS) 에버금가는성능을보여주느냐가가장큰이슈임. 반도체산업의역사를보면, 대면적으로집적이가능하였을때만이실제효용가치가있는것이사실임. 일례로전세계적으로반도체에관심이있는나라들이지난 2005 년 9 월유럽연합내에서반도체나노와이어기술이실험실수준에서산업에응용가능한수준으로발전할수있을지에대한심도있는토의가있었음. 그들이지적한기술응용과이전에대한여러문제점과이슈에대하여여기서다루고자함. 가. 나노튜브를이용한전자소자개발과이슈탄소나노튜브트랜지스터 (Carbon nanotube field-effect transistors) 최초의탄소나노튜브트랜진스터는 1988년에제조되었음. 초기의탄소나노튜브트랜지스터는 나노미터정도의실리콘산화물위에두개의금속전극을연결한후면게이트 (back gate) 구조로만들었음. 이러한소자는아주낮은성능과높은접촉저항을가졌음. 여기서약간더발전된형태로탄소나노튜브위에전극을증착하고열처리하여스위치전류의비를 106의형태로만들었음. 아래그림은후면게이트구조와그에따른전기적특성을보여주고있음. 그림 nm 길이와 1.8 nm 직경을가지는탄소나노튜브전계효과트랜지스터의후면게이트구조및전기적특성

21 21 대부분의후면게이트구조를가지는탄소나노튜브전계효과트랜지스터는제조하기에매우간단하기에많은연구가진행되었음. 하지만이러한구조는특히개별적으로하나하나씩게이트전압을가하기힘들기때문에대면적으로집적하기에는한계가있음. 이런문제를극복하기위하여 IBM에서는상단게이트 (top-gate) 구조와얇은유전체박막을이용하여실리콘소자와필적할만한연구결과를보였음. 또한더욱나노튜브의효율을높이기위하여이중게이트 (double-gate) 구조로만들어서스위칭효율및제어를용이하게하였음. 하지만이러한노력에도불구하고아직도대면적화에따른개별적인소자의동작에는걸음마단계임. 도핑을통한탄소나노튜브의극성변화 (Doping of nanotubes) 탄소나노튜브가 CMOS (complementary metal-oxide-semiconductor) 반도체소자에적용되기위해서는 p-타입과 n-타입이모두필요함. 하지만탄소나노튜브는기존의반도체공정에응용된이온주입법 (ion implantation) 으로는도핑이되지않음. 또한아주작은직경을가진탄소나노튜브는붕소 (B) 나질소 (N) 와같은도펀트 (dopant) 의높은응력에의하여결정격자에결함을유발함. 이러한이유로전하를전도하는도핑법 (charge-transfer doping) 을사용함. 전하를전도하는도핑법은주로양극성소자 (ambipolar devices) 를 p- 타입소자로바꾸거나, p-타입소자를 n-타입소자로바꾸는데사용됨. 예를들면칼륨 (K) 원자들이나아민이함유된분자들 (amine- containing molecules) 이대표적인예임. 아래그림은이들을이용한 p-타입과 n-타입의전기적거동을보여줌. 또한화학적도핑외에다중게이트 (multiple gates) 를이용하여정전기도핑 (electrostatic doping) 을통하여쇼트키장벽 (SB) 를조절하여 p-i-n 구조나 n-i-n 구조를만들었음. 그림 7. (a) Triethyloxonium hexachloroantimonate (C2H5)3O+SbCl6- (OA) 을이용하여도핑한탄소나노튜브트랜지스터의전기적특성, (b) Hydrazine 을이용하여도핑한탄소나노튜브트랜지스터의전기적특성

22 22 탄소나노튜브집적 (Integrated nanotube electronic circuits) 다음의이슈로는개개의탄소나노튜브의또다른최적화조건으로사용되기위하여논리회로 (logic circuits) 로의집접이매우중요함. 최초의탄소나노튜브논리소자는 NOT 게이트구조로 IBM 의연구진들에의해구현되었음. 그들은탄소나노튜브를패턴한후에 p- 타입과칼륨에의하여도핑된 n- 타입을이용하여인버터 (inverter) 소자를만들었음. 이러한초기연구를바탕으로각각의전계효과트랜지터 (FET) 를이용한논리소자를구현하였음. 최근에는더욱복잡하고발전된소자를위해서 5단계링-오실레이터 (five-stage ringoscillator ;RO) 를하나의탄소나노튜브에집적하였음. 이러한탄소나노튜브는 5 쌍의 p- 타입과 n-타입으로구성된 CMOS를가지고있으며, 팔라디움 (Pd) 를전극으로사용하였음. 이에따라문턱전압 (threshold voltages) 의특성을이용하여팔라디움전극을 p-타입탄소나노튜브에사용하고알루미늄전극을 n-타입탄소나노튜브에사용하였음. 이러한새로운접근법으로도핑을통한문제를해결하였음. 또한고유전물질인알루미늄산화물 (AlOx) 을게이트전극으로사용하여성능을높혔음. 그림에서보는바와같이이러한 5단계소자에동작조건의최적화를위하여 2개의인버터를더집적하였음. 그림 8. (a) 하나의긴탄소나노튜브분자에형성된 5 단계링오실레이터회로의주사전자현미경사진과 (b) 전기적특성 이러한회로에서 70 메가헤르쯔 (MHz) 에서동작이가능하고지연시간 1.4 나노초 (ns per stage) 의성능을얻었음. 이는기존의탄소나노튜브를이용한오실레이터에비하여매우띄어난성능을보이지만, 현재사용되는실리콘수준의집적도와성능을가지기위해서는더욱많은연구와노력이필요할것임.

23 23 광전자소자 (Optoelectronic devices) 로써의탄소나노튜브 반도체에서전자와전공은여러가지메카니즘에의하여재결합됨. 이러한경후광자 (phonons) 라는에너지로방출하여빛을발산함. 이러한메카니즘으로고체상탱의발광다이오드 (as light-emitting diodes ;LEDs) 가만들어짐. 양극성탄소나노튜브는전자와전공을동시에발생시켜서이러한발광현상을이용한소자를구현하였음. 특히탄소나노튜브의전기형광 (electroluminescence) 의특징은매우흥미로운특징을가지고있음. 발광은탄소나노튜브를따라서강하게분극되고, 탄소나노튜브의직경과구조 (chirality) 에따라다르게나타남. 짧은탄소나노튜브는전체에걸쳐서발광현상이나타나고, 반면에긴탄소나노튜브는국부적인곳에서발생된다. 또한발광현상이나타나는곳은게이트전압에의하여위치를변화시킬수도있음 ( 그림 11. 참조 ). 따라서이러한탄소나노튜브 LED 는소자내에서이동성이가능한발광원으로사용이가능함. 그림 9. 양극성탄소나노튜브내에서게이트전압에따른발광현상 또한이러한발광현상은전자와전공의결합이가능한결함 (defects), 절연체내의갇힌전하 (trapped charges) 와전압이불규칙한장소과같은특정한장소에서도발생되었음. 이러한현상을이용하여탄소나노튜브내에서인위적으로발광장소를만들수있는장점이있음. 그림 10. (a) 탄소나노튜브내에서국부적인발광현상을보여준모식도, (b) 광학현미경을통한발광현상사진, (c) 게이트전압에따른발광강도

24 24 따라서다양한동작모드를이용하여탄소나노튜브를이용한트랜지스터 (CNT-FET) 는트랜지스터, 발광소자, 광검출기등으로응용이가능함. 또한탄소나노튜브의우수한전기전도특성을이용하여전계효과디스플레이 (FED) 를제조하여실제가정용 TV 에도응용이가능함. 그림 11. 탄소나노튜브에미터를이용한 3 전극 FED 의구조도 나. 나노와이어를이용한소자 (Nanowire devices) 개발과이슈 전자소자는집적회로의가장기본적인구성요소임. 이는증폭기와신호정류기, 논리소자나디지털메모리소자로이용됨. 이러한기본적인전기특성외에발광소자, 열제어소자나심지어생물학적유체흐름을제어하는소자로응용됨. 매우작은나노와이어소자는새로운연구분야및응용분야로떠오르고있음. 나노와이어를이용한전계효과트랜지스터 (Field-effect transistors) 이러한다양한가능성주에서전계효과트랜지스터 (FET) 는반도체산업에서매우중요함. 따라서나노와이어를이용하여 FET 를만드는것에초점을두는것도당연한현실임. 그림에서보는바와같이균일하게도핑된나노와이어는우수한트랜지스터의성능을보여줌. 그림 12. (a) 20 나노미터직경의 p- 타입실리콘나노와이어구조도및전기적특성 (b) 20 나노미터직경을가지는 n- 타입실리콘나노와이어의전기적특성

25 25 또한수직형 (vertical nanowires) 의트랜지스터를제조하고자하는노력을하고있음. 수직형은소자의제조에있어서더욱복잡하지만 3 차원구조로더욱집적도를높힐수있다는장점을가지고있음. 그림 13. 나노와이어를이용한수직형트랜지스터구조도 그림 14. 논리소자로집적된 응용한실리콘나노와이어의구조도및전기적특성

26 26 또한전계효과트랜지스터외에그림에서보여주는바와같이높은주파수영역에서작동되는고주파트랜지스터 (radio-frequency transistor) 로도제조가가능함. 이러한소자는향후휴대폰이나통신장비에응용이가능함. 또한이러한나노와이어를이용하여광학소자로도응용이가능. 그림 15. 광소자로응용한나노와이어사진및광학적특성

27 27 3 이슈분석실용화, 산업화를위한기술 ( 산업 ) 적과제 이러한메모리, 디스플레이분야에서나노소재를대량으로정밀하게조립하는기술은현재상업화를가로막는중대한기술적인걸림돌이되고있음. 따라서이를해결할수있는나노소재의조립및패터닝공정기술의개발은국가의산업경쟁력을바꿀수있을만큼의지대한영향을끼칠수있는분야라고할수있음. 국내외적으로탄소나노튜브합성에있어서고품질탄소나노튜브의구조제어된수직배향합성기술, 저온합성기술, 대면적합성기술, 대량합성기술, 단일면 (single-walled) 탄소나노튜브의대량합성기술등을실현시키기위해서는아직도해결해야할문제점들이많음. 아래는국내외주요나노기술을이용한연구동향으로향후 5 년이내의실용화산업화를위한기술적산업적으로각기업과제및학계등의목표치임. (1) 나노소자기술분야 탄소나노튜브를이용한전계효과트랜지스터 (CNT-FET) 연구 - 반도체성질을갖는나노튜브를제작을통해 CNT-FET 시제품개발 (IBM) 3~5 년후평면디스플레이등에실용화 (IBM) - single-cnt 를이용한 Top-gate 형수직구조 CNT-FET 제작및 30K 동작확인에성공 ( 삼성 ) - 20 나노미터크기의초고속 (20G Hz현재속도의 15 배 ), 초절전 ( 전기소모량 1V) 실리콘트랜지스터를개발 ( 인텔 ) 대용량나노정보저장장치연구 - 현재보다 40 배고집적화를위해플라스틱에원자크기의홈을파는디스크드라이브를개발중이며, 2 년후상업화전망 (IBM) - 1 입방센티미터에 37 테라비트의정보를써넣을수있고반복기록이가능한광메모리소자개발 ( 교또대학 ) - 탄소나노튜브에플러렌분자를넣어정보기억용량을 1,000 배이상향상시킬수있는나노기억매체프로토타입개발 ( 서울대 ) 기타나노소자연구동향 - 극미세전자소자구현에필요한 0.4nm 선폭의초고집적나노선배열합성 전자소자간연결선으로활용가능 ( 포항공대 ) - 50nm 급상보성실리콘트랜지스터 (CMOS) 개발 (KAIST), 4Gb 급 Si 기반단전자메모리 (SEM) cell 개발 ( 삼성, 서울대 )

28 28 (2) 나노소재기술분야 저온경량, 고강도, 고내성특성을갖는첨단의열가소성올레핀나노복합소재개발 자동차외장소재로활용가능 (GM) 직경 2~3nm 의실리콘결정나노구체제작성공 나노와이어와나노체인제작에응용가능 ( 오사카대 ) 암치료약및연료전지등에응용가능한플러렌 (fullerene) 의월 100 톤이상양산체제확립 ( 미쓰비시화학 ) 직경 6nm 희토류금속산화물함유나노튜브합성 영구자석, 광자기디스크, 고온초전도체등첨단소재로활용 ( 사가대학 ) 연료전지성능향상, 휴대폰밧데리수명연장 (1 개월 ), 촉매화학반응속도증진 (10 배이상 ) 이가능한신기능탄소나노물질개발 (KAIST) 10nm 크기의황화카드늄 (CdS) 반도체입자와코발트 - 백금 (CoPt) 합금자성물질합성 (KAIST) 천연점토, 폴리아미드, 폴리에틸렌, 폴리스틸렌, 에폭시수지, 규소고무등을원료로고강도나노플라스틱개발 ( 중국과학원 ) (3) 나노바이오 환경 에너지기술분야 암치료, AIDS 검사등에사용될수있는바이오센서기능을갖는금도금나노입자개발 ( 라이스대학 ) 탄소나노튜브를전극으로이용한휴대기기용소형연료전지개발 자동차용연료전지나가정용발전기의실용화에기여예상 (NEC) MEMS 기술을이용하여 1 cm크기의실리콘칩위에단백질약 1 만 5000 여개를담을수있는단백질칩개발 ( 서울대 ) (4) 나노공정 장비기술분야 고유전율의세륨산화물을실리콘위에성장시켜 0.38nm 실리콘산화물 gate 절연막제작에성공 ( 도시바 ) 현재보다출력을 5 배높여가공효율이크게향상된 " 클러스터이온빔발생장치 " 개발 ( 일본시마즈제작소 ) 가시광을이용해 100nm 미세패턴을형성할수있는리소그라피기술개발 ( 일본산업기술연구소 ) 위의자료에서보듯이나노기술을응용한많은분야들은국가적차원에서지원받고있으며적극적으로추진되고있으며많은기술적으로해결해야할과제가있음.

29 29 3 이슈분석산업적응용분야및경제적파급효과 가. 나노소재기술의응용분야및파급효과 나노기술이미칠파급효과는정보분야, 재료 ( 소재 ) 분야, 에너지분야, 환경분야, 의학분야, 국방분야등사회전분야에걸쳐커다란파급효과가있을것으로기대됨. 나노기술은산업전반에사용될재료자체의변화를초래하는하는만큼사회경제모든분야에서상상을초월하는변화를몰고올것으로보고있음. 이러한나노기술발달을위해서는무엇보다도탄탄한기초과학기반이요구됨. 이를바탕으로하여전자공학, 컴퓨터공학, 재료공학, 화학공학, 생명공학과같은다른학문과의교류가이루어지는것임. 이에따라, 미국을중심으로한전세계의많은국가들이나노기술개발에대한조직적이고과감한투자를기울이고있음. 예를들어차세대메모리의경우, 2010 년을기준으로 1 조 6,000 억, 분자소자의경우에는 2 조 2,000 억, 탄소나노튜브를이용한 FED 는 7,500 억이예상되며, 연료전지등의에너지환경분야에서는 2 조 5,000 억 ~4 조원의시장이형성되리라추측됨. 또한투명전극시장은 2003 년현재약 5 조원정도이며, 매년약 50% 이상씩성장을하고있음. 탄소나노튜브를통해이를대체할수있을것으로보고있으며, 나노튜브를이용하여렌즈에사용하는원자현미경팁시장은현재 3,000 억원정도로매년 30% 이상의성장을보이고있다. FED, 백라이트, 램프를포함한디스플레이시장은현재수십조원이넘을정도로규모가큰시장이며, 백라이트의경우휴대전화용으로사용되는것만도국내시장만 1 조원이넘는시장임. 그외에바이오분야의센서시장은 DNA 탐지를중심으로하여급성장하고있는추세임. 나노부품을대량으로조립하게되면, 나노기술이적용되는대부분의분야에서그파급효과가매우크다고할수있음. 나노소재의조립기술은기능성나노소재를원하는위치에원하는형태로조립할수있는기술로서기능성나노소재를이용해만들수있는각종디바이스의원천기술이될것임. 현재까지는나노부품을다루는방법이직접손으로하나씩옮기는방식을써왔지만이를대량으로조립하게되면, 원자수준에서성질이조작된물질들을결합시켜구조물을만들수있으므로그활용분야는무궁무진할것임. 특히디스플레이, 센서, 메모리등을중심으로산업분야에서나노기술을적용한신상품및신산업을일으킬수있는산업동력원으로서역할을할수있을것으로기대됨. 국내의경우나노사출성형을중심으로발전하고있는추세이며, 기존의금형제작, 공정최적화및원소재기술등을기반으로나노사출에필요한핵심공정기술의개발을통해나노기술이접목된혁신제품의실용화및시장형성을크게앞당길수있을것으로판단됨. 나노사출성형과관련하여서는 2010 년도에 6 조원이상규모의시장이형성될것으로예측되고있어고기능디스플레이에필수적인차세대도광판을비롯한기능성표면제품에서의시장선점효과및경쟁력확보에크게기여할수있다고판단됨. 디스플레이의경우에는 FED, 램프, 백라이트등과같은분야에서현재상품화를위한연구가진행되고있으며, 이상품화기술들의핵심난제인탄소나노튜브나나노와이어의수직조립기술은각종제품의상용화를앞당기는핵심공정기술이될것임.

30 30 센서측면에서는메커니컬센서, 가스센서, 바이오센서등에서연구가진행되고있으며, 마찬가지로이를상용화하기위해서는개별나노소재의제어및조립이핵심공정기술이됨. 따라서이공정을개발하면, 향후이분야의상용화를앞당기는결과를가져올것임. 메모리, 논리디바이스등에서는나노소재를기존의실리콘패턴의대체품으로고려하고있지만이를대량으로정밀하게조립하는기술의부재때문에어려움을겪고있음. 소재조립기술은각종바이오, 광, IT 소자들을하나의칩에통합하는연구등에활용될수있을것임. 이외에도나노소재를 BottomUp 기술로정밀하게조립하는기술은원자현미경팁, X 선튜브, 전자빔소스, EMI 실딩 (shielding), ESD(Electrostatic diffusion), 전자페인팅 (Electric painting) 등많은새로운분야의핵심공정기술로서활용이가능함. 또한국방, 환경, 정보통신, 가전뿐아니라사회전분야에걸쳐기존나노물질을이용하는대부분의분야에생산성있는기능성나노소재의활용방법을제공해줄것으로보임. 나. 기술의산업적응용분야및파급효과 나노공정의개발은미래형대용량정보저장이나고기능정보표시장치의대량생산을통한실용화를가능하게함으로써사회, 문화적측면에서의변혁과함께막대한규모의산업을창출할것임. 나노기술의발전은현재외국의대기업들이주도하고있는광저장장치산업의주도권을확보하여막대한경제적효과를기대할수있음. 또한디스플레이분야에서현재및향후상당기간그수요가가장클것으로예상되는새로운부품의실용화및대량생산이가능할것으로판단된다. 결과적으로현재세계시장에서비교우위를가지는국내의디스플레이산업의경쟁력을더욱강화하여막대한관련경제효과를기대할수있음. 나노기술은나노스케일의소자를저가로대량생산하는것을가능하게하여, 반도체분야에서이룩한국내의기술력을더욱발전시킴으로써, 초고집적화가가속되고있는정보처리, 저장과관련된신기능소자개발을가능케하여세계적인기술우위를지속적으로확보하는데기여함. 나노기술은현재학계의연구분야를넘어산업체에서활용가능한산업기술로발전되고있기때문에본기술의제반문제점을극복하여산업체적용이가능한기술로개발하는것은세계적으로경쟁이치열한나노기술의산업화분야를선점하는데있어매우중요하다할수있음. 나노전자및광소자는기존물질이가질수없는다양한신기능, 신공정적용을통한가격우위를통해산업적으로중요한위치를점해가고있음. 나노와이어와탄소나노튜브를이용한광통신부품, 메모리및디스플레이를위한플라스틱일렉트로닉스, 바이오센서및바이오칩등의개발을위한핵심기술이될것이며, 이러한소자들이창출하는부가가치는막대할것임. 나노소재를이용한제품개발을통한국제경쟁력확보및관련신규산업개척과광기능성소자용부품소재기술확보할수있는효과도있음. 또한이기술은탄소나노튜브를이용한나노신기술을선도하고기초및응용연구에서국제경쟁력확보하고 21 세기의첨단전자정보산업의선도적위치구축하고이를통한고부가가치의첨단전자정보산업을창출할수있음. 이는해외의존도가높은관련산업제품의고급화및고유상품화로산업경쟁력의향상시키는파급효과가있음.

31 31 특히국내의산업기반이우수한메모리, 디스플레이분야와연계한기술개발에해당되므로국내의경쟁력있는기업과의협력관계를기반으로한국가산업경쟁력을획기적으로제고할수있음. 세계적으로시작시점에있는개발기술로서여타국가에비해이기술을선점하면, 국가의기술적선진국으로서의위상을제고하고향후국제연구무대에서기술을주도해나갈수있는기술적기반을구축할수있을것임. 나노기술은다른광소자및나노소자들을집적화시킨나노소자를제작하는데에도매우중요한기술이며, 바이오관련센서나툴의개발에도미치는영향이매우클것으로판단됨. 나노소재와관련된응용분야는다양함. 특히조립및패터닝기술개발은이러한응용분야에서제품의상용화를가속화시키는중요한역할을담당하게됨. 나노스케일선폭의개발로나노기술의국제경쟁력제고가가능하며, 나노단위의신제품및기능성부품의양산기술개발을통하여세계나노부품시장에고품질제품을공급함으로써해외기술종속에서탈피하여 21세기국가경쟁력을확보할수있음. 나노기술의제반문제점을극복하고, 산업체적용이가능한기술로개발하는것은세 계적으로경쟁이 치열한나노기술의산업화분야에서 세계를선도하는데크게기여할 것으로기대됨.

32 32 결론 4

33 33 4 결론 우리나라수출품목가운데서도부가가치가높은반도체가머지않아제조상의한계를겪게될것으로보고있음. 이를극복하기위한대안기술이바로나노소자사업임. 탄소나노튜브는바이오전자소자와새롭고경제적으로제조가가능한다양한분야에응용이가능하다는장점이있음. 낮은수준의집적이요구되는곳에응용되어, 특히수조원의자금이요구되는통신장비등에응용하여고품질의경제적인장비를제작할수있을것으로여겨짐. 식각에의한공정기술은소자의미세화에따라집적기술과성능에대하여한계점을가지고있으므로탄소나노튜브와나노와이어를이용한기술개발은매우중요함. 탄소나노튜브의특별한특성으로인하여향후나노전자소자 (nanoelectronics) 와광학 (photonics) 에있어매우중요한물질로사용될것이확실시되며, 지금까지이러한가능성을여러나라와연구기관에서보여줌. 새로운기술에는많은문제점과한계점이있으므로완전한탄소나노튜브기반의기술 (CNT-based technology) 로개발되기이전에이러한문제점을해결해야하는것이최우선과제로여겨짐. 대용량고집적공정등의문제점극복없이는고도로발달된실리콘기반기술을대체하는데한계가있을것으로예상됨.

34 34 참고문헌 1. Phaedon Avouris and Jia Chen, Materialstoday, Volume 9, Issue 10, October 2006, Pages Haensch, W., et al., IBM J. Res. Dev. (2006) 50, Iijima, S., et al., Nature (1993) 363, Bethune, D. S., et al., Nature (1993) 363, Dresselhaus, M. S., et al., Phys. Rev. B (1992) 45, Mintmire, J. W., et al., Phys. Rev. Lett. (1992) 68, Dresselhaus, M. S., et al., (eds.), Carbon Nanotubes: Synthesis, Structure, Properties and Applications, Springer, Berlin, Germany, (2001) 8. Avouris, Ph., et al., in Applied Physics of Carbon Nanotubes: Fundamentals of Theory, Optics and Transport Devices, Rotkin, S. V., and Subramoney, S., (eds.), Springer, Berlin, Germany, (2005) 9. Reich, S., et al., Carbon Nanotubes, Wiley-VCH, Weinheim, Germany, (2004) 10. Avouris, Ph., MRS Bull. (2004) 29, McEuen, P. L., et al., IEEE Trans. Nanotechnol. (2002) 1, Dai, H. J., Surf. Sci. (2002) 500, Dekker, C., Phys. Today (1999) 52, Javey, A., et al., Nano Lett. (2004) 4, Seidel, R. V., et al., Nano Lett. (2005) 5, Ando, T., et al., J. Phys. Soc. Jpn. (1997) 66, Spataru, C. D., et al., Phys. Rev. Lett. (2004) 92, Perebeinos, V., et al., Phys. Rev. Lett. (2004) 92, Wang, F., et al., Science (2005) 308, Maultzsch, J., et al., Phy. Rev. B (2005) 72, (R) 21. McEuen, P. L., et al., Phys. Rev. Lett. (1999) 83, Liang, W., et al., Nature (2001) 411, Kong, J., et al., Phys. Rev. Lett. (2001) 87, Appenzeller, J., et al., Appl. Phys. Lett. (2001) 78, Mann, D., et al., Nano Lett. (2003) 3, LeRoy, B. J., et al., Nature (2004) 432, Perebeinos, V., et al., Phys. Rev. Lett. (2005) 94, Fuhrer, M. S., et al., Nano Lett. (2002) 2, Durkop, T., et al., Nano Lett. (2004) 4, Park, J.-Y., et al., Nano Lett. (2004) 4, Javey, A., et al., Phys. Rev. Lett. (2004) 92, Lazzeri, M., et al., Phys. Rev. Lett. (2005) 95, Mann, D., et al., J. Phys. Chem. B (2006) 110, Tans, S. J., et al., Nature (1998) 386, Martel, R., et al., Appl. Phys. Lett. (1998) 73, Martel, R., et al., Phys. Rev. Lett. (2001) 87, Lin, Y.-M., et al., IEEE Electron Device Lett. (2005) 26, Collins, P. G., et al., Science (2000) 287, Derycke, V., et al., Appl. Phys. Lett. (2002) 80, 2773

35 35 참고문헌 40. Lenard, F., and Tersoff, J., Phys. Rev. Lett. (2000) 84, Heinze, S., et al., Phys. Rev. Lett. (2002) 89, Appenzeller, J., et al., Phys. Rev. Lett. (2002) 89, Freitag, M., et al., Phys. Rev. Lett. (2002) 89, Chen, Z., et al., Nano Lett. (2005) 5, Javey, A., et al., Nature (2003) 424, Javey, A., et al., Nat. Mater. (2002) 1, Rosenblatt, S., et al., Nano Lett. (2002) 2, Lin, Y.-M., et al., IEEE Trans. Nanotechnol. (2005) 4, Radosavljevic, M., et al., Appl. Phys. Lett. (2003) 83, Chen, J., et al., Appl. Phys. Lett. (2005) 86, Wind, S. J., et al., Phys. Rev. Lett. (2003) 91, Appenzeller, J., et al., Phys. Rev. Lett. (2004) 93, Maultzsch, J., et al., Appl. Phys. Lett. (2002) 81, Sadanadan, B., et al., J. Nanosci. Nanotechnol. (2003) 3, Cui, X., et al., Nano Lett. (2003) 3, Kong, J., et al., Appl. Phys. Lett. (2000) 77, Kong, J., and Dai, H., J. Phys. Chem. B (2001) 105, Shim, M., et al., J. Am. Chem. Soc. (2001) 123, Klinke, C., et al., Nano Lett. (2005) 5, Derycke, V., et al., Nano Lett. (2001) 1, Bachtold, A., et al., Science (2001) 294, Javey, A., et al., Nano Lett. (2002) 2, Chen, Z., et al., Science (2006) 311, Burke, P. J., Solid-State Electron. (2004) 48, Auvray, S., et al., Nano Lett. (2005) 5, Hannon, J. B., et al., Langmuir (2005) 21, Gigliotti, B., et al., Nano Lett. (2006) 6, Klinke, C., et al., Nano Lett. (2006) 6, Williams, K. A., et al., Nature (2002) 420, Keren, K. et al., Science (2003) 302, Zheng, M., et al., Science (2003) 302, Mclean, R. S., et al., Nano Lett. (2006) 6, Misewich, J. A., et al., Science (2003) 300, Freitag, M., et al., Nano Lett. (2004) 4, Freitag, M., et al., Phys. Rev. Lett. (2004) 93, Freitag, M., et al., Nano Lett. (2006) 6, Chen, J., et al., Science (2005) 310, Perebeinos, V., and Avouris, Ph., unpublished results 79. Freitag, M., et al., Nano Lett. (2003) 3, Qiu, X., et al., Nano Lett. (2005) 5, 749

36 Lenard, F., and Tersoff, J., Phys. Rev. Lett. (2000) 84, Heinze, S., et al., Phys. Rev. Lett. (2002) 89, Appenzeller, J., et al., Phys. Rev. Lett. (2002) 89, Freitag, M., et al., Phys. Rev. Lett. (2002) 89, Chen, Z., et al., Nano Lett. (2005) 5, Javey, A., et al., Nature (2003) 424, Javey, A., et al., Nat. Mater. (2002) 1, Rosenblatt, S., et al., Nano Lett. (2002) 2, Lin, Y.-M., et al., IEEE Trans. Nanotechnol. (2005) 4, Radosavljevic, M., et al., Appl. Phys. Lett. (2003) 83, Chen, J., et al., Appl. Phys. Lett. (2005) 86, Wind, S. J., et al., Phys. Rev. Lett. (2003) 91, Appenzeller, J., et al., Phys. Rev. Lett. (2004) 93, Maultzsch, J., et al., Appl. Phys. Lett. (2002) 81, Sadanadan, B., et al., J. Nanosci. Nanotechnol. (2003) 3, Cui, X., et al., Nano Lett. (2003) 3, Kong, J., et al., Appl. Phys. Lett. (2000) 77, Kong, J., and Dai, H., J. Phys. Chem. B (2001) 105, Shim, M., et al., J. Am. Chem. Soc. (2001) 123, Klinke, C., et al., Nano Lett. (2005) 5, Derycke, V., et al., Nano Lett. (2001) 1, Bachtold, A., et al., Science (2001) 294, Javey, A., et al., Nano Lett. (2002) 2, Chen, Z., et al., Science (2006) 311, Burke, P. J., Solid-State Electron. (2004) 48, Auvray, S., et al., Nano Lett. (2005) 5, Hannon, J. B., et al., Langmuir (2005) 21, Gigliotti, B., et al., Nano Lett. (2006) 6, Klinke, C., et al., Nano Lett. (2006) 6, Williams, K. A., et al., Nature (2002) 420, Keren, K. et al., Science (2003) 302, Zheng, M., et al., Science (2003) 302, Mclean, R. S., et al., Nano Lett. (2006) 6, Misewich, J. A., et al., Science (2003) 300, Freitag, M., et al., Nano Lett. (2004) 4, Freitag, M., et al., Phys. Rev. Lett. (2004) 93, Freitag, M., et al., Nano Lett. (2006) 6, Chen, J., et al., Science (2005) 310, Perebeinos, V., and Avouris, Ph., unpublished results 79. Freitag, M., et al., Nano Lett. (2003) 3, Qiu, X., et al., Nano Lett. (2005) 5, Samuelson, L., Materials Today (2003) 6 (10), International Technology Roadmap for Semiconductors, Nanowire-based one-dimensional electronics (NODE), Xia, Y., et al., Adv. Mater. (2003) 15, Wagner, R. S., and Ellis, W. C., Appl. Phys. Lett. (1964) 4, 89

37 Hiruma, K., et al., J. Appl. Phys. (1995) 77, Persson, A. I., et al., Nat. Mater. (2004) 3, Dick, K. A., et al., Nano Lett. (2005) 5, Shi, W. S., et al., Adv. Mater. (2001) 13, Noborisaka, J., et al., Appl. Phys. Lett. (2005) 86, Mtensson, T., et al., Nanotechnology (2003) 14, Mtensson, T., et al., Nano Lett. (2004) 4, Hiruma, K., et al., J. Cryst. Growth (1996) 163, Nguyen, P., et al., Adv. Mater. (2005) 17, Krishnamachari, U., et al., Appl. Phys. Lett. (2004) 85, Huang, Y., et al., Science (2001) 291, Suk, S. D., et al., Tech. Dig. IEDM (2005), Bryllert, T., et al., IEEE Electron Device Lett. (2006) 27, Bryllert, T., et al., Nanotechnology (2006) 17, S Lu, W., et al., Proc. Natl. Acad. Sci. USA (2005) 102, Bakkers, E. P. A. M., et al., Nat. Mater. (2004) 3, Xiang, J., et al., Nature (2006) 441, Schmidt, V., et al., Small (2006) 2, Wernersson, L. E., et al., Tech. Dig. IEDM (2005), Goldberger, J., et al., Nano Lett. (2006) 6, Doh, Y.-J., et al., Science (2005) 309, Bjk, M. T., et al., Appl. Phys. Lett. (2002) 81, Wu, Y., et al., Nature (2004) 430, 나노테크놀로지 -BottomUp 형기술중심에관한특허출원기술동향조사보고서, 2003

38 38 저자소개 박정원 - 공학박사 4 년차과정 - 현, University of California, San Diego 연구조교 한국과학기술정보연구원동향정보분석팀

KAERIAR hwp

KAERIAR hwp - i - - ii - - iii - - iv - - v - - vi - Photograph of miniature SiC p-n and Schottky diode detector Photograph SiC chip mounted on a standard electrical package Photograph of SiC neutron detector with

More information

슬라이드 1

슬라이드 1 반도체소자 2012 학년도 2 학기 담당교수 : 김태환 ( 소속 : 융합전자공학부 ) 강의시간 : 1) 월 10:30-12:00 (H27-0209) 수 14:30-16:00 (H27-0209) Office : 공업센터별관 503-1 Office hour : 수요일 10:30 ~ 12:30 수업조교 : 안준성 (joon.ahn86@gmail.com, Tel :

More information

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials OLED 시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials Market, 2017-2 -

More information

2010 산업원천기술로드맵요약보고서 - 화학공정소재

2010 산업원천기술로드맵요약보고서 - 화학공정소재 2010 산업원천기술로드맵요약보고서 - 화학공정소재 - 2010. 7 본요약보고서는한국산업기술진흥원주관으 로수립되고있는 2010 년도산업원천기술로 드맵의일부내용을발췌한것입니다. 산업원천기술로드맵전체내용을담은 2010 산업원천기술로드맵보고서 는오는 8월한국산업기술진흥원홈페이지 (www.kiat.or.kr) 를통해공개될예정입니다. 목 차 Ⅰ. 화학공정소재산업의정의및범위

More information

<30352DB1E2C8B9C6AFC1FD2028C8ABB1E2C7F6292036302D36362E687770>

<30352DB1E2C8B9C6AFC1FD2028C8ABB1E2C7F6292036302D36362E687770> 3D 나노-마이크로 프린팅 기술의 현황 홍 기 현 한국기계연구원 부설 재료연구소 표면기술 연구본부 3D Nano-micro Printing Technology Kihyon Hong Korea Institute of Materials Science, Gyeongnam 642-831, Korea Abstract: 최근 3D 프린팅 기술을 이용하여 마이크로, 나노

More information

jaeryomading review.pdf

jaeryomading review.pdf 4 5 6 7 8 9 10 11 12 13 1. S. Kim, H. Y. Jeong, S. K. Kim, S. Y. Choi and K. J. Lee, Nano Lett. 11, 5438 (2011). 2. E. Menard, K. J. Lee, D. Y. Khang, R. G. Nuzzo and J. A. Rogers, Appl. Phys. Lett. 84,

More information

탄소연속섬유복합체 제조기술 본분석물은교육과학기술부과학기술진흥기금을지원받아작성되었습니다.

탄소연속섬유복합체 제조기술 본분석물은교육과학기술부과학기술진흥기금을지원받아작성되었습니다. 탄소연속섬유복합체 제조기술 본분석물은교육과학기술부과학기술진흥기금을지원받아작성되었습니다. 머리말 제 1 장서론 1 제 2 장기술의개요 5 제 3 장기술동향분석 42 - i - 제 4 장탄소복합섬유시장전망 88 - ii - 제 5 장결론 107 참고문헌 111 표목차 - iii - 그림목차 - iv - - v - 1 서론 2 출처 : 한국섬유산업연합회, 최신섬유기술동향,

More information

신성장동력업종및품목분류 ( 안 )

신성장동력업종및품목분류 ( 안 ) 신성장동력업종및품목분류 ( 안 ) 2009. 12. 일러두기 - 2 - 목 차 < 녹색기술산업 > 23 42-3 - 목 차 45 52 < 첨단융합산업 > 66 73 80-4 - 목 차 85 96 115 < 고부가서비스산업 > 120 124 127 129 135-5 - 녹색기술산업 - 6 - 1. 신재생에너지 1-1) 태양전지 1-2) 연료전지 1-3) 해양바이오

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 교육문의 : 031-546-6245( 수원 ), 054-479-2185( 구미 ), 052-217-2640( 울산 ) 일월화수목금토 1 2 3 4 5 6 7 나노내부결정분석 8 9 10 11 12 13 14 나노박막증착공정 15 16 17 18 19 20 21 나노표면특성분석 나노결정물질구조및성분분석기술 22 23 24 25 26 27 28 29 30 나노광소자공정

More information

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

반도체 i ii iii iv v 2011 산업기술로드맵 정보통신 반도체분야 . 개요 3 2011 산업기술로드맵 정보통신 반도체분야 . 산업의환경변화 7 2011 산업기술로드맵 반도체분야 8 . 산업의환경변화 9 2011 산업기술로드맵 반도체분야 10 . 산업의환경변화 11 2011 산업기술로드맵 반도체분야 12 . 산업의환경변화 13 2011

More information

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770>

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770> 가정용 지능로봇의 기술동향 머리말 목 차 제1장 서 론 1 제2장 기술의 특징 4 제3장 가정용 로봇 산업 및 기술수요 전망 14 4장 가정용 로봇의 기술동향 27 5장 주요국의 가정용 로봇의 기술정책 분석 61 6장 국제표준화와 특허출원 동향 80 7장 결론 및 정책 제언 86 참고문헌 92 표 목차 그림 목차 제1장 서 론 1. 기술동향분석의 목적 및

More information

<30322DC0CCC1A4BFC02E687770>

<30322DC0CCC1A4BFC02E687770> KIC News, Volume 12, No. 4, 2009 13 기획특집 - CNT (carbon nano tube) 기술 탄소나노튜브기반센서의동향및향후전망이정오 한국화학연구원화학소재단 Recent Progresses and the Prospect in Carbon Nanotube-based Sensors Jeong-O Lee Advanced Materials

More information

3LGÈ�ÇÐÁ¦2±â/2001±â³»Áö-8-30

3LGÈ�ÇÐÁ¦2±â/2001±â³»Áö-8-30 회 사 연 혁 1947. 1. 락희화학공업사 창립(화장품 제조업에 착수) 1951. 11. 부산광역시 부산진구 부전동으로 이전 (국내최초 합성수지 성형제품 생산개시) 1954. 6. 부산 연지공장 건설(합성수지 가공시설 증설) 1959. 3. 럭키유지공업사 설립 1962. 8. 1966. 1. 1966. 3. 1969. 10. 락희비니루공업(주) 설립 주식회사

More information

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종 [ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : 2013. 3 ~ 2013. 12 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종찬 ( 서울과학고 2학년 ) 소재원 ( 서울과학고 2학년 ) 1,.,.,.... surface

More information

hwp

hwp 특집 Nanoelectronics 탄소나노튜브전자소자 박완준 서 지난반세기에걸쳐전자산업의주력기술의위치를점하고있는실리콘기반의반도체기술은정보처리양의급격한증가로인한전자소자성능의고속화와고집적화요구로인해기술의한계점에근접해가고있다. 무어의법칙 1) 에의하면앞으로 10년이내에최소선폭 2) 이 10 nm 이하인소자기술이불가피할것으로전망된다. 반도체기술에서선폭의미세화는전통적인제조공정기술,

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

Microsoft Word - BC litho.doc

Microsoft Word - BC litho.doc 블록공중합체리소그래피 (Block copolymer lithography) 블록공중합체는두가지이상의고분자가공유결합으로서로연결되어있는구조로 diblock copolymer, triblock copolymer 등으로분류될수있다. 두가지이상의서로성질의고분자가공유결합에의해연결되어있기때문에일정온도와압력에서상분리를하게되는데, 이때형성되는도메인의크기및모양은각각의고분자 segment

More information

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시 목재미래기업발굴및육성을위한 중장기사업방향제안 2017. 11. 목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 16 2.1. 목재제품의종류 16 2.2. 국내목재산업현황 19 2.3. 목재산업트렌드분석및미래시장예측 33 Ⅲ. 목재미래기업의정의및분류

More information

06...._......

06...._...... Development of High-efficiency Thermoelectric Devices Using Nanowires Jong Wook Roh and Woo Young Lee Department of Materials Science and Engineering, Yonsei University 1. 21.. (,,,, ). (thermoelectric

More information

태양광산업 경쟁력조사.hwp

태양광산업 경쟁력조사.hwp 태양광산업산업경쟁력조사 1 Ⅰ. 1. 52 2. 53 Ⅱ. 1. 54 2. 60 3. 64 III. 1. 71 2. 82 Ⅳ. 1. 98 2. 121 3. 132 Ⅴ. 1. 147 2. 160 3. 169 4. SWOT 181 Ⅵ. 1. 187 2. 202 3. 217 Ⅶ. 225 < 요약 > Ⅰ. 서론 II. 태양광산업의개요 III. 태양광기술개발현황

More information

11+12¿ùÈ£-ÃÖÁ¾

11+12¿ùÈ£-ÃÖÁ¾ Korea Institute of Industrial Technology 2007:11+12 2007:11+12 Korea Institute of Industrial Technology Theme Contents 04 Biz & Tech 14 People & Tech 30 Fun & Tech 44 06 2007 : 11+12 07 08 2007 : 11+12

More information

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Youngin Equipment Solution Technology Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Why YEST? 01, YEST 38.3%, YEST 580 2015

More information

<30332DBCD2B4EBBCB72E687770>

<30332DBCD2B4EBBCB72E687770> 20 공업화학전망, 제 10 권제 4 호, 2007 기획특집 - 나노소재응용기술 탄소나노튜브의산업화현황과전망 소대섭, *, ** 김경호 * 이호신 * 서주환 * * 한국과학기술정보연구원나노정보분석팀, ** 한양대학교나노공학과 Status and Prospect of Carbon Nanotube Commercialization Dae-Sup So, *, **,

More information

오토 2, 3월호 내지최종

오토 2, 3월호 내지최종 Industry Insight 인사이드 블루투스 자동차와 블루투스의 공존법칙 운전 중 휴대전화 사용을 금지하는 법률이 세계적으로 확산되고 있으며, 블루투스(Bluetooth) 기반의 핸즈프리 기능을 이용하는 것이 이에 대한 확실한 대안으로 자리잡았다. 그러나 차기 무선 멀티미디어 스트리밍에 관해서는 어떤 일이 일어날 지 아무도 알 수 없다. 글 윤 범 진 기자

More information

발간등록번호

발간등록번호 발간등록번호 3. 보고서요약서 보고서요약서 - 2 - - 3 - 4. 국문요약문 - 4 - 5. 영문요약문 < SUMMARY > - 5 - 6. 영문목차 < CONTENTS > - 6 - - 7 - 7. 본문목차 목차 - 8 - - 9 - 제 1 장. 연구개발과제의개요 - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 -

More information

Art & Technology #5: 3D 프린팅 - Art World | 현대자동차

Art & Technology #5: 3D 프린팅 - Art World | 현대자동차 Art & Technology #5: 3D 프린팅 새로운 기술, 새로운 가능성 미래를 바꿔놓을 기술 이 무엇인 것 같으냐고 묻는다면 어떻게 대답해야 할까요? 답은 한 마치 한 쌍(pair)과도 같은 3D 스캐닝-프린팅 산업이 빠른 속도로 진화하고 있는 이유입니 가지는 아닐 것이나 그 대표적인 기술로 3D 스캐닝 과 3D 프린팅 을 들 수 있을 것입니 다. 카메라의

More information

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis 그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Display Panel(PDP) 의상용화가급속히이루어지면서기존의브라운관을대체해가고있다. 특히,

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

융합WEEKTIP-2016-2-4data_up

융합WEEKTIP-2016-2-4data_up 2016 FEBRUARY vol.08 08 융합 OLED 봉지기술 (Encapuslation ) 의 현황과 전망 김의권 융합연구정책센터 발행일 2016. 02. 29 발행처 융합정책연구센터 융합 2016 FEBRUARY vol.08 OLED 봉지기술(Encapuslation )의 현황과 전망 김의권 융합연구정책센터 개요 봉지기술은 적용분야와 관계없이 OLED

More information

목차 Ⅰ. 기술성분석 1 1. 기술의개요 1 2. 기술동향 2 3. 경쟁및신규 대체기술현황 4 가. 경쟁기술현황 4 나신규및대체기술현황 7 Ⅱ. 시장성분석 9 1. 시장특성 9 가. 시장정의 9 나. 시장개요및특성 9 다. 시장진입장벽 시장현황 12 가. 국

목차 Ⅰ. 기술성분석 1 1. 기술의개요 1 2. 기술동향 2 3. 경쟁및신규 대체기술현황 4 가. 경쟁기술현황 4 나신규및대체기술현황 7 Ⅱ. 시장성분석 9 1. 시장특성 9 가. 시장정의 9 나. 시장개요및특성 9 다. 시장진입장벽 시장현황 12 가. 국 기술정보유통의중심 FirstSteptoTechnologyInformation www.firstep.or.kr 기술시장동향 탄소나노튜브응용기술 ( 인덕터소자 ) ( 색인어 : 탄소나노튜브, 반도체기판, 배선공정, 인덕터, 확산방지막 ) 2009.01. 정보제작기관 : 넥스트원국제특허법률사무소 부서 : 기술정보팀정태경 (nextwonip@naver.com) 본자료는기술평가정보유통활성화를지원할목적으로작성된것으로서,

More information

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 Homework #2 [2] 답의예 ( 학생 1): 소폭수정 다양한외부환경을효과적으로검지할수있는센서기술은검지변환, 신호처리및지능화기술등융합 적특성을갖고있음. 현재대부분실용화중심의연구가주류를이루고있으며,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26 5월전체 2005.6.9 5:7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26개 지역지식재산센터 를 통해 발명가와 중소기업들에게 기술개발에서 선진국은 첨단기술을 바탕으로

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

KEIT PD(15-8)-8.26.indd

KEIT PD(15-8)-8.26.indd / KEIT PD / KEIT PD / SUMMARY Society for Information Display(SID) Display Week 2015 R&D `SID 2015' Flexible Display, E-paper, Wearables, Digital signage, Printed electronics, 275 185, (Curved), 2~3, SID

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

슬라이드 1

슬라이드 1 탄소나노튜브 탄소나노튜브소자의응용기술과전망 정우석. 동향정보분석팀 는혁신형중소기업정보분석지원사업의일환으로작성된보고서로서, 유망기술에대한이슈분석을통해국내기업들이자사에적합한사업아이템발굴기회를극대화하는데목적이있다. 이슈분석대상은글로벌동향브리핑 (GTB) 사업에서축적한약 10년간의글로벌모니터링정보를키워드빈도분석후수요조사를통해정하였다. 또한국내외연구개발동향, 산업동향및기술

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

17(1)-06.fm

17(1)-06.fm Krean J. Crystallgraphy Vl., N. 1, pp.14~18, 006 LP-MOCVD w ZnO ù Ÿw p Á yá * w w» w» l Structural and Optical Prperties f ZnO Nanwires Synthesized by LP-MOCVD Prcess Yung-Jin Chi, Jae-Hwan Park and Jae-Gwan

More information

ºÐ¸»¾ß±Ýȸº¸%1ȱÇ

ºÐ¸»¾ß±Ýȸº¸%1鱂 KOREAN POWDER METALLURGY INSTITUTE I www.kpmi.or.kr ö ä, 기업소개 김덕주 새로운 미래를 열어가는 선도 기업 대광소결금속(주) 김덕주(대광소결금속(주)/대표이사) 1. 회사소개 대광소결금속은 1997년도 창립하여 지금까지 분말야 금만 매진해온 기업이다. 창립 당시 IMF 라는 혹독한 기업환경에서도

More information

<4D F736F F F696E74202D20352E20C7D1BFEBB1D420B0F8C1A4B1E2C3CAB1B3C0B B3E22031BFF929>

<4D F736F F F696E74202D20352E20C7D1BFEBB1D420B0F8C1A4B1E2C3CAB1B3C0B B3E22031BFF929> Plasma Display Panel 의공정기술 한용규 dbgmaco79@gmail.com Charged Particle Beam & Plasma Lab. / PDP Research Center Department of Electrophysics, Kwangwoon University, Seoul, Korea Contents 1. 개요 2. PDP의구조 3.

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소 2012 년도기초과학연구소 대학중점연구소심포지엄 마이크로파센서를이용한 혈당측정연구 일시 : 2012 년 3 월 20 일 ( 화 ) 14:00~17:30 장소 : 서강대학교과학관 1010 호 주최 : 서강대학교기초과학연구소 Contents Program of Symposium 2 Non-invasive in vitro sensing of D-glucose in

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9 오늘날 자동차와 도로는 ICT 기술과 융합되어 눈부시게 발전하고 있습니다. 자동차는 ICT 기술과 접목되어 스마트 자동차로 변화하며 안전하고 편리하며 CO 2 방출을 줄이는 방향으로 기술개발을 추진하고 있으며 2020년경에는 자율 주행 서비스가 도입될 것으로 전망하고 있습니다. 또한, 도로도 ICT 기술과 접목되어 스마트 도로로 변화하며 안전하고 편리하며 연료

More information

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제 분 기 보 고 서 (제 18 기) 사업연도 2012년 01월 01일 2012년 03월 31일 부터 까지 금융위원회 한국거래소 귀중 2012 년 5 월 15 일 회 사 명 : 주성엔지니어링(주) 대 표 이 사 : 황 철 주 본 점 소 재 지 : 경기도 광주시 오포읍 능평리 49 (전 화) 031-760-7000 (홈페이지) http://www.jseng.com

More information

- 2 -

- 2 - 2014 년융 복합기술개발사업 ( 융 복합과제 ) 제안요청서 목차 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - Ω - 18 - - 19 - - 20 - 기계소재 -001-21 - 기계소재 -002-22 - 기계소재

More information

19(1) 02.fm

19(1) 02.fm Korean J. Crystallography Vol. 19, No. 1, pp.7~13, 2008 Ÿ (ICISS) w š t w (2): t w y w œw Surface Structure Analysis of Solids by Impact Collision Ion Scattering Spectroscopy (2): Atomic Structure of Semiconductor

More information

1

1 2014 년도기술수준평가결과 ( 안 ) - 120 개국가전략기술 - ( 1 ) 2014 가. 10대기술분야 ( 2 ) 나. 120개국가전략기술분야 ( 3 ) 다. 미래성장동력산업 (13 개 ) 분야 4. ( 4 ) 2014 년도기술수준평가결과 ( 안 ) -120 개국가전략기술 - 목차 1. 평가개요 1 2. 평가단계별추진절차 2 3. 평가결과 3 4. 국가전략기술로본미래성장동력산업별기술수준

More information

2010교육프로그램_08-0000

2010교육프로그램_08-0000 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 Contents 나노융합실용화센터 대구기계부품연구원 경북대학교 계명대학교 영진전문대학 영남이공대학 2 4 6 8 18 30 32 36 38 42 3 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 메카트로닉스 및 나노융합 기업지원서비스사업 개요 비 전 교육내용 현장생산인력 및 연구인력의

More information

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378>

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378> 점접착제 1-No. 20150900 Multi Client Report 점 접착제시장분석및전망 (2015) Sep., 2015 화학경제연구원 CHEMICAL MARKET RESEARCH INC. #1204, JnK Digital Tower, 111 Digital 26th, Guro-gu, Seoul 152-050, Korea TEL : +822-6124-6660

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

슬라이드 1

슬라이드 1 공학컴퓨터활용입문 메카트로닉스시스템 메카트로닉스시스템정의 메카트로닉스시스템예 메카트로닉스시스템이란? 메카트로닉스정의 메카트로닉스란용어는메카틱스 ( 기계역학 ) 와일렉트로닉스 ( 전자 ) 의합성어로서 1960 년대말경일본 (Yaskawa Electoric Co.) 에서만들어져 1980 년전후로정착된신조어이며현재는일반적인용어임. 따라서, 메카트로닉스란기계기술과전자제어및정보처리기술을응용하여,

More information

LCD

LCD , PC, TV 100, LG 50%. (CRT) 2000 (LCD) (PDP) LCD PDP LCD 70%. LCD (TFT), 3. 2010 (OLED) LCD. 8, TFT. TFT 0.5 cm 2 /Vs,. 1990. (low temperature poly silicon, LTPS) 80 cm 2 /Vs IC. LPTS /, TFT. 2004 InGaZnO

More information

02_4_특집_김태호_rev4_504-508.hwp

02_4_특집_김태호_rev4_504-508.hwp 특 집 Polymer Science and Technology Vol. 23, No. 5 양자점 발광다이오드 Colloidal Quantum Dot Light-Emitting Diodes 김태호 Tae-Ho Kim Frontier Research Lab, Samsung Advanced Institute of Technology, 97, Samsung2-ro,

More information

Ⅰ. 석면 1 1) American Geological Institute, Glossary of geology, 2008, http://glossary.agiweb.org 2) US OSHA standard 29CFR1910.1001(b) 2 석면분석전문가양성교육교재 : 편광현미경을이용한고형시료중석면분석 1) Cornelis Klein, The Manual

More information

<4D F736F F D205FB8DEB8AEC3F720C1F6B8F1C7F65FBBEABEF75F4A4D485FBBEAC8ADB9B F FBCF6C1A42E646F63>

<4D F736F F D205FB8DEB8AEC3F720C1F6B8F1C7F65FBBEABEF75F4A4D485FBBEAC8ADB9B F FBCF6C1A42E646F63> Industry Brief Analyst 지목현 (6309-4650) mokhyun.ji@meritz.co.kr 가전전자부품/디스플레이 2012. 11.28 Overweight Top pick LG디스플레이(034220) Buy, TP 40,000원 산화물TFT, 2013년 디스플레이의 뜨거운 감자 2013년 산화물TFT는 태블릿 중심으로 본격적인 적용 확대

More information

7월호_내지

7월호_내지 Focus on_ Industry Trends _ Design Methodology _ Hot Issue_ Special Report _ Flexible Electronics _ Contents 2008_07 25 43 2008 6 25 (IITA) www. iita.re.kr 58-4 Tel 042-710-1114 (IITA) : chans@iita.re.kr

More information

<91E6308FCD5F96DA8E9F2E706466>

<91E6308FCD5F96DA8E9F2E706466> 㓙 ࡐ ࡓ 㧢 㧝 ޓ ㅢ 㓙 ࡐ ࡓ 㓙 ࡐ ࡓ Si 8th Int. Conf. on Si Epitaxy and Hetero- structures (ICSI-8) & 6th Int. Symp. Control of Semiconductor Interfaces 25 6 2 6 5 250 Si 2 19 50 85 172 Si SiGeC Thin Solid Films

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

2003report250-9.hwp

2003report250-9.hwp 2003 연구보고서 250-9 여성의 IT 직종교육훈련후취업현황및취업연계방안 : () : () 한국여성개발원 발간사 2003 12 연구요약 1. 2. 전체정부위탁훈련기관의취업관련서비스제공정도에서, 취업정보, 취업상담, 취업알선을 적극적이며많이제공 한다가각각 76.6%, 70.3%, 65.6% 로알선기능이약간떨어지며, 취업처개척 개발 (50.0%) 이가장낮다.

More information

< BFA9B8A7C8A32DBCF6C0BAC7D8BFDCB0E6C1A6B3BBC1F620C3D6C3D6C1BE2E706466>

< BFA9B8A7C8A32DBCF6C0BAC7D8BFDCB0E6C1A6B3BBC1F620C3D6C3D6C1BE2E706466> T H E E X P O R T - I M P O R T B A N K O F K O R E A 발간등록번호 11-B190031-000193-08 Exim Overseas Economic Review 2016 년여름호 저유가에따른주요산유국부도위험진단과우리의대응 AIIB 의출범과한 중앙아시아인프라협력방안수출부진타개를위한중국소비재시장진출방안 주요수출품목가격하락에따른말레이시아경제동향점검경제개혁시험대에오른아르헨티나경제진단

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

18211.fm

18211.fm J. of the Korean Sensors Society Vol. 18, No. 2 (2009) pp. 168 172 p k ù p p l xá xá ³ Á *Á w * Fabrication of the CNT-FET biosensors with a double-gate structure Byunghyun Cho, Byounghyun Lim, Jang-Kyoo

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

[Fig. 4] (a) Properties of OLED as IWO films as anode. (b)fabrication process of QDLED and image of QDLED device using IWO films as anode. [Fig. 3] 정보

[Fig. 4] (a) Properties of OLED as IWO films as anode. (b)fabrication process of QDLED and image of QDLED device using IWO films as anode. [Fig. 3] 정보 바이오인터페이스 기술의 현재와 미래 성균관대학교 정보재료소자연구실(IMDL) 김한기 최근 정보통신 분야의 발전에 따라 기존의 다양한 어플 리케이션들은 평면성을 벗어나 이전부터 요구된 투명유 연하고 깨지지 않는 특성과 더불어 신축성을 가진 특성까 지 요구되고 있다. 이러한 흐름 속에서 투명 전극은 투명 하면서 전도성을 가지고 있는 전극 물질로서 디스플레이, 터치센서,

More information

<322D303720C2F7BCBCB4EBBCBAC0E5B5BFB7C2BBEABEF7C0B0BCBA2E687770>

<322D303720C2F7BCBCB4EBBCBAC0E5B5BFB7C2BBEABEF7C0B0BCBA2E687770> 참여정부 정책보고서 2-07 차세대 성장동력산업 육성 - 미래산업 창출을 위한 블루오션 전략 - 2008 작성중인 초안자료 안보전략비서관: 박 선 원 행정관: 김 호 홍 외교부 북핵외교기획단 북핵정책과 : 손 창 호 발 간 사 참여정부가 혁신과 통합을 표방하며 출범한 지 5년, 이제 그 성과와 한계에 대한 스스로의 평가를 국민들 앞에 내놓을

More information

<B3EDB4DC28B1E8BCAEC7F6292E687770>

<B3EDB4DC28B1E8BCAEC7F6292E687770> 1) 초고를읽고소중한조언을주신여러분들게감사드린다. 소중한조언들에도불구하고이글이포함하는오류는전적으로저자개인의것임을밝혀둔다. 2) 대표적인학자가 Asia's Next Giant: South Korea and Late Industrialization, 1990 을저술한 MIT 의 A. Amsden 교수이다. - 1 - - 2 - 3) 계량방법론은회귀분석 (regression)

More information

러시아의 WTO 가입과 우리의활용방안

러시아의 WTO 가입과 우리의활용방안 11-039 2011. 12.15 러시아의 WTO 가입과 우리의활용방안 C O N T E N T 목 차 요약 / 4 1. 러시아의 WTO 가입과시장변화 / 5 2. 한. 러무역투자에미치는영향 / 21 3. 우리의활용방안 / 41 Global business Report 11-039 요 약 4 러시아의 WTO 가입과우리의활용방안 1. 러시아의 WTO 가입과시장변화

More information

Microsoft PowerPoint - energy_materials( ) [호환 모드]

Microsoft PowerPoint - energy_materials( ) [호환 모드] Types of Fuel Cell Types of Fuel Cell 인산염연료전지 (PAFC) -제1세대연료전지 -전해질로액체인산염사용하고탄화규소 (SiC) 매트릭스에함침시켜사용 -저온 (170~220 o C) 에서작동 -전해질내에수소이온이이동 -음극, 양극반응은앞의기본연료전지구조와동일 인산염연료전지 알칼리연료전지 (AFC) -전해질로알칼리 (KOH) 용액

More information

c04....

c04.... 2012 I Spring PLASTICS news HANWHA CHEMICAL CORPORATION http://hcc.hanwha.co.kr CONTENTS 04 09 26 44 48 59 2012 SPRING 3 한화뉴스 2012 Spring HANWHA NEWS TRC사 PV Cell 분석 세미나 (1월 17일) 한화케미칼 중앙연구소 분석팀 및 폴리실리콘연구센터에서는

More information

- 2 -

- 2 - 작품번호 37 Solar material 로쓰일수있는검정색물질의재발견! 출품분야학생부출품부문화학 2009. 5. 13 시 군 학교 ( 소속 ) 학년 ( 직위 ) 성 명 성남시풍생중학교 2 김호기, 이희원 지도교사풍생중학교교사김경원 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - 석탄은주로탄소로구성되어있고, 수소와산소가들어있다. 이밖에질소

More information

C O N T E N T S 1. FDI NEWS 2. GOVERNMENT POLICIES 3. ECONOMY & BUSINESS 4. FDI STATISTICS 5. FDI FOCUS

C O N T E N T S 1. FDI NEWS 2. GOVERNMENT POLICIES 3. ECONOMY & BUSINESS 4. FDI STATISTICS 5. FDI FOCUS [FDI FOCUS] 4 차산업혁명에따른외국인투자유치정책의재편방향 ( 산업연구원 ) 2018 년 1 월 22 일 [ 제 140 호 ] C O N T E N T S 1. FDI NEWS 2. GOVERNMENT POLICIES 3. ECONOMY & BUSINESS 4. FDI STATISTICS 5. FDI FOCUS 1. FDI NEWS 2. GOVERNMENT

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

<31345FC3E1B0E8C7D0C8B8BBF3BCF6BBF3C0DAC7C1B7CEC7CA5F726576355F3139312D3139372E687770>

<31345FC3E1B0E8C7D0C8B8BBF3BCF6BBF3C0DAC7C1B7CEC7CA5F726576355F3139312D3139372E687770> 삼성고분자학술상 김종만 한양대학교 공과대학 화학공학과 교수 1994 University of Maryland-College Park (박사) 1994-1996 UC-Berkeley (박사후 연구원) 1996-2000 한국과학기술연구원 선임연구원 2000-현재 한양대학교 화학공학과 교수 2010 한양대학교 연구분야 최우수교수상 2010-2012 한양대학교 화공생명공학부

More information

01 01NEAR

01 01NEAR Monthly Report 2015.02 & FUTURE 현상에서미래를보다 ECONOMY 한국, 1천역직구저가항공연말정산 Vol.01 Monthly Report 2015.01 빅데이터분석을통한미래예측및대응사례 SOCIETY 의정부시화재어린이집폭행사이버대학교크림빵뺑소니 TECHNOLOGY 자율주행차북셀프핀테크바이어스랩 CONTENTS 01 08 17 NEAR

More information

제3장 21세기 제조업의 특징

제3장 21세기 제조업의 특징 제3장 21세기 제조업의 특징 김성혁 (전국금속노동조합 노동연구원) 제1절 수출의존형 성장의 한계 o '교역조건이 악화'되면서 실질무역손실이 발생 - 한국은 중고급 기술과 단가인하 효과로 세계시장에서 수출 경쟁력을 유지해 왔음. - 그러나 40년간 지속해 온 수출 단가인하 및 고환율 정책으로 인하여 교역조건 악화 가 누적되어, 2008년 임계치를 넘으면서

More information

160404 주거용 LED 조명 카탈로그.indd

160404 주거용 LED 조명 카탈로그.indd 고효율로 에너지 비용절감 TV 기술 적용으로 한 구조 기존 형광등기구(55Wx2 / 36Wx3)를 대체하는 고효율 제품으로 약 %이상의 에너지 효율 향상 할 수 있습니다. LG LED TV의 하면서도 균일한 빛을 내는 백라이트 기술 적용으로 하고 가벼운 구조로 설계되었습니다. 약 SLIM % 에너지 효율 향상 형광등 FPL 36W x 3 LED 거실등 제조사

More information

ICT À¶ÇÕÃÖÁ¾

ICT À¶ÇÕÃÖÁ¾ Ver. 2012 T TA-11104-SA 4 21 21 42 65 91 103 124 140 161 187 Ver. 2012 ICT Standardization Strategy Map 4 Ver. 2012 Ver. 2012 5 ICT Standardization Strategy Map 6 Ver. 2012 Ver. 2012 7 ICT Standardization

More information

00내지1번2번

00내지1번2번 www.keit.re.kr 2011. 11 Technology Level Evaluation ABSTRACT The Technology Level Evaluation assesses the current level of industrial technological development in Korea and identifies areas that are underdeveloped

More information

CD실행표지

CD실행표지 November 30 (Wed) ~December 2 (Fri), 2011 Hanwha Phoenix Park, PyeongChang Organized by OSK / Photonics Division KICS / Optical Communication Division IEEK / Optical Wave and Quantum Electronics Division

More information

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf

ë–¼ì‹€ìž’ë£„ì§‚ì‹Ÿì€Ł210x297(77p).pdf 2015 학년도 논술 가이드북 K Y U N G H E E U N I V E R S I T Y 2015 학년도수시모집논술우수자전형 1. 전형일정 논술고사 구분 일정 원서접수 2014. 9. 11( 목 ) 10:00 ~ 15( 월 ) 17:00 고사장확인 2014. 11. 12( 수 ) 전형일 2014. 11. 15( 토 ) ~ 16( 일 ) 합격자발표 2014.

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

MD-C-035-1(N-71-18)

MD-C-035-1(N-71-18) MD-C-035-1(N-71-18) KEPIC 적용사례 : MD-C-035-1(N-71-18) ( 승인일자 : 2010. 8. 31) 제목 : 용접으로제조되는 KEPIC-MNF 의 1, 2, 3 및 MC 등급기기지지물의추가재 료 (KEPIC-MN) 질의 : 품목이용접으로제작될경우, KEPIC-MDP의부록 IA, IB, IIA, IIB 및 VI에나열된것이외에추가로어떤재료가

More information

백색 LED를 사용한 조명용 광원의 설계 및 분석

백색 LED를 사용한 조명용 광원의 설계 및 분석 1879 년백열등 1938 년형광램프 1964 년 HID 램프 1990 년대초 ( 신광원 ) 무전극방전램프 LED 램프 에너지절약기술개발활성화대두 Solid-State Lighting 고효율, 장수명, 고연색성, 에너지및자원절약 쾌적성, 안전성, 지구환경보호, 다양한색가변가능 1000 0.3 x CIE 비시감도곡선 (CIE) 발광효율

More information

COMFILE_VOL13_20140204.cdr

COMFILE_VOL13_20140204.cdr "다양한 산업현장에서 쓰이고 있는 컴파일 제품" 데이터 수집 데이터 수집용 필드 I/O 제품 "모드포트" 필드 I/O 전력 모니터링 로봇 제어 태양광 발전 트랙커 제어 CUPC-P80 CT1721C CB405 포장기 화력발전소-화력 감지 시스템 녹방지장치(용존산소제거장치) CT1721C CB280, CLCD-216 CUWIN3500 일회용 용기 성형기 항온항습기

More information

4.fm

4.fm Journal of the Korean Ceramic Society Vol. 46, No. 1, pp. 30~34, 2009. Optimization of Glass Wafer Dicing Process using Sand Blast Won Seo, Young-mo Koo*, Jae-Woong Ko**, and Gusung Kim Department of Electronic

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

- 2 -

- 2 - '14 년도제품안전기술기반조성사업과제수요조사제안서 - 2 - [ 별첨 1] 과제명작성가이드라인 산업기술혁신기술개발사업과제명작성가이드라인 1. 기본방향 - 3 - 2. 가이드라인 - 4 - [ 별첨 2] 산업기술분류표 / / / 100101 100601 / 100102 / 100602 100103 100603 / 100104 / 100604 100105

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

PowerPoint Presentation

PowerPoint Presentation Dry etch 1. Wet etch and dry etch 2. Wet etch and dry etch의장. 단점 3. Dry etch의종류 4. Plasma etch의특성 5. Dry etch에서고려하여야할점 6. Film etch 6.1 Si etch 6.2 SiO 2 etch 6.3 Si 3 N 4 etch 6.4 Al etch 6.5 Silicide

More information

융합WEEKTIP data_up

융합WEEKTIP data_up 2016 FEBRUARY vol.07 07 융합 인쇄전자기술 동향 김준혁 융합연구정책센터 발행일 2016. 02. 22 발행처 융합정책연구센터 융합 2016 FEBRUARY vol.07 인쇄전자기술 동향 김준혁 융합연구정책센터 선정 배경 인쇄전자산업은 2016년 300억 달러 규모에 도달할 것으로 예상되는 거대 시장이며, 차세대 태양광과 디스플레이 등에 활용이

More information

Ⅰ 개요 1 기술개요 1.,,,,, 600,, (IFB),,

Ⅰ 개요 1 기술개요 1.,,,,, 600,, (IFB),, 고온단열재시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1.,,,,, 600,, (IFB),, 2. - 2 - (Value- chain),,, [ 그림 ] 고온단열재의밸류 - 체인 2 고온단열재기술의활용시장범위,,,,,,, - 3 - Ⅱ 시장동향 2016 475 2,000 8.16%, 2021 703 5,000 [ 그림 ] 글로벌단열재시장규모및전망

More information

특허청구의 범위 청구항 1 영상표시기기에 있어서, 외부로부터 입력되는 영상을 디스플레이하는 디스플레이 패널; 상기 디스플레이 패널 전면으로 빛을 공급하는 백라이트; 상기 백라이트에 구동 전원을 공급하는 백라이트 구동부; 적어도 하나의 밝기 조정 테이블을 저장하고 있는

특허청구의 범위 청구항 1 영상표시기기에 있어서, 외부로부터 입력되는 영상을 디스플레이하는 디스플레이 패널; 상기 디스플레이 패널 전면으로 빛을 공급하는 백라이트; 상기 백라이트에 구동 전원을 공급하는 백라이트 구동부; 적어도 하나의 밝기 조정 테이블을 저장하고 있는 (51) Int. Cl. (19) 대한민국특허청(KR) (12) 공개특허공보(A) G02F 1/133 (2006.01) G09G 3/36 (2006.01) (21) 출원번호 10-2008-0053994 (22) 출원일자 2008년06월10일 심사청구일자 없음 전체 청구항 수 : 총 15 항 (54) 영상표시기기 및 그의 제어 방법 (11) 공개번호 10-2009-0128021

More information

김준학97.PDF

김준학97.PDF , 1 1.. Ni- MH,, (,, ),,. 2, Zn/ Air 2, 2 2. IT 2,, 2,.,, PDA IT, 2 IT,, 2. Doping Carbon / Carbon Accumulator Zn/ Air 2. 2. 156 , 2010 2 1 2 2 6. ` 2 ( )' 1), 2 2 2 HEPS (Hybrid Electric Power System

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

untitled

untitled Synthesis and structural analysis of nano-semiconductor material 2005 2 Synthesis and structural analysis of nano-semiconductor material 2005 2 . 2005 2 (1) MOCVD ZnO (2) MOCVD gallium oxide < gallium

More information