untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1 나노급반도체용 EUV 리소그래피 한국과학기술정보연구원

2 < 제목차례 > 제 1 장서론 1 제2장반도체리소그래피기술개요 2 1. 반도체리소그래피기술 2 가. 반도체산업과리소그래피기술 2 나. 반도체고집적화와기존리소그래피기술의문제점 4 2. 나노급반도체용 EUV 리소그래피기술 6 가. 나노급반도체용 EUV 리소그래피기술의개요 6 나. EUV 리소그래피기술의중요쟁점 10 제3장 EUV 리소그래피기술개발현황및시장동향 나노급반도체용 EUV 리소그래피기술개발현황 16 가. 나노급반도체용 EUV 리소그래피국내기술개발현황 16 나. EUV 리소그래피해외기술개발현황 나노급반도체용 EUV 리소그래피기술의시장동향 30 가. 나노급반도체용 EUV 리소그래피장비개발현황 30 나. 나노급반도체용 EUV 리소그래피시장현황 32 제 4 장결론 36 < 참고문헌 > 37 - i -

3 < 표차례 > < 표 2-1> EUVL 개발에필요한핵심요소기술 9 < 표 2-2> 흡수체및 buffer layer 물성요구조건 11 < 표 2-3> 각스테이지가이드별특성 13 < 표 2-4> 플라즈마 EUV source의종류와 spec. 14 < 표 3-1> EUV-LLC의 EUVL 요소기술연구분야 24 < 표 3-2> ASET에서진행하고있는연구분야 26 < 표 3-3> 일본내의독자적인 EUVL 연구개발동향 27 < 표 3-4> 상업생산용 EUV lithography system 목표사양 31 < 표 3-5> 반도체장비별세계시장현황및전망 33 < 표 3-6> 노광장치세계시장현황및전망 33 < 표 3-7> 반도체장비및노광장치국내시장현황및전망 34 < 그림차례 > < 그림 2-1> 리소그래피공정의원리 2 < 그림 2-2> 반도체소자의미세화와리소그래피공정의파장축소경향 3 < 그림 2-3> ITRS 리소그래피로드맵 4 < 그림 2-4> EUVL 개념도 8 < 그림 2-5> EUVL reflective 마스크의개념도 8 < 그림 3-1> 미국의 EUV-LLC의프로그램구성도 24 < 그림 3-2> EUV-LLC의 EUVL 기술개발로드맵 25 < 그림 3-3> EUCLIDES 조직도 28 < 그림 3-4> EUCLIDES의 EUVL 기술개발로드맵 29 < 그림 3-5> 유럽지역연구 consortium 재편형태 30 < 그림 3-6> 국내ㆍ외노광장치시장규모추이 35 - ii -

4 제 1 장서론 나노급반도체용포토리소그래피기술은반도체웨이퍼상에집적회로를정의한복잡한패턴을인쇄하는데이용되는기술이다. 특히, 마스크상의패턴은감광막으로덮힌실리콘웨이퍼상에서고정밀노광장비에의해서축소되어이미지화된다. 나노급반도체용포토리소그래피기술의계속적인발전은더욱세밀한모양과크기로 2년마다약 30% 정도의감소를가능하게하였다. 이것은반도체산업에서더강력하고비용면에서효율적인생산을가능하게하였다. 평균적으로 18개월마다집적회로의트랜지스터수는두배가되고있다. 이러한관점에서포토리소그래피의퇴출시점은여전히예측을불허하지만, 선폭의미세화와회로의고부피집적화에따라새로운리소그래피기술이요구되고있다. 이른바 NGL(Next Generation Lithography) 로불리며기존의리소그래피기술의한계를극복할수있는새로운기술들로 EUV Lithography, X-Ray Lithography, Ion-Beam Projection Lithography, Electron-Beam Lithography 등이있으며, 이중에서 10내지 14nm의범위의파장을갖는극자외선 (EUV, Extreme Ultraviolet) 을이용하는 EUV Lithography(EUVL) 은 NGL 기술의선두로알려져있다. 본보고서에서는이러한나노급반도체용 EUV 리소그래피기술의개요를살펴보고이에따른연구개발동향및시장동향을분석하도록한다

5 제 2 장반도체리소그래피기술개요 1. 반도체리소그래피기술 가. 반도체산업과리소그래피기술 반도체소자를제조하기위해서는웨이퍼제작, 산화공정, 확산공정, 박막증착공정, 이온주입공정, 식각공정, 리소그래피공정등의복잡한공정을거치게되며, 이러한증착과식각의사이에서원하는부분을웨이퍼상에축소하여구현하는과정이리소그래피공정의기능이다. < 그림 2-1> 리소그래피공정의원리 리소그래피공정은미술에서의제작기법이석판인쇄기술에사진감광기술을응용하여, 원판에해당되는마스크상에설계된패턴을다양한방법을이용해웨이퍼상에옮기는작업으로, 빛이통과한부분과통과하지않은부분의명암차이가감광제에선택적영향을미치는원리를이용하여원하는모양을웨이퍼상에구현하는방법이다. 현재에는머리카락두께의약 600분의 1에해당하는 0.15μm정도를전사할수있는기술이상용화되어양산에적용되고있다

6 잘알려져있다시피지난 20 여년간소자의 scaling은많은공정기술의발전과함께이루어져왔으며특히리소그래피공정기술의진보와그궤를같이하고있다고하여도과언이아닐정도로소자의집적화소형화에막대한영향을미친다. 점차미세화되는패턴의전사를가능케하기위해서는리소그래피공정의해상도 (resolution) 의향상이필수적인데초기에는 Rayleigh criteria를따라서단파장의광원을이용하는방식으로손쉽게해결하였다. < 그림 2-2> 반도체소자의미세화와리소그래피공정의파장축소경향 과거의가시광선-자외선-원자외선으로의개발에따라 g-line (436nm), h-line (405nm), i-line (365nm) 을거쳐 KrF (248nm) 및 ArF (193nm) excimer laser까지이용하게되었다. 그러나원자외선영역에서더이상의파장축소가어려워짐에따라추가적인해상도한계의연장을위해서다양한해상도향상기술 (RET(: - 3 -

7 Resolution Enhancement Technology)- 예를들어근접효과보정 (OPC: Optical Proximity Correction), 위상변위마스크 (PSM: Phase Shift Mask), 변 형조명 (off axis illumination) 등 ) 이개발되었다. 나. 반도체고집적화와기존리소그래피기술의문제점 최근반도체소자의미세화속도가더한층가속됨에따라회로의최소선폭이사용파장의반에이르는등물리적인한계에봉착하게되어, 70nm 이하의미세패턴전사에서는이제까지의광원파장축소의 trend로는리소그래피공정이지원될수없는기술적한계 (technical barrier) 가존재한다는인식이높아졌다. < 그림 2-3> ITRS 리소그래피로드맵 First Year of IC Production nm + PSM 193 nm Technology Options at Technology Nodes (DRAM Half Pitch, nm) nm + PSM 157 nm IPL, PEL, PXL 157 nm EUV, EPL ML2 IPL, PEL, PXL EUV EPL ML2 IPL, PEL, PXL EUV EPL ML2 IPL, PEL, PXL Narrow Options Narrow Options Narrow Options DRAM Half Pitch (Dense Lines) 22 EUV, EPL ML2 Innovative Technology IPL, PEL, PXL Narrow Options Research Required Development Underway Qualification/Pre-Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution

8 < 그림 2-3> 은미국의반도체산업협회 (SIA: Semiconductor Industry Association) 에서발표한리소그래피공정에대한로드맵 (ITRS: International Technology Roadmap for Semiconductor) 이다. 이는지난십여년간일정수준이상의연구결과를도출하였거나개념의검증에그칠지라도차세대리소그래피로서의가능성을인정받고있는몇가지기술에대한적용가능성과그도입시기에대한예상이다. 이러한후보기술로는 기존의원자외선리소그래피공정 (DUVL: Deep Ultra-Violet Lithography) 의기술적인계보를잇고있는 F2 laser (157 nm 파장 ) 를이용하는기술 Hard X-ray 영역의빛을광원으로이용하는등배전사방식의 X-선리소그래피기술 (PXRL: Proximity X-Ray Lithography) Cell 패턴마스크를사용하여전자빔묘화기술의수율한계를극복하려는전자빔투영리소그래피기술 (EPL: E-beam Projection Lithography) EPL을최대한간소화하여틈새시장을노리는전자빔전사리소그래피 (PEL: Proximity E-beam Lithography) 전자빔을이용하여마스크없이직접묘화하는 EBDW (E-beam Direct Writing) EBDW의응용형태인 multi-column e-beam 리소그래피 그리고 13.5nm의극자외선과반사형노광계를이용하여패턴을전사하는 EUVL 등이있다. < 그림 2-3> 에서보여지듯, 90nm - 70nm의 technology node 이하의세대에서부터는기존의 optical 리소그래피와는크게차별화되는차세대리소그래피기술의도입이점쳐지고있으며이들중에서현재까지의기술개발상황과기술이시장에서요구되는시기, 그리고기술의구현가능성등의여러전제조건을기준으로평가해본결과 70nm node에서는 157nm DUV, 50nm 이하의 node에서는 EUV가강력한후보기술로점쳐지고있다

9 2. 나노급반도체용 EUV 리소그래피기술 가. 나노급반도체용 EUV 리소그래피기술의개요 나노급반도체용 EUV 리소그래피기술은여러차세대리소그래피기술들중에서가장가능성이높은기술로평가받고있다. 현재국외의활발한연구개발로인하여상용화가가장유력시되고있는기술이다. EUVL 기술은여타차세대리소그래피와차별화되는몇가지의장점을가지고있는데첫째, 높은수율을기대할수있다는점이다. 현재수율의목표치로는 300mm wafer를기준으로 80wf/hr의수율을예상하고있으며이는지금의 DUV 리소그래피의수율에필적하는값이다. 이에따라서비용이많이소모되는기술임에도불구하고대량생산을하는메모리분야에적용이기대되고있다. 둘째로는기존기술과의유사성을들수있다. EUVL은각종차세대리소그래피와비교하였을경우마스크의거시적구조, image의형성과정등에서기존의개념을유지할수있다는장점이있다. 셋째로는 EUVL의해상도확장성 (extendibility) 이다. 현재 EUVL이도입되리라예상되는 technology node는 70-50nm node이며대략 20nm node 이하또는현재 MOS 구조 transistor의한계점인 15nm node까지도응용이기대되고있다. 사실상 EUVL을제외한여타리소그래피들은기술의생산적용이이루어지더라도한세대내지두세대에그칠것으로예상되고있다. 하나의차세대리소그래피를개발하는데드는천문학적인개발비용과이로인한기회비용등을감안한다면기술의확장성은리소그래피뿐만이아닌여타차세대공정을개발함에있어서도가장중요하게감안해야할항목이다. 네번째로는 EUVL 마스크의기계적인우수성이다. EUVL 마스크는저열팽창계수물질 (LTEM) 글라스위에 EUV반사다층박막과흡수체가형성되게된다. X-ray 마스크및전자빔투영리소그래피 (EPL), 이온빔투영리소그래피 (IPL) 에사용되는마스크들은모두수μm가량의멤브레인등에의해구현되게되는데이러한얇은멤브레인들은기계적으로매우취약하다는약점 - 6 -

10 을가지고있다. 그리고이러한기계적인취약성은마스크의 handling에서많은문제를유발할뿐만아니라리소그래피자체에제약조건의하나로작용하게된다. 예를들면 EPL의 stencil type 마스크는전자빔에의한 heating에의해서멤브레인의불규칙적이고예측불가능한 (unpredictable) 뒤틀림현상이일어나고이는패턴의이동과소자의불량으로직결되기때문에빔의조사량에제한이가해지게된다. 즉불가피하게수율을조절하여야된다는리소그래피로서의치명적인단점을가지게되는것이다. 이를피할수있는 EUVL 마스크의 robust한구조는커다란장점이라아니할수없다. 이밖에도 EUVL에서 DUVL의감광제를약간의개선으로응용할수있다는점또한연구의 load를줄여주는장점이라할수있다. 이러한장점들외에 EUVL의단점들중중요한몇가지만살펴보면다음과같다. 우선기본적으로 EUVL 스테퍼와마스크의가격이매우높을것으로예상된다. 현재 EUV-LLC에서예상하는스테퍼와마스크의가격은각각 2천만불이하와 7만불가량으로되어있으나현재의해당장비및마스크의가격과이들의가격동향을이용하여추론하여보면예상가격을훨씬뛰어넘을것으로보인다. 이는소자의 CoO를높이게되는요인의하나로 EUVL의적용범위를대량생산의메모리분야에국한시키나이는기술의성숙도가높아지게되면다른소자범위에도적용가능할것으로보인다. EUVL 의개념도를 < 그림 2-4> 에표시하였다

11 < 그림 2-4> EUVL 개념도 EUVL은 13.5nm 파장의극자외선을광원으로이용하게되는데이영역의빛은공기를포함한대부분의물질에의해흡수되게된다. 따라서기존의투과형마스크과투과형광학계는사용할수없게되며반사형의마스크와광학계를써야한다. 그림에서보여지듯 source에서발생된 EUV는 mirror를거쳐포집되고정렬되게되며반사형마스크상의흡수체패턴을거치고난후 projection 광학계를통해서 wafer 상에축소투영되게된다. 이과정에서각 mirror 상의 EUV 반사다층막그리고마스크상의 EUV 반사다층막의 EUV 반사율은최종적으로 wafer 상에도달하는 EUV의강도를결정하게되는중요한인자이다. < 그림 2-5> EUVL reflective 마스크의개념도 - 8 -

12 < 그림 2-5> 는 EUVL 마스크의단면개념도이다. 기판은 EUV 조사에의한열적팽창으로인해일어날수있는패턴오차를최소화하기위하여 LTEM(Low Thermal Expansion coefficient Material) 로이루어져있다. 그기판상에 EUV를반사하기위한반사다층막이형성되어있으며그반사다층막상에 EUV를흡수할수있는중금속물질의흡수체가형성되어있다. < 표 2-1> EUVL 개발에필요한핵심요소기술 Item Risk level Continued development Reflective Optics Aspheric optics fabrication Medium Metrology for < 0.1 nm rms Precision multilayer surface coatings Low Improved efficiency for Mo/Si Mounting and alignment Low Simplification Thermal management Low Model validation/alternate Materials Source High power LPP (80 wafers/hour) High Efficiency/Cost Reduction Condenser Medium Efficiency/Lifetime Alternate sources High Debris reduction/discharge source Reflective reticles Materials (Low thermal expansion) Low Polishing/defect reduction Defect free multilayer coatings Medium Defect reduction/defect Smoothing Thermal management Medium Model correlation Pellicles Medium Alternatives/standards System (stage) High precision scanning stages Low Vacuum compatible Vacuum housing/envirinment control Low Contamination Isolation Alignment & focus/level Low High accuracy Commercialization High Cost reduction/timing Resist Low LER reduction/higher contrast/negative tone - 9 -

13 EUVL 기술을구현하는데는 reflective 광학계, source, reflective 마스크, 스테퍼 system, 레지스트등의요소기술의개발이필요하며이들각요소기술을개발하기위해서는표에서정리한것과같은각세부기술들의개발이필수적이다. 이러한세부기술들중에서현재가장핵심이되는이슈들은 < 표 2-1> 과같다. 표에는각세부기술들의기술적난이도와이에따른위험도에관한 EVU-LLC 내부의평가를표기하였다. 일부유리하게포장된면도어느정도있으나대부분현상황을잘보여주고있다. 현재기술적난제가많이남아있다고평가되는부분은광학계 fabrication, high power source, 마스크 defect control 등이다. 나. EUV 리소그래피기술의중요쟁점 (1) 반사형마스크 같은 EUV 반사의성질을이용하나반사형광학계와는크게다른성질을요구하는것이 EUV 마스크이다. 우선마스크는 scanning과정을통하여전체면적이모두 wafer에전사되게되며이에따라서마스크상의결함은각소자의결함과직결된다. 따라서무결함의마스크를만드는것이매우중요하다할수있다. 무결함의마스크를제작하기위한여러가지시도끝에광학계의반사다층막을증착하는 magnetron sputtering 보다좀더결함밀도를줄일수있는 ion beam sputtering system을사용하여 EUV 반사다층막을형성하게되었다. 이과정에서광학계에형성된다층막과반사도곡선을 matching 시키는것이매우중요하다. 그리고일단형성된다층막에서형성된결함은마스크제작의수율을높이기위하여제작한다층막의결함을전자빔및이온빔을이용하여수정하는기술의개발필요성역시높다하겠다. 일차적으로 clean한무결함의 blank 마스크가완성되면이위에흡수체물질을이용하여패턴을형성하게된다. 흡수체패턴은 wafer 상에서음영비를

14 최대화하기위하여원자번호가높은물질을사용하게되는데증착과건식 식각특성이우수한물질의선택과최종적인패턴의결함의검출과수정작 업역시완성형마스크를만드는데있어매우중요하다. (2) Absorber patterning 흡수체층 EUV 반사다층막상에형성되어서 EUV를흡수함으로써패턴을형성하는역할을하는층으로마스크의제작의마지막단계를이루는공정이다. 하부의 EUV 반사층을 damage free하게유지시키면서공정결함을없애야하는등의공정기술 integration상의어려움이몇몇드러나고있다. 또한패턴의 fidelity를검사하기위한 inspection 과정에서검사의용이성과정확성을기하기위한광학적특성또한중요한고려사항중의하나가되고있다. < 표 2-2> 흡수체및 buffer layer 물성요구조건 - 흡수체물질요구조건높은 EUV light 흡수도 Radiation과화학적인안정성세정공정 compatibility/ 무결함 EUV 반사막과의높은 inspection contrast 우수한건식식각특성 easy to etch, minimum etch bias, high etch selectivity to buffer layer High emissivity 낮은잔류응력과좋은두께 uniformity - Buffer layer 요구조건낮은 EUV 흡수도 FIB 수정공정에서낮은 ion sputtering yield inspection 시흡수체층과높은명암대조비를가져야한다. ML capping과비교하여높은식각선택비세정공정 compatibility와낮은결함밀도 위의 < 표 2-2> 는 EUV 마스크의흡수체물질과이의하부에위치하여

15 EUV 반사다층막의손상을방지하는목적인 buffer layer에대한물질선택의기준에대한간략한정리를보여주고있다. 이와같은까다로운전제조건하에서 Ta, Cr, W, Au, Al 등의다수의물질들이시험되었으며현재는 TaN 또는 Cr이주요후보물질로여겨지고있다. Buffer layer의경우는기본적인보호목적이외에도반사다층막의 capping layer를겸하면서 buffer layer 역할을할수있는기능성에대한탐구가많이진행중이다. 현재는 SiO2, SiON, Ru 등이유력한후보물질로검증중에있다. (3) 초정밀스테이지 EUV 리소그래피스테퍼에적용되는웨이퍼및마스크스테이지는진공환경에서 nm 수준의고도의정밀성을가져야하고안정적인열적환경을유지해야한다. 아울러각부품들의오염방지및진공챔버내입자발생을최소화하여야하며이러한필요조건을만족시키기위한초정밀스테이지로서현재에어베어링을이용한공기부상스테이지와자기력의힘을이용하는자기부상스테이지의개발이진행되고있다. 진공챔버내에위치해야하는레티클과웨이퍼스테이지에있어서 Air bearing에의한운전은진공상태를저해하는요소로지적되어 EUV 리소그래피용스테이지로서는부적합하다는평가를받은적도있지만최근일본과유럽등에서는진공챔버내의진공상태에영향을미치지않는 Air bearing 의운용방법을개발해 EUV 리소그래피용스테이지로서의적용방법에대해연구중이다. 아래의 < 표 2-3> 은각스테이지의가이드에따른개략적인특성을정리한것이다

16 < 표 2-3> 각스테이지가이드별특성 Ball Bearing Stage Air Bearing Stage Magnetic Bearing Stage Friction Coefficients =0.002 = 0 = 0 Complexity normal more less Precision um nm nm Throughput normal high more high Fabrication Cost normal high low Contamination high low not Reliability low normal high 종래의 Ball bearing 스테이지에비해정밀도, 생산효율, 신뢰성등모든분야에서공기부상스테이지와자기부상스테이지가뛰어난특성을나타내고있음을알수있다. 향후 EUV 리소그래피용스테이지에의적용을위해서는공기부상식과자기부상식에대한특성파악및효율적인응용방법에대한연구가절실하다고하겠다. (4) High power EUV source 현재 EUVL에서목표로하는수율의수준은 300mm size의 wafer를기준으로시간당 80장의높은수준이다. 이는현재의 DUV를사용하는리소그래피와비슷하거나더높은값인데이와같은수율목표를달성하기위해서는기본적으로높은 power의 EUV source를구현하는것이필요하다. 현재목표로하고있는광원의 power는약 80W 정도이나개발단계에서응용이되고있는 LPP(Laser Produced Plasma source) 와 synchrotron source는각각 4W, 30W 정도의수준에머무르고있어새로운 source의개발이시급한실정이다. < 표 2-4> 는현재개발중인 2가지타입의플라즈마소스에관한간략한특징을보여주고있다

17 < 표 2-4> 플라즈마 EUV source 의종류와 spec. 구분 LPP PLASMA DPP 원리 Plasma Electron Black Body Emission Plasma Electron Black Body Emission POINT Debris Hard Debris 효율 Two Step Conversion Low Efficiency Direct Conversion High Plasma Load 초기투자비 High Low 운영비 High Low 비고 Risk (Debris,Material) Higher Risk (Debris,Material) LPP (Laser Produced Plasma) 소스는현재개발단계에서 EUV를손쉽게구현하여개념을검증하려는용도로개발된소스이다. 그러나 debris로인한미러의오염등의문제와 2단계의에너지변환과정이개입된효율의저하로인하여충분한수율을보장할수없는약점을지니고있어새로운소스의개발필요성이대두되었고이에의해서떠오르고있는후보기술이 DPP (Discharge Produced Plasma) 이다. DPP의경우전극의 erosion으로인한하드 debris가발생하게되고아직파워목표치에달하는값을내놓지못하고있어많은연구가필요한분야라하겠다. (5) EUV 광학계 광학계는성능에의해리소그래피장비의해상력이좌우되며리소그래피장비에있어서필수불가결한중요한핵심부분이다. 수은등의 i-선, g-선그리고 KrF와 ArF 엑시머레이저를광원으로쓰는리소그래피장비는대부분렌즈계를광학계로채용하였다. 그러나파장이 13.4 nm의 EUV 영역에서는 EUV가물질에흡수되어버리므로이전처럼렌즈를사용한굴절광학계를 EUV 리소그래피용광학계로쓸수가없으므로모두반사경으로광학계가

18 구성되어야한다. EUVL에쓰이는광학계는진공환경에서사용되며, 해상도를높이기위해서는고도의정밀성이요구된다. 또한안정적인열적환경에서도열팽창이적어야하고빛의반사율이높아야한다. 광학계반사경의다층박막결함은마스크보다는결함에대한요구조건이덜엄격하지만 50 nm 이하의선폭을결상하기위해서는수차가없도록초정밀도로연마가공되어야하며, 표면거칠기와위상도정확히측정되어야한다. 리소그래피장비가 50 nm이하의선을그릴수있기위해서요구되는반사경의형상오차는 0.3 nm rms 미만, 표면의거칠기는 0.1 nm rms 미만이다. 이렇게정밀하게반사경표면이가공되어야할뿐만아니라최대반사율을가지고상의왜곡이없도록아주균일하게다층박막이증착되어야한다. 거울들의대부분이비구면형이기때문에제작과측정이아주어려워고도의기술을요하게된다. 해상력을높이려면최적의광학계설계를하고, 개개광학부품이완벽하게제작되어정확한시스템조립과환경조절이되지않으면안된다. 대부분의 EUVL projection 광학계는 4개이상의반사경으로이루어진다. 광원에서발생된빛은 condenser lens에의해집속되어마스크에입사되면반사된빛은 projection 광학계시스템에들어가게된다. 각반사경마다다른각도로입사하게되어동일한파장에서최적의반사를위해서는각반사경마다다른조건의다층박막이필요하게된다. 리소그래피를위한반사광학계의설계는자유도가적어서굴절광학계설계보다어렵다. 최적의결과를얻기위한 EUV 광학계의반사경은대부분비구면을가지도록설계된다. 5개또는 6개의반사경으로구성된광학계가더나은성능을보이나흡수가커진다. EUV용광학계는작은파장의빛을이용하여미세패턴을형성하므로아주고정밀도를요구한다. 제작된반사경은간섭계로측정을하며주로 point-diffraction interferometer(pdi) 를써서비구면측정을한다. MSFR은 interference microscope를사용해서측정하고 HSFR은 AFM으로주로측정한다

19 제 3 장 EUV 리소그래피기술개발현황및시장동향 1. 나노급반도체용 EUV 리소그래피기술개발현황 가. 나노급반도체용 EUV 리소그래피국내기술개발현황 (1) EUVL 마스크분야국내기술개발동향 현재까지차세대리소그래피용마스크특히 EUVL 마스크에대한국내에서의보고된연구동향은아주미미한실정이다. 포항공과대학에서는지난 1990년대중반부터 Mo/Si 다층박막의증착과이에대한분석방법등에대한연구를진행하여오고있다. 그리고한양대학교에서는 1990년대말부터 Mo/Si standard quarter wavelength multilayer에관한연구뿐만아니라 barrier layer에관한연구그리고광학계시뮬레이션에관한연구등을진행하여오고있다. ( 가 ) XRD 를이용한 Mo/Si 다층박막의특성분석 다층박막에대한시뮬레이션을통한 XRD peak와의비교를통하여다층박막의다양한물질변수예를들면 bilayer 두께, 구성물질간의두께비, 상호확산, 계면거칠기등에대한조사를연구를실시하였다. Low-angle 범위에서의 peak의위치는다층박막의 bilayer의두께와연관이있으며 peak의 broadening은 interface roughness와연관이있다. 그리고이러한 simulation peak들은 magnetron sputtering 방법에의해증착된 Mo/Si 다층박막의 XRD peak와의비교에이용한다. ( 나 ) XRR(X-Ray Reflectivity) 를이용한 Mo/Si 계면의거칠기에관한연구 XRR 을이용하면간접적으로다층박막의계면 roughness 에대한정보를 얻을수가있다. 몇개의 multilayer peak 들에대한 longitudinal off-specular

20 scan 과 transverse scan 을실시하였다. ( 다 ) Magnetron sputtering 을이용한 Mo/Si 의반사도연구 각증착조건에따른 Mo/Si 다층박막에대해서단면 TEM, low-angle XRD 등을이용해서특성을분석하고이들의미세구조를파악하며 EUV 반사도를 측정하여 EUV 반사용미러의사용가능성을타진하는실험을실시하였다. ( 라 ) EUV reflectivity 예측을위한시뮬레이터개발 EUV 반사도를정확하게예측하기위하여기존의시뮬레이터와는달리각층별로굴절지수등의물질변수와두께를변화시켜가면서대입하여최대한현실에근접한반사도결과를얻을수있게하는시뮬레이터를개발하였다. 이를이용해서실제증착과정을거치지않고다양한 barrier 물질의광학적특성에대한추론이가능하며역으로증착된물질의반사도곡선을가지고다층박막내부의성질을역추론가능하다. ( 마 ) Triple layer EUV reflective multilayer EUV 시뮬레이터를통해선정된 barrier 물질의다층박막내삽입을통하여다층박막의미세구조거동및반사도의변화추이를검증하여본실험이다. 시뮬레이터에서예견한바와같이약 1% 이상의반사도증가가관찰되었으며이는 α-tool의 7 normal incident mirror의경우약 10% 정도의리소그래피시간단축으로이어져상당한수율의증가를가져올수있는값으로평가된다. 마스크분야는이와같이초기연구개발의부담이덜하고공정기술이많이가미되는다층박막의형성또는시뮬레이션등과연계한평가기술에국내연구의초점이맞춰져있어왔다. 그러나현재국내에는상대적으로비교우위인공정기술이잘발달되어있고이를응용하는데에대한가능성도높은편이다

21 또한포항에서가동중인입자가속기가있는데이를이용하여적은비용 으로 EUVL 의마스크에관한기초적실험을진행할수있는시설이갖추어 진다면연구에관한전망은비교적밝다고할수있다. (2) 스테이지분야국내기술개발동향 ( 가 ) 반도체장비용초정밀다자유도스테이지개발 서울대학교반도체공동연구소에서는반도체장비를위한초정밀스테이지기술개발에노력하고있다. 동연구소에서는정밀공학, 시스템동역학및모델링에중점을두어초정밀다자유도스테이지들을개발하여왔으며, 초정밀도의구현을위하여레이저간섭계등의응용에대해서도연구를하고있다. ( 나 ) 초정밀서보기구용레이저피드백시스템개발 조선대학의레이저응용신기술연구센터에서는주 ) 새론의지원을받아 2001년 6월부터 2004년 4월까지초정밀서보기구의레이저피드백시스템에과한연구를진행하고있으며이연구에서초정밀스테이지의제어와레이저간섭계등의센서활용기술에대해서도연구를진행하고있다. ( 다 ) Long Range SPM 용나노스테이지기술개발 광주과학기술원에서는기관고유사업의일환으로서마스크의선폭측정검 사및반도체의제조공정에서의웨이퍼측정검사를행하기위한 Long Range SPM 용나노스테이지기술개발 의연구를수행하고있다. ( 라 ) 나노급리소그래피장비용스테이지개발 한국전기연구원은 2000 년 1 월부터국책연구사업으로 EUV 리소그래피개

22 발을위하여국내외기술조사사업, 시스템설계연구, EUV source개발연구, EUV 스테이지개발연구등의기초연구를수행하고있다. 이곳의스테이지및리소그래피에관련된연구를보면현재 10 nm급자기부상 6축스테이지의제어및리소그래피용 13.4nm EUV 발생장치에대한연구를수행중이다. 또한 1축정밀선형전동기및제어기를개발하고있으며 Laser Interferometer의자기부상스테이지에응용하기위한연구도병행하고있다. 한국표준과학연구원에서도나노-리소그래피를위한위치결정장치개발에대한연구를수행하고있다. 나노수준의 3축위치측정 / 제어장치를개발하고나노리소그래피장비와의통합화를목표로하고있다. ( 마 ) 300mm 용공정장비용스테이지의고속 / 초정밀화기술개발 한국기계연구원 (KIST) 에서는산업자원부와과학기술부의지원을받아 300mm용공정장비용스테이지의고속 / 초정밀화기술개발에대한연구를수행하였다. 동연구소에서는공기베어링스테이지의저진동, 경량화를위한설계제작기술개발과 2축웨이퍼스테이지의고속 / 초정밀위치결정기술개발에대한연구를수행하였다. 이밖에도 KAIST 기계공학과에서도리소그래피장비에적용을하기위한 6축정밀구동스테이지에대한연구와마스트크얼라이너의정밀위치제어를위한제어시스템의개발을하고있다. 위와같은연구개발동향에도불구하고초정밀스테이지가리소그래피장비등의실제시스템에적용사례가미흡한점은연구가진행됨에따라개선되어야할것으로보인다. 아울러해당분야의연구투자규모가크지않고기업들이자체적으로연구투자를집행하기에는 risk가크다는점에서이에대한정부주도적인연구개발이절실한실정이다. (3) EUV Source 분야국내기술개발동향 ( 가 ) 레이저, EUV 발생장치개발

23 한국전기연구원은고출력파워레이저인 2kW급 CO2 레이저, 그리고 200W 급 Nd:YAG레이저, 엑시머레이저등을개발한실적이있다. 또한리소그래피용 13.4nm EUV 발생장치개발연구를수행중이다. 최근에는 2000년부터국책사업으로 EUVL 핵심요소기술개발사업을진행하고있다. ( 나 ) 극자외선용스펙트로메터의개발 한국과학기술원은창의적연구진흥사업의일환으로 EUV 측정을위한 스펙트로메터의개발과 gas target 에대한레이저플라즈마형성및발광파 장에대한연구를수행중이다. ( 다 ) KrF 레이저광원을이용한리소그래피핵심기술개발 한국전자통신연구원의반도체신기술연구소는 16M/64 DRAM 연구사업중 반도체종합공정연구 로써 KrF 레이저광원을이용한리소그래피핵심기술개발실적을보유하고있다. 또한 ArF 스테퍼시스템개발실적과리소그래피광학계연구개발경험이있다. ( 라 ) 레이저플라즈마에의한 X- 선광원개발 한국표준과학연구원은고출력레이저시스템의구성, 레이저플라즈마에 의한 X- 선광원개발등의연구를수행한실적이있다. ( 마 ) EUV 및 soft x-ray 발생연구 포항공대와방사광가속기연구소는방사광가속기를응용하여 X-선리소그래피, 방전펄스플라즈마를이용한 EUV 및 soft x-ray 발생연구실적을보유하고있다. 동연구소 ( 소장백성기 ) 는지난 3월미국의 ALS(Advanced Light Source)

24 방사광가속기연구소 ( 소장다니엘쳄라 ) 와업무협력에관한양해각서 (MOU) 를체결하고, 나노 (NANO) 과학연구의발전을위해상호협력키로합의했다. ALS는지난 93년개방해활용되고있는제 3세대형다목적방사광가속기연구장치로, 특히미국방사광가속기연구소는나노소재분석이용분야에뛰어난빔라인을전세계적으로가장많이보유하고있는것으로알려졌다. 이밖에도한양대의물리학과연구팀은리소그래피기술개발과관련엑시머레이저및차세대불화아르곤 (ArF 193nm ), F2(157nm ) 엑시머레이저를비롯해 2010년정도에사용될것으로보이는 EUV나전자빔 (SCALPEL) 에대한연구를진행중이다. (4) 광학계분야국내기술개발동향 현재까지국내의차세대 EUV 리소그래피광학계에대한연구는거의없는실정이다. EUV 리소그래피공정과장비관련여타다른분야에서의연구도아주미미하다. 다만한국전자통신연구원에서리소그래피스텝퍼장비개발노력의일환으로 KrF, ArF 엑시머레이저광리소그래피광학계렌즈와스테퍼시스템을설계하고제작조립하였으며그외의서울대학교에서리소그래피스테퍼연구를하였으나이연구들을극자외선영역의굴절광학계에대한연구가주였다. 그외는 EUV 광학계관련연구는전무하다시피하다. 다만 EUV 반사광학계에쓰이는박막증착에관한연구로한양대학교에서 1990년대말부터 Mo/Si 다층박막과 barrier layer, 그리고 optical 시뮬레이션에관한연구가수행되어왔다. 그내용들은 XRD를이용한 Mo/Si 다층박막의특성분석, 리소그래피해상도와배율 object의크기에관한연구, XRR(X-Ray Reflectivity) 를이용한 Mo/Si계면의거칠기에관한연구, 다층박막의반사도연구등이다. ( 가 ) EUV 광학계분야는기본적으로전통기하광학영역에속하고이러한 영역은 연구개발우선순위가상대적으로상당히뒤떨어져거의연구활동

25 이없었으나렌즈와반사경가공회사들이다수있고한국전자통신연구원에 서는광학계가공및검사전용실험실을보유하고있어 EUV 광학계연구를 할여건은다분히조성되어있다고하겠다. ( 나 ) 한국전자통신연구원과선문대학교에서 50nm급차세대리소그래피기술개발을정보통신부정보통신선도기반기술개발사업으로수행하고있다. 이사업은 EUV 등의광리소그래피방식이아닌마이크로칼럼을이용한전자빔리소그래피기술의개발이다. 전자빔방식이라하더라도리소그래피시스템으로서 EUV 리소그래피시스템과연관된연구가추후진행될예정이다. 나. EUV 리소그래피해외기술개발현황 극자외선리소그래피는미 일선진국의경우이미지난 90년대초반부터일부연구그룹에국한되기는하지만주목할만한연구동향이있어왔다. 이와같은움직임은기존의 optical 리소그래피의한계가점차구체화되기시작하는 90년대후반부터급류를이루고있으며이러한선진연구그룹들에서는현재상당수준에이르는연구결과를이룩한것으로밝혀지고있다. 이러한미 일그리고유럽을포함하는반도체선진국들의극자외선리소그래피개발에있어서공통되는특징중의하나는이들이모두국가가주도하는산학연의연구 consortium 형태로각집단을수용하여연구를진행하고있다는점이다. 이에대해서는몇가지이유가있을수있으나기본적으로는리소그래피특히차세대리소그래피의하나인극자외선리소그래피의개발이하나의기업집단, 연구소등의단일체제를통해서는효율적인연구를기대하기힘들다는점과또하나는투입되는자본의 ( 금전과연구 facility를포함하는연구역량 ) 의규모가막대하기때문이다. 이러한선진국의연구 consortium은각권역을기준으로미국, 일본, 유럽에서각각일부는독립적으로또일부는밀접한연관을맺으며 EUVL에대한연구를진행하고있다. 이들의최근까지의연구성과등에대한조사를

26 하여보았다. (1) 미국 (EUV-LLC) EUV-LLC (Extreme Ultra-Violet-Limited Liability Company) 는 1997년 AMD, Infineon, Intel, Mocron, Motorola 등의미국을중심으로하는대표적인소자회사들이조직한연구개발유한회사로미국정부와협동연구개발조약 (CRADA: cooperative research and development agreement) 을맺고연구비를지원하고있으며미국정부는이를위해서 3개의메이저국가연구소 (LBNL: Lawrence Berkeley National laboratory, LLNL: Lawrence Livermore National Laboratory, SNL: Sandia National Laboratory) 를가상국가연구소 (VNL: Virtual National Laboratory) 의형태로재편하여이들의인력과시설등을적극지원하고있다. 총투자규모는 2억 5천만달러 ( 약 3 조 3천 7백억원 ) 이다. 1980년대말 Bell Lab. 과 NTT에서 EUVL에관한논문발표를시작으로 Sandia와 Livermore에서 Laser Produced Plasma (LPP) source를광원으로초기 EUVL prototype을개발하였다. LPP source에사용된기술은 Sandia NL에서 STAR WARS 의일환으로개발한것이다. 즉, STAR WARS Technology 가최첨단산업기술개발에도입된것이다. 그리고 1990년대초 DOE funding으로 Bell Lab., Intel, Sandia, Livermore 등이 EUVL 연구를계속적으로수행하였지만, 1996년의회에서 EUVL program의예산을삭감하자 Bell Lab. 이탈퇴하는일도있었다. Intel은 EUVL의중요성을인식하고주도적으로 EUV-LLC를결성하기에이르렀다. LLC가짧은기간에지금과같은 EUVL 기술을개발할수있었던것은 Pre-LLC 시기에이미 EUVL 기초연구가수행되었기때문이다.EUV-LLC는 VNL에서개발된기술을반도체장비회사로이전하여서상용 EUVL 스테퍼와관련장비를제작할수있게한다

27 < 그림 3-1> 미국의 EUV-LLC 의프로그램구성도 또한회원사들은투자에대한급부로장비회사에서제작한스테퍼와장비등에대한독점권을가지고있으며 EUV-LLC가가지고있는지적재산권과판매되는장비에대한 royalty를받을권리를가진다. 그러나이러한실체적인기대이익보다도회원사들이얻을수있는가장큰이익은 VNL에서연구개발도중에취득한각종 know-how를전수받을수있다는점이다. < 표 3-1> EUV-LLC 의 EUVL 요소기술연구분야 연구분야 Technology development Engineering Test Stand (α-tool) Support technologies 항목 Laser produced plasma source Precision multilayer coated 광학계 (figure, finish) Full field imaging (24 X 32.5mm) 0.1NA, 4X system Throughput flux for 10w/hr equivalent System integration data for 스테퍼 companies 마스크패터닝레지스트 Development/evaluation Microstepper experiments < 표 3-1> 는 EUV-LLC 에서목표로잡고있는연구분야와해당대표기술 이다. 표에서정리된바와같이 EUV-LLC 는 EUVL 을구현하는데필요한요

28 소기술전범위를포함하고있다. 많은연구분야중에서특기할만한부분중에하나는소위 α-tool이라불리는 EUV 스테퍼에관한것으로써이에소요되는광학계등을유럽의리소그래피장비제작회사와함께공동으로개발하고있다. < 그림 3-2> EUV-LLC 의 EUVL 기술개발로드맵 Lithography Development Plan Pre-LLC EUVL Program (92-97) LLC/VNL Program Alpha Tool (ETS) VNL Design and Integration with Tool Supplier Input Risk Reduction Learning Tool Supplier Design and Integration with VNL Support Tool Supplier Manufacturing Masks, Resists, EUV Components Beta Tools Production Tools ETS Delivered to LLC!! < 그림 3-2> 에미국의 EUV-LLC의기술로드맵을나타내었다. Pre-LLC에의한 EUVL 기초연구를기반으로 LLC/VNL Program에서 Alpha Tool인 ETS를구축하였다. 2001년초 VNL, Infenion, ASML을주축으로 10억달러를투자하여 2006년을목표로 Beta Tool 개발에착수한바있다. 또한 2001년 10월최초로 500W급 Laser에의한 50nm Scanned Image를만드는데성공하기도하였다. (2) 일본 일본에서 EUVL 에대한본격적인움직임이시작된것은 1998 년 ASET(Association of Super-Advanced Electronics Technologies) 프로그램이

29 시작되면서부터이다. 이프로그렘이본격화되기전까지일본은주로 PXRL에대한개발과 EPL 에대한연구가주종을이루고있으며 EUVL에대한연구는상대적으로미국에비해많이낙후되어있는상태였다. Exposure Tool < 표 3-2> ASET 에서진행하고있는연구분야 Aspherical Mirror Polishing Aspherical Optical Aspherical Mirror/Optics Metrology Illumination Optics Light Source Exposure System Laser Produced Plasma/Discharge Lamp Stage Control in Vacuum Alignment System Mask Mask Blanks Mask Substrate Preparation Multi-Layer Deposition Absorber Materials Selection Mask Fabrication Absorber Patterning Mask Cleaning Inspection & Repair Simulation Inspection Method/Repair Procedure Simulation on Defects Printability Thermal & Mechanical Deformation Simulation Resist Process Ultra Thin Layer Resist Resist Materials & Process Bi-Layer Resist Top Surface Imaging Edge Roughness/Out Gas Reduction ASET 는정부주도의산학연을망라하는선단리소그래피공정개발프로그 램으로이에참가하는회사는 Fujitsu, Hitachi, Intel, NEC, Nikon,

30 Matsushita, Mitsubishi, Oki, Samsung, Sanyo, Sharp, Sony, SPC, Toshiba 등이있고이외에 Tphoku University, Osaka University, Himeji Institute of Technology 등의대학과 AIST 등의국영연구기관이참가하고있으며이에대한자금지원은일본의경제산업성 (METI) 과산업기술개발기구 (NEDO) 가공동으로 1998년 6천백만달러규모였다. 그리고이에서개발된기술은 SELETE(Semiconductor Leading Edge Technologies) 에이전되게된다. 이 ASET EUVL은 100% 의정부지원을통해서연구개발을진행하게되며연구의목표는 EUVL의기반기술을확보하는것이다. 그리고이 project에서확보된기반기술을가지고 MIRAI project를통해서 EUVL을완성하겠다는야심찬계획을가지고있다. 전술한바와같이요소기술의연구를주목적으로한 ASET EUVL project 에서는 EUVL 스테퍼같은장비분야에관한연구보다는마스크나레지스트그리고리소그래피같은단위공정에연구테마가집중되어있다. 이밖에도일본내에는이러한 ASET EUVL project에속하지않는 EUVL 관련연구동향이다수있다. 이들을정리해보면다음 < 표 3-3> 과같다. < 표 3-3> 일본내의독자적인 EUVL 연구개발동향 연구분야 Source 광학계 System Metrology Multilayer 마스크 소속단체 Gigaphoton, HIT, AIST, Hitachi, Toyota Max, Tokyo Institute of Technology Nikon HIT, Canon HOYA, Nikon, Hamamatsu Photonics, HIT Nikon Tohoku University, HIT 물론이러한연구주체들은 ASET 에참여하고있는연구단체또는기업과 중복되는경우도있으며이는일본내에서 ASET 을통하지않고도개별적이 고도광범위하게 EUVL 에대한연구가추진되어지고있음을방증하는예라

31 고볼수있다. (3) 유럽 1998년유럽에서는 EUCLIDES(Extreme Ultraviolet Concept Lithography Development System) 이조직되었다. 이프로그램은대표적인리소그래피장비회사인 ASM Lithography(ASML) 이이끌고있는연구 consortium으로 Carl Zeiss와 Oxford Instruments 등의회사가파트너로참여하고있으며하부조직으로는 Philips, TNO-TPD( 네널란드의응용기술연구개발기관 ), FOM-Rijnhuizen( 네덜란드의플라즈마물리관련연구소 ), PTB( 독일의입자가속기연구소 ), FhG-IWS( 독일의응용기술연구개발기관 ) 등이있다. < 그림 3-3> 은이 EUCLIDES의조직도이다. < 그림 3-3> EUCLIDES 조직도 이 3 개의 partner 는각각 system integration (ASML), 광학계 (Carl Zeiss), 그리고 synchrotron source (Oxford instruments) 를분담하여연구를진행하 고있으며특히여기서완성된 EUV 스테퍼는미국의 EUV-LLC 와밀접하게

32 연관이되어있다. < 그림 3-4> EUCLIDES 의 EUVL 기술개발로드맵 Lithography Development Plan Phase I EUCLIDES Core technologies Development Phase II Alpha Tool 50 nm EUVL Prototype Development Beta Tool Project Insertion of EUVL at 70 nm Gamma Tool Project 35 nm insertion time EUVL mass volume production at 70 nm < 그림 3-4> 는 EUCLIDES의 EUVL 기술개발로드맵을나타내고있다. 현재 EUCLIDES는 1단계의 α-tool의개발이완료된 2000년도를기점으로종료되었으며계속적으로후속프로젝트가이어져 β-tool의개발과이어지는상용화스테퍼의개발까지를맡고있다. EUV 마스크분야는기존의 EUCLIDES에서마스크분야를담당하고있던그룹들이재결성한 EXTUMASK가담당하고있으며이프로그램에서는마스크의 LTEM substrate개발에서부터고반사율 EUV 반사다층박막과그리고최종적으로는마스크의 holder와 thermophoretic protection 등과같은마스크사용시의문제등에대한총체적인연구를진행하고있다. 그리고기존의 EUCLIDES 중 main stream인 system integration을담당하던파트는 EXTATIC이라는프로그램하에역시 ASML을주축으로 β-tool 개발에총력을기울이고있다

33 < 그림 3-5> 유럽지역연구 consortium 재편형태 2. 나노급반도체용 EUV 리소그래피기술의시장동향 가. 나노급반도체용 EUV 리소그래피장비개발현황 EUV lithography는 1989년 Dr. H. Kinoshita 에의해가능성이제시된후발전을거듭하여왔다. 1990년대소규모로이어져오던 EUV 관련연구는 1990년대말에들어서차세대 lithography 기술후보로여겨지던 x-ray proximity lithography 기술이장래가밝지않다는결론에다다르면서 2000 년대초부터는많은관심을끌기시작하였다. DUV lithography 기술과여러분야에서나타나는차이와반도체집적도의발전단계에부드러운전이를위한시간의제한으로인하여 EUVL로의진입을위해필요한기술적변화는한두개의거대기업에의해서견인되기에는다양한기술의융합이필요하고그에걸맞는인력및자본의투자가요구되었다. 이에따라서, 유럽에는 EAGLE, IMEC, CEA-LETI, 미국에는 VNL, SEMATECH, INVENT, CNSE-Albany, 그리고일본을중심으로하는아시아

34 에는 Selete, EUVA, Leading PJ등반도체회사와장비회사그리고부품회사및연구소등으로구성된다양한형태의컨소시엄과연구소들이서로협력과경쟁을통해관련기술을발전시키고있다. 현재연구개발이진행되는상태로보아생산현장에 EUVL이도입될수있는시기는 2012년이될것으로보인다. 반도체장비의개발은 ASML이주축이되어이끌고있으며, Canon과 Nikon등의업체들이경쟁하는양상이다. 전체적으로보아모든장비업체들이 2012년생산용 tool을출고하는것을목표로총력을집결하고있다. 목표로하는사양은 < 표 3-4> 에요약된바와같다. < 표 3-4> 상업생산용 EUV lithography system 목표사양 Resolution 32nm L/S NA > 0.25 Magnification 1/4 Field size 결상광학계 Wavefront error 26X33 mm2 비구면 6 거울 < 0.5nm RMS Flare 10% Incidence angle(on Mask) 6 Throughput* > cm2 sensitivity * 생산성은장비회사의가정과사정에따라서차이가있다. 상업용장비의생산에앞서서 2006년 ASML은 Sn DPP를광원으로하는 2 대의 α-demo tool을생산하여각각 IMEC과 CNSE-Albany에납품하였으며 2009년을시작으로몇대의 PreProduction tool(β-tool) 을완성할예정이며그중에는우리나라에설치될장비도포함된것으로알려지고있다. Nikon 은공정개발을위한연구용장비를조립하는중이다. 이들은모두상업생산에적절한크기의 field size를갖고있으며, 제반 lithography 공정의연구는물론장치자체의성능검증등여러분야에서상

35 업용장치가생산될때까지활용될예정이다. 한편, Canon은작은크기의 field를갖는장비 (SFET, Small field exposure tool) 를제작하여제반연구에활용중이며 2010년을전후하여 PreProductiontool을제작완료할예정이다. 반도체의집적도가지금과같은추세로증가하게되면 2012년무렵에는고굴절율액침 DUV lithography공정과다중노광을이용하여 32nm급의형상이제작될것으로보이며이시점에 EUV lithography 장치로써 32nm 구조를기반으로하는노광장비가도입될것으로예상된다. 초기의노광광학계는 6개의비구면반사경으로구성되고있다. 그러나, resolution을높이기위해큰개구수가필요할경우에는소요되는반사면의수가늘어날것이다. 한편, 반사광학계는상의위치에따라서광량의분포의변화가커지는단점이있는데, 이때문에비축상의 mask를 wafer면에결상하도록하고 mask와 wafer를 scan하여정방형의 field에대한노광을구현한다. 나. 나노급반도체용 EUV 리소그래피시장현황 나노급반도체용 EUV 리소그래피기술은아직본격적으로양산시장에 적용되지는않고있으나, 기본적으로반도체장비에적용되는기술이므로, 반도체장비의시장으로부터시장규모를추정해보는것으로한다. < 표 3-5> 는반도체장비별세계시장현황및전망을나타낸것인데, 장비별로는웨이퍼프로세싱이 2002년세계장비시장의 71.6% 인 141억 5,000만달러에서 2003년에는 143억달러로증가하고 2005년에는 237억달러에달할것으로전망된다. 기타전공정장비시장은 2003년 15억 4,000만달러에서 2004년 21억달러로 2005년에는 25억 6,000만달러로성장하고테스트장비시장은 2002년 27 억 1,000만달러에서 2005년에는 62억 5,000만달러의시장을형성할것으로전망되며, 조립및패키징분야는 2002년 17억 2,000만달러에서 2003년에는 7.6% 감소하였으나이후지속적으로성장하여 2005년에는 24억 3,000만달러로성장할것으로전망된다

36 < 표 3-5> 반도체장비별세계시장현황및전망 ( 단위 : 억달러, %) 구분 웨이퍼 (-) (1.1) (38.6) (19.9) 기타전공정 11.7 (-) 15.4 (1.6) 21.6 (40.3) 25.6 (18.5) Test 27.1 (-) 39.4 (45.4) 55.3 (40.4) 62.5 (13.0) 조립및패키징 17.2 (-) 15.9 (-7.6) 21.1 (32.7) 24.3 (15.2) 자료 : SEMI 2003 노광장치세계시장현황및전망을통해살펴보면, < 표 3-6> 에서보는바와같이노광장치의세계시장은 2002년 89억달러에서 2003년에는전년대비 3.1% 성장하여 91억 8,000만달러에달한것으로추정되었으며, 2004년에는 128억달러로전년대비 38.9% 성장하고 2005년에는 154억달러에달할것으로전망되었음. 2002년을기준으로노광장치의세계시장은세계반도체장비시장에서 45.1% 를차지하고있는것으로나타났음. < 표 3-6> 노광장치세계시장현황및전망 ( 단위 : 억달러, %) 구분 반도체장비 1) (-) (8.2) (38.6) 350 (18.2) 89 노광장치 2) (-) 91.8 (3.1) (38.9) 154 (20.8) 자료 : 1) 반도체장비 : SEMI 2003, 2) 노광장치 : 나노산업분야별시장 기술예측보고서, 전략기술경영연구원,

37 국내반도체장비시장은 < 표 3-7> 에서와같이 2002년 16억 6,000만달러로세계반도체장비시장의 8.4% 를차지한것으로나타났음. 2003년에는 28억 2,000만달러로전년대비 69.8% 의고도성장할것으로추정되었으며, 2004년에는 39억 6,000만달러, 2005년에는 45억달러로연평균 ( 년) 39.4% 의높은성장을구가할것으로전망된바있다. 노광장치국내시장은 2002년 6억 6,000만달러에서 2003년에는전년대비 71.2% 성장한 11억 3,000만달러로증가하여노광장치시장의 12.3% 를차지할것으로추정되었으며, 2004년에는 15억 8,000만달러로성장하고 2005년에는 18억달러에달하여연평균 ( 년) 39.7% 성장할것으로전망된바있다. < 표 3-7> 반도체장비및노광장치국내시장현황및전망 ( 단위 : 억달러, %) 구분 반도체장비 1) (-) 28.2 (69.8) 39.6 (40.4) 45 (13.6) 노광장치 6.6 (-) 11.3 (71.2) 15.8 (39.8) 18 (13.9) 주 : 반도체장비는 SEMI 2003, 국내노광장치의시장은반도체장비시장중노광장치시장이약 40.0% 차지하고있다는전문가들의의견을참고하여추정한것임 이는최근국내기업이급격히성장하고있는반도체외에평판디스플레이용장비로사업을다각화하는동시에 300mm웨이퍼용장비를동시에생산하는추세를보이고있고특히반도체투자는 300mm웨이퍼처리를위한노광장치등전공정핵심장비에집중될것으로분석되었기때문이다. 노광장치의국내기술과시장규모는세계시장에비해미흡한수준이지만, 초미세ㆍ정밀부품이주종을이루는유비쿼터스시대가도래함에따라국내에서도각종산업에이용될차세대기술로손꼽히고있으며, 수요또한넓어질것으로전망되고있다. 한국부품소재산업진흥원에따르면 2013년노광장치의세계시장규모는

38 조 255 억원에이르고, 국내시장규모는 6,726 억원대로예상된다. < 그림 3-6> 국내ㆍ외노광장치시장규모추이

39 제 4 장결론 나노급반도체용 EUV Lithography 기술은현재의포토리소그래피반도체패터닝기술의한계를극복할수있는대체기술로인정되고있으나앞서서술한여러가지문제를해결하기까지의과정은결코만만치않은작업이될것이다. 또한포토리소그래피의새로운응용기술의도출은포토리소그래피기술력의로드맵상의한계점을지속적으로극복하고있으므로포토리소그래피의시장퇴출시점은여전히예측이어렵다. 따라서 EUVL은물론다른 NGL 기술의도입을연기하는요소로도작용하고있다. 그리고비록 EUVL이주도적인위치를고수하고있다고하더라도, 미국이외의다른나라들의활발한참여가미비하고다른 NGL 기술의성장도고려해야할상황이므로섣부르게포토리소그래피이후의기술을단정지을수는없다. 하지만포토리소그래피기술의한계점이분명한상황에서아무런대체기술의개발없는수동적인자세를고수하는것보다는능동적인개발의참여가더욱필요하며, 포토리소그래피이후의기술을미리예측해보는것도흥미있는일이될것이다

40 < 참고문헌 > 1. John E. Bjorkholm, "EUV Lithography-The Successor to Optical Lithography?", Intel Technology Journal ( 2. Donald Sweeney, "ExtremeUltraviolet Lithography", Lawrence Livermore National Laboratory 3. "< 기술동향 > NGL 기술개발현황 4. D. Attwood, Soft X-rays and Extreme Ultraviolet Radiation(Cambridge University Press, Cambridge, 1999) 5. S. Bajt, SPIE talk July 31, 2001, San Diego, SPIE Proc. Vol S. Okazaki, 6th EUV Symposium, Sapporo, Japan(2007) 7. H. Kinoshita et al, J. Vac. Sci. Technol. B7(6) P1648(1989) 8. T. Miura et al, 6th EUV Symposium, Sapporo, Japan(2007) 9. V.Banine et al, 6th EUV Symposium, Sapporo, Japan(2007) 10. S. Uzawa et al, 6th EUV Symposium, Sapporo, Japan(2007)

Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket

Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket Vertical Probe Card for Wafer Test Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket Life Time: 500000

More information

HWP Document

HWP Document 25장 포토마스크용 광학박막의 계측 25 포토마스크용 광학박막의 계측 Ebru Apak 목차 25.1 서언 25.2 광학적 기법 25.2.1 빛의 반사 25.2.1.1 광선과 단일간섭의 상호작용 25.2.1.2 프레넬 방정식 25.2.1.3 광선과 다중간섭의 상호작용 25.2.2 타원편광 분광(SE) 25.2.3 반사광 분광 25.3 소재의 광학적 성질들 25.3.1

More information

<5BB0EDB3ADB5B55D32303131B3E2B4EBBAF12DB0ED312D312DC1DFB0A32DC0B6C7D5B0FAC7D02D28312E28322920BAF2B9F0B0FA20BFF8C0DAC0C720C7FCBCBA2D3031292D3135B9AEC7D72E687770>

<5BB0EDB3ADB5B55D32303131B3E2B4EBBAF12DB0ED312D312DC1DFB0A32DC0B6C7D5B0FAC7D02D28312E28322920BAF2B9F0B0FA20BFF8C0DAC0C720C7FCBCBA2D3031292D3135B9AEC7D72E687770> 고1 융합 과학 2011년도 1학기 중간고사 대비 다음 글을 읽고 물음에 답하시오. 1 빅뱅 우주론에서 수소와 헬륨 의 형성에 대한 설명으로 옳은 것을 보기에서 모두 고른 것은? 4 서술형 다음 그림은 수소와 헬륨의 동위 원 소의 을 모형으로 나타낸 것이. 우주에서 생성된 수소와 헬륨 의 질량비 는 약 3:1 이. (+)전하를 띠는 양성자와 전기적 중성인 중성자

More information

Ⅰ. 석면 1 1) American Geological Institute, Glossary of geology, 2008, http://glossary.agiweb.org 2) US OSHA standard 29CFR1910.1001(b) 2 석면분석전문가양성교육교재 : 편광현미경을이용한고형시료중석면분석 1) Cornelis Klein, The Manual

More information

Photolithography - Photo: light, Litho: stone, Graphy: writing Image Transferring - Steps of Photolithography Process

Photolithography - Photo: light, Litho: stone, Graphy: writing Image Transferring - Steps of Photolithography Process 제 9 장 Lithography I 1. Introduction Optical Lithography 기술의발달과정 Year of 1st DRAM Shipment 1997 1999 2003 2006 2009 2012 DRAM Bits/Chip 256M 1G 4G 1G 64G 256G Minimum Feature Size nm Isolated Lines (MPU)

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

2015 년도반도체장비 재료성능평가사업공고품목및사양 ( 10, 14, 5 ) Photo/PR KrF LED WEE 노광장비 Reticle particle 검사Unit ARF i NTD BARC KrF Positive PR Etch TSV Gas Chiller 냉매 Di

2015 년도반도체장비 재료성능평가사업공고품목및사양 ( 10, 14, 5 ) Photo/PR KrF LED WEE 노광장비 Reticle particle 검사Unit ARF i NTD BARC KrF Positive PR Etch TSV Gas Chiller 냉매 Di 2015 년도반도체장비 재료성능평가사업공고품목및사양 ( 10, 14, 5 ) PhotoPR KrF LED WEE 노광장비 Reticle particle 검사Unit ARF i NTD BARC KrF Positive PR Etch TSV Gas Chiller 냉매 Diff SiC Susceptor SiC Pre Heat Ring Multi Point OES 고온용

More information

성능 감성 감성요구곡선 평균사용자가만족하는수준 성능요구곡선 성능보다감성가치에대한니즈가증대 시간 - 1 -

성능 감성 감성요구곡선 평균사용자가만족하는수준 성능요구곡선 성능보다감성가치에대한니즈가증대 시간 - 1 - - 1 - 성능 감성 감성요구곡선 평균사용자가만족하는수준 성능요구곡선 성능보다감성가치에대한니즈가증대 시간 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - 감각및자극 (Sensory & Information Stimuli) 개인 (a person) 감성 (Sensibility)

More information

<4D F736F F F696E74202D20352E20C7D1BFEBB1D420B0F8C1A4B1E2C3CAB1B3C0B B3E22031BFF929>

<4D F736F F F696E74202D20352E20C7D1BFEBB1D420B0F8C1A4B1E2C3CAB1B3C0B B3E22031BFF929> Plasma Display Panel 의공정기술 한용규 dbgmaco79@gmail.com Charged Particle Beam & Plasma Lab. / PDP Research Center Department of Electrophysics, Kwangwoon University, Seoul, Korea Contents 1. 개요 2. PDP의구조 3.

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

Backlight Unit의 광학적 특성 해석 및 Prism Sheet의 최적화 설계

Backlight Unit의 광학적 특성 해석 및 Prism Sheet의 최적화 설계 α CRT(Cathod Ray Tube) 능동 PDP(Plasma Display) ELD(electroluminescent Display) 수동 LCD LED(Light Emitting Diode) LCD Backlight unit 반사판 Diffuser sheet Reflection sheet Lamp Prism sheet Diffuser sheet

More information

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials OLED 시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials Market, 2017-2 -

More information

<30322E20B9ABBCB1B7A32E687770>

<30322E20B9ABBCB1B7A32E687770> 목 차 제1장 제2장 200 180 160 EP/PCT 일본 미국 140 120 100 80 60 40 20 0 1987 1988 1989 1990 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 송수신기 7% 시분할다중화시 스템 6%

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 교육문의 : 031-546-6245( 수원 ), 054-479-2185( 구미 ), 052-217-2640( 울산 ) 일월화수목금토 1 2 3 4 5 6 7 나노내부결정분석 8 9 10 11 12 13 14 나노박막증착공정 15 16 17 18 19 20 21 나노표면특성분석 나노결정물질구조및성분분석기술 22 23 24 25 26 27 28 29 30 나노광소자공정

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

- 1 -

- 1 - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - ι κ λ β β β β β - 7 - - 8 - - 9 - - 1 - - 11 - 마. - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 2 - - 21 - - 22 - - 23 - - 24 - ι κ λ β β - 25 - - 26 - -

More information

untitled

untitled Huvitz Digital Microscope HDS-5800 Dimensions unit : mm Huvitz Digital Microscope HDS-5800 HDS-MC HDS-SS50 HDS-TS50 SUPERIORITY Smart Optical Solutions for You! Huvitz Digital Microscope HDS-5800 Contents

More information

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26 5월전체 2005.6.9 5:7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26개 지역지식재산센터 를 통해 발명가와 중소기업들에게 기술개발에서 선진국은 첨단기술을 바탕으로

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 RecurDyn 의 Co-simulation 와 하드웨어인터페이스적용 2016.11.16 User day 김진수, 서준원 펑션베이솔루션그룹 Index 1. Co-simulation 이란? Interface 방식 Co-simulation 개념 2. RecurDyn 과 Co-simulation 이가능한분야별소프트웨어 Dynamics과 Control 1) RecurDyn

More information

161.시장동향보고서_멸균 서비스 시장.hwp

161.시장동향보고서_멸균 서비스 시장.hwp 멸균서비스시장 연구개발특구기술글로벌시장동향보고서 2018.03 Ⅰ 개요 1 기술개요 1.,,,,, 2. (HAI),,, - 2 - 2 멸균서비스의활용시장범위, &, &, - - & -,,, / & - (,, ), -, - 3 - Ⅱ 시장동향 2017 23 9,000 6.7%, 2022 33 1,000 [ 그림 ] 글로벌멸균서비스시장규모및전망 &,,,, 2015

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환)

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환) KRP Report (3회차) GOLDEN BRIDGE Research - 스몰켑 - Not Rated 테스 (095610) 공정미세화 추세의 수혜, 태양광 장비의 매출 가시화로 견조한 성장 작성일: 2009.11.18 발간일: 2009.11.19 3Q 실적 동사의 3분에 매출과 영업이익은 각각 141.5 억원(QoQ 142%), 6 억원(흑전)이다. 목표가

More information

유해중금속안정동위원소의 분석정밀 / 정확도향상연구 (I) 환경기반연구부환경측정분석센터,,,,,,,, 2012

유해중금속안정동위원소의 분석정밀 / 정확도향상연구 (I) 환경기반연구부환경측정분석센터,,,,,,,, 2012 11-1480523-001163-01 유해중금속안정동위원소의 분석정밀 / 정확도향상연구 (I) 환경기반연구부환경측정분석센터,,,,,,,, 2012 목 차 ⅰ ⅲ ⅳ Abstract ⅵ Ⅰ Ⅱ Ⅲ i 목 차 Ⅳ ii 목 차 iii 목 차 iv 목 차 v Abstract vi Abstract σ ε vii Abstract viii Ⅰ. 서론 Ⅰ. 1 Ⅰ. 서론.

More information

<313130313032C6AFC1FD28BEC8C1F8C8A3292E687770>

<313130313032C6AFC1FD28BEC8C1F8C8A3292E687770> 포토리소그래피의 기본원리 DOI: 10.3938/PhiT.20.001 안진호 이상설 Principles of Photolithography Jinho AHN and Sangsul LEE For more than three decades, semiconductor industry has been able to supply better device solution

More information

농림축산식품부장관귀하 본보고서를 미생물을활용한친환경작물보호제및비료의제형화와현장적용매뉴 얼개발 ( 개발기간 : ~ ) 과제의최종보고서로제출합니다 주관연구기관명 : 고려바이오주식회사 ( 대표자 ) 김영권 (

농림축산식품부장관귀하 본보고서를 미생물을활용한친환경작물보호제및비료의제형화와현장적용매뉴 얼개발 ( 개발기간 : ~ ) 과제의최종보고서로제출합니다 주관연구기관명 : 고려바이오주식회사 ( 대표자 ) 김영권 ( 농림축산식품부장관귀하 본보고서를 미생물을활용한친환경작물보호제및비료의제형화와현장적용매뉴 얼개발 ( 개발기간 :2014. 7. 29 ~ 2016. 7. 28.) 과제의최종보고서로제출합니다. 2016. 7. 28. 주관연구기관명 : 고려바이오주식회사 ( 대표자 ) 김영권 ( 인 ) 협동연구기관명 : 목원대학교산학협력단 ( 대표자 ) 고대식 ( 인 ) 협동연구기관명

More information

Microsoft Word - UV-Nanoimprint.doc

Microsoft Word - UV-Nanoimprint.doc 소프트리소그래피 ( 마이크로컨택프린팅을중심으로 ) 나노임프린트가주로 hard한스템프를이용하여나노스케일패턴을쉽게제작할수있는반면소프트리소그래피는주로 soft 한몰드를이용하여패턴을제작하는공정을말한다. 지금까지주로 PDMS가몰드로많이사용되어져왔으나최근에는새로운기능을가지는몰드재료에대한연구가진행되어지고있다. 나노임프린트에비해나노스케일패턴제작에는단점을가지고있지만대신저렴한몰드가격의장점을가지고있어

More information

I. 회사의 개요 1. 회사의 개요 가. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기 ㆍ반기보고서를 제출하는 경우에 한함) 자본시장과 금융투자업에 관한 법률 시행령 부칙 <제20947호> 제23조에따라 2012년 1월 1일 이후 최초로

I. 회사의 개요 1. 회사의 개요 가. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기 ㆍ반기보고서를 제출하는 경우에 한함) 자본시장과 금융투자업에 관한 법률 시행령 부칙 <제20947호> 제23조에따라 2012년 1월 1일 이후 최초로 반 기 보 고 서 (제 31 기) 사업연도 2012년 01월 01일 2012년 06월 30일 부터 까지 금융위원회 한국거래소 귀중 2012년 8월 14일 회 사 명 : 엠케이전자(주) 대 표 이 사 : 최 윤 성 본 점 소 재 지 : 경기도 용인시 처인구 포곡읍 금어리 316-2 (전 화)031-330-1900 (홈페이지) http://www.mke.co.kr

More information

00내지1번2번

00내지1번2번 www.keit.re.kr 2011. 11 Technology Level Evaluation ABSTRACT The Technology Level Evaluation assesses the current level of industrial technological development in Korea and identifies areas that are underdeveloped

More information

KAERIAR hwp

KAERIAR hwp - i - - ii - - iii - - iv - - v - - vi - Photograph of miniature SiC p-n and Schottky diode detector Photograph SiC chip mounted on a standard electrical package Photograph of SiC neutron detector with

More information

untitled

untitled Synthesis and structural analysis of nano-semiconductor material 2005 2 Synthesis and structural analysis of nano-semiconductor material 2005 2 . 2005 2 (1) MOCVD ZnO (2) MOCVD gallium oxide < gallium

More information

(Microsoft PowerPoint - src.ppt [\300\320\261\342 \300\374\277\353] [\310\243\310\257 \270\360\265\345])

(Microsoft PowerPoint - src.ppt [\300\320\261\342 \300\374\277\353] [\310\243\310\257 \270\360\265\345]) LC/MS, LC/MS/MS 기초개론 LC LC/MS(Liquid Chromatography/Mass Spectrometry) 액상의분석물질을기화및이온화하여고진공관에서원하는질량 (m/z) 이나질량범위만검출하여정량 정성분석하는시스템 High vacuum PC/Software Ion source Mass Analyzer Detector ESI APCI APPI

More information

< > 1. 1 1.1 1 1.2 2 2. 3 2.1 3 2.2 3 2.2.1 3 2.2.2 3 2.2.3 4 2.2.4 (Competency Requirement) 4 2.3 4 3. 5 3.1 5 3.2 7 3.2.1 7 3.2.2 (Competency Requirement) 02 4. 49 < > 1. 4 1.1 2000,, 2011 6, ISO (Technical

More information

<B3EDB4DC28B1E8BCAEC7F6292E687770>

<B3EDB4DC28B1E8BCAEC7F6292E687770> 1) 초고를읽고소중한조언을주신여러분들게감사드린다. 소중한조언들에도불구하고이글이포함하는오류는전적으로저자개인의것임을밝혀둔다. 2) 대표적인학자가 Asia's Next Giant: South Korea and Late Industrialization, 1990 을저술한 MIT 의 A. Amsden 교수이다. - 1 - - 2 - 3) 계량방법론은회귀분석 (regression)

More information

. 0.. Planck : sec : sec : : m in radian m in ln sec 심장 발 기압

. 0.. Planck : sec : sec : : m in radian m in ln sec 심장 발 기압 . 0.. Planck : sec : sec : : sec sec A . 0.. Planck : sec : sec : : m in radian m in ln sec 심장 발 기압 . 0. sec π . 0.. Planck : sec : sec : : sec sec sec sec . 0.. Planck : sec : sec : : m p = 1u=931.5 MeV

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 5 2004. 3. . 5.. Input. Output . 5 2004 7,, 1,000 5,. 40 2004.7 2005.7 2006.7 2007.7 2008.7 2011. 1,000 300 100 50 20 20 ( ) 0.01% 0.08% 0.36% 0.96% 3.07% 100% ( ) 5.3%(10.7%) 12.2%(17.3%) 21.9%(26.4%)

More information

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종 [ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : 2013. 3 ~ 2013. 12 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종찬 ( 서울과학고 2학년 ) 소재원 ( 서울과학고 2학년 ) 1,.,.,.... surface

More information

untitled

untitled 전방향카메라와자율이동로봇 2006. 12. 7. 특허청전기전자심사본부유비쿼터스심사팀 장기정 전방향카메라와자율이동로봇 1 Omnidirectional Cameras 전방향카메라와자율이동로봇 2 With Fisheye Lens 전방향카메라와자율이동로봇 3 With Multiple Cameras 전방향카메라와자율이동로봇 4 With Mirrors 전방향카메라와자율이동로봇

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

2005CG01.PDF

2005CG01.PDF Computer Graphics # 1 Contents CG Design CG Programming 2005-03-10 Computer Graphics 2 CG science, engineering, medicine, business, industry, government, art, entertainment, advertising, education and

More information

歯특집1.PDF

歯특집1.PDF 1. 2. (Booz- Allen) (nut cracker), (McKinsey ),, 50%. (Paul Krugman).,,..,...,.. 2. 97 12 40 IMF..,,,. 1) 4. < 1> 4 * * * * * * * * * (BIS ) * 200% * ( ) : (1) 2 64. 5, 97 2,102 12.6% 264 (P&A ).. 99 10

More information

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소 2012 년도기초과학연구소 대학중점연구소심포지엄 마이크로파센서를이용한 혈당측정연구 일시 : 2012 년 3 월 20 일 ( 화 ) 14:00~17:30 장소 : 서강대학교과학관 1010 호 주최 : 서강대학교기초과학연구소 Contents Program of Symposium 2 Non-invasive in vitro sensing of D-glucose in

More information

PowerPoint Presentation

PowerPoint Presentation Dry etch 1. Wet etch and dry etch 2. Wet etch and dry etch의장. 단점 3. Dry etch의종류 4. Plasma etch의특성 5. Dry etch에서고려하여야할점 6. Film etch 6.1 Si etch 6.2 SiO 2 etch 6.3 Si 3 N 4 etch 6.4 Al etch 6.5 Silicide

More information

2005 4 Creating the future of Display and Energy Samsung SDI 2006. 1. 18 1 05 4 05 4 ( ) 19,656 22,156 + 2,500 12.7% 1,155 1,424 + 269 23.3% (%) (5.9%) (6.4%) 1,101 803-298 -27.1% (%) (5.6%) (3.6%) 1,242

More information

구리 전해도금 후 열처리에 따른 미세구조의 변화와 관련된 Electromigration 신뢰성에 관한 연구

구리 전해도금 후 열처리에 따른 미세구조의 변화와 관련된 Electromigration 신뢰성에 관한 연구 工學碩士學位論文 Electromigration-resistance related microstructural change with rapid thermal annealing of electroplated copper films 2005 年 2 月 仁荷大學校大學院 金屬工學科 朴賢皒 - 1 - 工學碩士學位論文 Electromigration-resistance related

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

ⅰ ⅱ ⅲ ⅳ ⅴ 1 Ⅰ. 서론 2 Ⅰ. 서론 3 4 1) 공공기관미술품구입실태조사 Ⅰ. 서론 5 2) 새예술정책미술은행 (Art Bank) 제도분석 3) 국내외사례조사를통한쟁점과시사점유추 4) 경기도내공공기관의미술품구입정책수립및활용방안을위 한단기및장기전략수립 6 7 Ⅱ. 경기도지역공공기관의미술품구입실태 및현황 1) 실태조사의목적 ž 2) 표본조사기관의범위

More information

Microsoft PowerPoint - XD Laser Interferometer.ppt

Microsoft PowerPoint - XD Laser Interferometer.ppt Interferometer Automated Precision Inc. 1987 년설립 (Rockville, MD, USA) 기존 Laser 측정장비와차별화된 Laser Measurement System 과 Control System 연구개발 Machine Tools 과 CMM 장비를위한 5/6D Laser Measurement System 개발 새로운방식의

More information

Ⅰ 개요 1 기술개요 1., MRI X-Ray 2.,, - 2 -

Ⅰ 개요 1 기술개요 1., MRI X-Ray 2.,, - 2 - 광학영상시장 연구개발특구기술글로벌시장동향보고서 2018.3 Ⅰ 개요 1 기술개요 1., MRI X-Ray 2.,, - 2 - (Value-Chain),,,,, - 6 [ 그림 ] 광학영상시장의밸류 - 체인 2 광학영상의활용시장범위 &,, &, - - 3 - -,,,,,,, -, - 4 - Ⅱ 시장동향 2015 9 8,900 12.1%, 2021 17 4,920

More information

한약재품질표준화연구사업단 단삼 ( 丹參 ) Salviae Miltiorrhizae Radix 생약연구과

한약재품질표준화연구사업단 단삼 ( 丹參 ) Salviae Miltiorrhizae Radix 생약연구과 한약재품질표준화연구사업단 단삼 ( 丹參 ) Salviae Miltiorrhizae Radix 생약연구과 - 1 - KP 11 CP 2015 Salvia miltiorrhizae Radix Salviae Miltiorrhizae Radix et Rhizoma Salvia miltiorrhiza Bunge Salvia miltiorrhiza Bunge salvianolic

More information

DISPLAY CLASS Electronic Information Displays CRT Flat Panel Display Projection Emissive Display Non Emissive Display Cathode Ray Tube Light Valve FED

DISPLAY CLASS Electronic Information Displays CRT Flat Panel Display Projection Emissive Display Non Emissive Display Cathode Ray Tube Light Valve FED 2002. 4. 4. DISPLAY CLASS Electronic Information Displays CRT Flat Panel Display Projection Emissive Display Non Emissive Display Cathode Ray Tube Light Valve FED VFD PDP OLED ELD LED LCD ECD DMD DC Type

More information

05-1Ưº°±âȹ

05-1Ưº°±âȹ OLED OLED OLED Interlayer λ OLED OLED PM OLED α PM OLED Getter Cover glass Substrate Organic film structure Light emission Anode Sealant ~10VDC Glass Substrate Column: Data line Row: Scan line Metal

More information

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성 i-movix 소개 2005 년설립 ( 벨기에, 몽스 ), 방송카메라제작 2005년 Sprintcam Live System 개발 2007년 Sprintcam Live V2 2009년 Sprintcam Live V3 HD 2009년 Sprintcam Vvs HD 2011년 Super Slow Motion X10 2013년 Extreme + Super Slow

More information

CD실행표지

CD실행표지 November 30 (Wed) ~December 2 (Fri), 2011 Hanwha Phoenix Park, PyeongChang Organized by OSK / Photonics Division KICS / Optical Communication Division IEEK / Optical Wave and Quantum Electronics Division

More information

ICT À¶ÇÕÃÖÁ¾

ICT À¶ÇÕÃÖÁ¾ Ver. 2012 T TA-11104-SA 4 21 21 42 65 91 103 124 140 161 187 Ver. 2012 ICT Standardization Strategy Map 4 Ver. 2012 Ver. 2012 5 ICT Standardization Strategy Map 6 Ver. 2012 Ver. 2012 7 ICT Standardization

More information

<303520B1E2BABBBBE7BEF7BAB8B0EDBCAD2E687770>

<303520B1E2BABBBBE7BEF7BAB8B0EDBCAD2E687770> E05621 항행안전 기술 및 항공우주정책 개발사업 Development works for aviation safety technologies and aerospace policy 항공우주 기술파급효과 분석 및 산학연 협력방안수립 연구 Studies on technology spin-off effect and cooperation roadmap among industry,

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

TDB 3000 Series Full Closed Loop STEP DRIVER의특징 Feedback-Loop로인한진동이없음 감속기없이높은토크구현 높은정밀도 정확한속도제어 SERVO DRIVER의특징 위치유지력 탈조가없음 토크제어가능 STEP DRIVER 와 SERVO

TDB 3000 Series Full Closed Loop STEP DRIVER의특징 Feedback-Loop로인한진동이없음 감속기없이높은토크구현 높은정밀도 정확한속도제어 SERVO DRIVER의특징 위치유지력 탈조가없음 토크제어가능 STEP DRIVER 와 SERVO Full Closed Loop STEP DRIVER의특징 Feedback-Loop로인한진동이없음 감속기없이높은토크구현 높은정밀도 정확한속도제어 SERVO DRIVER의특징 위치유지력 탈조가없음 토크제어가능 STEP DRIVER 와 SERVO DRIVER 의장점을일체화저발열및토크특성우수 TDB 000 V 48 바이폴라 (Bipolar) Closed-Loop 스텝모터드라이버

More information

5. Kapitel URE neu

5. Kapitel URE neu URE Fuses for Semiconductor Protection European-British Standard Standards: IEC 60 269-4 BS 88-4 Class: ar Voltage ratings: AC 240 V AC 700 V Current ratings: 5 A 900 A Features / Benefits High interrupting

More information

00....

00.... Fig. 1 2.5%. 51.5%, 46.0%,.. /, Table 1 (U.V.; Ultraviolet 10-400 nm)/ (NIR; Near Infrared 700 nm - 5 µm) ( TiO 2, WO 3, ZnO, CeO, ATO, Sb 2O 3-ZnO, ITO.) (400 nm - 780 nm). /. Fig. 1.. 23 Table 1. / /

More information

시안

시안 ULSAN NATIONAL INSTITUTE OF SCIENCE AND TECHNOLOGY GRADUATE SCHOOL OF TECHNOLOGY & INNOVATION MANAGEMENT 울산과학기술원 기술경영전문대학원 http://mot.unist.ac.kr 02 03 Global Study Mission CURRICULUM 2 Practicality Global

More information

135 Jeong Ji-yeon 심향사 극락전 협저 아미타불의 제작기법에 관한 연구 머리말 협저불상( 夾 紵 佛 像 )이라는 것은 불상을 제작하는 기법의 하나로써 삼베( 麻 ), 모시( 苧 ), 갈포( 葛 ) 등의 인피섬유( 靭 皮 纖 維 )와 칠( 漆 )을 주된 재료

135 Jeong Ji-yeon 심향사 극락전 협저 아미타불의 제작기법에 관한 연구 머리말 협저불상( 夾 紵 佛 像 )이라는 것은 불상을 제작하는 기법의 하나로써 삼베( 麻 ), 모시( 苧 ), 갈포( 葛 ) 등의 인피섬유( 靭 皮 纖 維 )와 칠( 漆 )을 주된 재료 MUNHWAJAE Korean Journal of Cultural Heritage Studies Vol. 47. No. 1, March 2014, pp.134~151. Copyright 2014, National Research Institute of Cultural Heritage 심향사 극락전 협저 아미타불의 제작기법에 관한 연구 정지연 a 明 珍 素 也

More information

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378>

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378> 점접착제 1-No. 20150900 Multi Client Report 점 접착제시장분석및전망 (2015) Sep., 2015 화학경제연구원 CHEMICAL MARKET RESEARCH INC. #1204, JnK Digital Tower, 111 Digital 26th, Guro-gu, Seoul 152-050, Korea TEL : +822-6124-6660

More information

Creation of Colloidal Periodic Structure

Creation of Colloidal Periodic Structure 레이저의주요응용분야 레이저상호작용 - Material processing( 레이저가공및처리 ) - Laser induced fusion( 레이저핵융합 ) - Biomedical optics( 의광학 ) - Laser spectroscopy( 레이저분광학 ) - Military ( 군사 / 무기 ) - Environment ( 환경 / 오염 ) 레이저정보 -

More information

solution map_....

solution map_.... SOLUTION BROCHURE RELIABLE STORAGE SOLUTIONS ETERNUS FOR RELIABILITY AND AVAILABILITY PROTECT YOUR DATA AND SUPPORT BUSINESS FLEXIBILITY WITH FUJITSU STORAGE SOLUTIONS kr.fujitsu.com INDEX 1. Storage System

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

<4D F736F F F696E74202D2035BBF3C6F2C7FC5FBCF8BCF6B9B0C1FA2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D2035BBF3C6F2C7FC5FBCF8BCF6B9B0C1FA2E BC8A3C8AF20B8F0B5E55D> 5. 상평형 : 순수물질 이광남 5. 상평형 : 순수물질 상전이 phase transition 서론 ~ 조성의변화없는상변화 5. 상평형 : 순수물질 전이열역학 5. 안정성조건 G ng ng n G G 자발적변화 G < 0 G > G or 물질은가장낮은몰Gibbs 에너지를갖는상 가장안정한상 으로변화하려는경향 5. 상평형 : 순수물질 3 5. 압력에따른Gibbs

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

발간등록번호

발간등록번호 발간등록번호 3. 보고서요약서 보고서요약서 - 2 - - 3 - 4. 국문요약문 - 4 - 5. 영문요약문 < SUMMARY > - 5 - 6. 영문목차 < CONTENTS > - 6 - - 7 - 7. 본문목차 목차 - 8 - - 9 - 제 1 장. 연구개발과제의개요 - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 -

More information

歯4.PDF

歯4.PDF 21 WDM * OADM MUX/DEMUX EDFA Er + Doped Fiber Isolator Isolator GFF WDM Coupler 1.48 um LD 1.48 um LD Transmitter Receiver MUX EDFA OADM DEMUX Switch Fiber Optics Micro Optics Waveguide Optics Isolator,

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

슬라이드 1

슬라이드 1 공학컴퓨터활용입문 메카트로닉스시스템 메카트로닉스시스템정의 메카트로닉스시스템예 메카트로닉스시스템이란? 메카트로닉스정의 메카트로닉스란용어는메카틱스 ( 기계역학 ) 와일렉트로닉스 ( 전자 ) 의합성어로서 1960 년대말경일본 (Yaskawa Electoric Co.) 에서만들어져 1980 년전후로정착된신조어이며현재는일반적인용어임. 따라서, 메카트로닉스란기계기술과전자제어및정보처리기술을응용하여,

More information

삼성SDI_SR국문_최종

삼성SDI_SR국문_최종 Sustainability Report 2008 Power to Imagine SAMSUNG SDI About Sustainability Report GRI G3 Tel 031) 8006-3366 Fax 031) 8006-3399 E-Mail sustainability@samsung.com http://www.samsungsdi.co.kr Global Network

More information

-

- World Top 10 by 2030 CONTENTS CONTENTS 02 03 PRESIDENT S MESSAGE 04 05 VISION GOALS VISION GOALS STRATEGIES 06 07 HISTORY 2007 2008 2009 2010 2011 08 09 UNIST POWER 10 11 MPI USTC UNIST UCI UTD U-M GT

More information

exp

exp exp exp exp exp exp exp exp exp exp exp exp log 第 卷 第 號 39 4 2011 4 투영법을 이용한 터빈 블레이드의 크리프 특성 분석 329 성을 평가하였다 이를 위해 결정계수값인 값 을 비교하였으며 크리프 시험 결과를 곡선 접합 한 결과와 비선형 최소자승법으로 예측한 결과 사 이 결정계수간 정도의 오차가 발생하였고

More information

Berechenbar mehr Leistung fur thermoplastische Kunststoffverschraubungen

Berechenbar mehr Leistung fur thermoplastische Kunststoffverschraubungen Fastener 독일 EJOT 社에서 만든 최고의 Plastic 전용 Screw 아세아볼트 CO., LTD. 대한민국 정식 라이센스 생산 업체 EJOT GmbH & Co. KG DELTA PT März 2003 Marketing TEL : 032-818-0234 FAX : 032-818-6355 주소 : 인천광역시 남동구 고잔동 645-8 남동공단 76B 9L

More information

Microsoft Word - pcb_index_report.doc

Microsoft Word - pcb_index_report.doc 보고서무단복사및유통금지 2008 년 10 월 10 일 Cischem. Com Co., Ltd./Consulting Division http://www.cischem.com E-mail : cischem@cischem.com Tel(02-322-0144), Fax(02-322-0147) 121-869, 서울시마포구연남동 565-15호지남빌딩 503호 Contents

More information

(Establishment and Management of Proteomics Core Facility)

(Establishment and Management of Proteomics Core Facility) (Establishment and Management of Proteomics Core Facility) 1 sample running on SDS-PAGE Gel (10well) 163570 10 16357 1D size marker 225500 100 2255 Buffer(20X, 500ml) 119900 20 5995 Staining

More information

<B5DEC7A5C1F628BCB1BCFBB1E82D E6169>

<B5DEC7A5C1F628BCB1BCFBB1E82D E6169> UV VIS Spectrometer NIR Spectrometer Fiber Optic Temperture Converter 3 Channel On-line Absorbance Monitoring System Color Sensor Integrating Sphere Raman System Tunable light source LIBS Pyrometer UV

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

istay

istay ` istay Enhanced the guest experience A Smart Hotel Solution What is istay Guest (Proof of Presence). istay Guest (Proof of Presence). QR.. No App, No Login istay. POP(Proof Of Presence) istay /.. 5% /

More information

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제 분 기 보 고 서 (제 18 기) 사업연도 2012년 01월 01일 2012년 03월 31일 부터 까지 금융위원회 한국거래소 귀중 2012 년 5 월 15 일 회 사 명 : 주성엔지니어링(주) 대 표 이 사 : 황 철 주 본 점 소 재 지 : 경기도 광주시 오포읍 능평리 49 (전 화) 031-760-7000 (홈페이지) http://www.jseng.com

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

한약재품질표준화연구사업단 강활 ( 羌活 ) Osterici seu Notopterygii Radix et Rhizoma 생약연구과

한약재품질표준화연구사업단 강활 ( 羌活 ) Osterici seu Notopterygii Radix et Rhizoma 생약연구과 한약재품질표준화연구사업단 강활 ( 羌活 ) Osterici seu Notopterygii Radix et Rhizoma 생약연구과 - 2 - - 3 - KP 11 Osterici seu Notopterygii Radix et Rhizoma Ostericum koreanum Maximowicz, Notopterygium incisum Ting, Notopterygium

More information

Turbine Digital Flowmeter SEMI U+ 특징 PVC, PTFE, P.P, PVDF 등 다양한 재질 Size, 유량, Connection별 주문제작 정밀성, 내화학성이 우수 4~20mA, Alarm, 통신(RS485) 등 출력 제품과 Controll

Turbine Digital Flowmeter SEMI U+ 특징 PVC, PTFE, P.P, PVDF 등 다양한 재질 Size, 유량, Connection별 주문제작 정밀성, 내화학성이 우수 4~20mA, Alarm, 통신(RS485) 등 출력 제품과 Controll Turbine Digital Flowmeter SEMI U+ 특징 PVC, PTFE, P.P, PVDF 등 다양한 재질 Size, 유량, Connection별 주문제작 정밀성, 내화학성이 우수 4~20mA, Alarm, 통신(RS485) 등 출력 제품과 Controller의 장착 및 사용이 편리 Specification (사양) 적용유체 : 액체 (D.I or

More information

2011-67 차례 - iii - 표차례 - vii - 그림차례 - xi - 요약 - i - - ii - - iii - 제 1 장서론 대구 경북지역인력수급불일치현상진단과해소방안에대한연구 1) ( ) 574 208 366 263 103 75.6 77.9 74.3 73.0 77.7 19.3 19.2 19.4 20.5 16.5 3.0 1.0 4.1

More information

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

(Microsoft PowerPoint - \301\24608\260\255 - \261\244\277\370\260\372 \300\347\301\372)

(Microsoft PowerPoint - \301\24608\260\255 - \261\244\277\370\260\372 \300\347\301\372) 게임엔진 제 8 강광원과재질 이대현교수 한국산업기술대학교게임공학과 학습목차 조명모델 광원의색상설정 재질 분산성분의이해 분산재질의구현 경반사성분의이해 경반사재질의구현 조명 (Illumination) 모델 조명모델 광원으로부터공간상의점들까지의조도를계산하는방법. 직접조명과전역조명 직접조명 (direct illumination) 모델 물체표면의점들이장면내의모든광원들로부터직접적으로받는빛만을고려.

More information

Microsoft Word - IT부품정보.doc

Microsoft Word - IT부품정보.doc IT 부품정보 IT 부품정보 주요국 나노기술 개발 동향 1. 개요 밀가루 입자는 눈에 보일 듯 말 듯 하지만 그 직경은 10~100 μm 정도이다. 선진 각국이 개발 대상으로 삼고 있는 나노 입자는 직경이 10nm 이하로서 밀가루 입자에 비해 그 직경이 1/1,000~ 1/10,000 이하인 초미립자를 말한다. 나노는 10 억분의 1 을 나타내는 단위로 1

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

0929 °úÇбâ¼úÁ¤Ã¥-¿©¸§

0929 °úÇбâ¼úÁ¤Ã¥-¿©¸§ TRM : Total Road-Map Joint Industry- Government Planning Market Planning Assistance Strategic Planning Production Market Development Value Added Interface Standards Tax Incentives Intellectual

More information

Electropure EDI OEM Presentation

Electropure EDI OEM Presentation Electro Deionization: EDI Systems. Electro Pure EDI, Inc.: High technology water tm www.cswaters.co.kr : EDI Electro Deionization 1. EDI Pure Water System? 2. EDI? 3. EDI? 4. EDI? 5. EDI? Slide 2 EDI 1.

More information

<91E6308FCD5F96DA8E9F2E706466>

<91E6308FCD5F96DA8E9F2E706466> 㓙 ࡐ ࡓ 㧢 㧝 ޓ ㅢ 㓙 ࡐ ࡓ 㓙 ࡐ ࡓ Si 8th Int. Conf. on Si Epitaxy and Hetero- structures (ICSI-8) & 6th Int. Symp. Control of Semiconductor Interfaces 25 6 2 6 5 250 Si 2 19 50 85 172 Si SiGeC Thin Solid Films

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

Main Title

Main Title GE Peter S. Pande,, Robert P. Neuman, Ronald R. Cavanagh The SIX SIGMA WAY April 29, 2005 Jin-Ho Jeong,, Ph.D. Competitiveness Valuation International, Inc. Korea Partner of IMD WCY jeong@cvikorea.net

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information