비례한다. 게다가증폭회로가불안정해질수있다. 어떻하면좋을까? 안타깝지만돈좀 더쓰자. 이럴때, 아날로그버퍼가이용된다. 그림 회로처럼꾸며보자. 신호원에다가아날로그버퍼를다달았다. IOP2 - R1 R2 V1 - IOP1 Vo - IOP3 R3 R4 V2 그림 4-6

Size: px
Start display at page:

Download "비례한다. 게다가증폭회로가불안정해질수있다. 어떻하면좋을까? 안타깝지만돈좀 더쓰자. 이럴때, 아날로그버퍼가이용된다. 그림 회로처럼꾸며보자. 신호원에다가아날로그버퍼를다달았다. IOP2 - R1 R2 V1 - IOP1 Vo - IOP3 R3 R4 V2 그림 4-6"

Transcription

1 4.6 Instrumentation Amplifier ( 정밀기기용, 계측용증폭기 ) 4.5 절에서는부하의영향을두루살펴보았다. 741 Op Amp. 의입력임피던스가 2M 옴이고출력임피던스가 75 옴이라는것도알았다. 이정도수준의입출력임피던스가정밀 / 정확계측용도로충분할까? 자고로계측은정확하고정밀해야한다. 정확하다는기술용어와정밀하다라는기술용어는고정밀계측이라는마케팅용어에모두포함되어있는데, 실상을구분할수있어야한다. 이런식이다. 조준사격을했는데, 매우우수한탄착군은형성되었지만표적중앙에서는많이벗어났다고한다면, 정밀했지만정확하지는못한것이다. 영점조정을하던지해서탄찬군이표적중앙에몰리도록해야한다. 고정확 / 고정밀계측을위해서그림 의차분증폭기를사용한다고해보자. 어떤문제가야기될까? 그림 을그림 에새로그렸다. R1 R2 V1 - IOP1 Vo R3 R4 V2 그림 차분증폭기 그림 의차분증폭기회로가계측용도로사용된다고해보자. V1 신호가 R1 을거쳐 Op Amp. 의음의입력단으로전달되고있다. Vi 애서바라본입력임피던스는 R1 이기에, R1 이충분히크지않으면심각한 Loading Effect 를겪을수있다. 그렇다고, R1 을키우자니이득때문에 R2 가커져야하는데한계가있다. 너무큰 R 은부정확하기도하지만, 열잡음도커진다. 저항에서의열잡음은저항값의제곱근에 tms320.co.kr/mcublog.co.kr 페이지 1

2 비례한다. 게다가증폭회로가불안정해질수있다. 어떻하면좋을까? 안타깝지만돈좀 더쓰자. 이럴때, 아날로그버퍼가이용된다. 그림 회로처럼꾸며보자. 신호원에다가아날로그버퍼를다달았다. IOP2 - R1 R2 V1 - IOP1 Vo - IOP3 R3 R4 V2 그림 아날로그버퍼를추가한차분증폭기 Op Amp. 가무려세개나쓰였다. 신호원에아날로그버퍼가사용되었다보니, 부하효과에대한고민은이제끝이다. 대체로고정밀 / 고정확시스템은고가이면서원가에큰부담을갖지않으니, 정밀 / 정확만하다면그림 회로처럼 3 개의 Op Amp 로하나의 Op Amp 를대체한들큰부담이되랴? 문제는오히려기판면적을많이차지한다는점이나생산공수가많이든다는점등이다. 그래서, 반도체회사들이이런요구를해소시켜상품화한것이비로 Instrumentation Amplifier 이다. 제품카테고리가형성될정도로인기있는분야이면서반도체회사입장에서는고부가가치분야이다. 그림 회로에서 V2 신호원쪽에삽입된아날로그버퍼는생략할수도있다. 이경우는 Op Amp. 2 개로 Instrumentation Amplifier 를구현한것인데, 요즘은거의 3 개의 Op Amp. 로 Instrumentation Amplifier 를구현한다. 결코싸지않다는단점이있다. 대역폭도그다지넓지않고. 본격적으로파고들어보자. 실제부품을예로들어보겠다. tms320.co.kr/mcublog.co.kr 페이지 2

3 ADC를 위한 아날로그 필터 설계 (주)싱크웍스 INA101 이라는 부품이 있다. 서구에서는 101 이라는 숫자는 시작에 많이 쓴다. INA101 은 Burr Brown 사가 만든 자사 최초의 Instrumentation Amplifier 이다. Burr Brown 은 명품 아날로그 반도체를 만든다고 평이 나있는데, 지금은 Texas Instruments 에 합병되어서 TI 제품으로 나온다. 그림 은 INA101 의 내부 구조를 보여준다. 짐작들 하셨겠지만, INA 는 INstrumentation Amplifier 의 앞머리글자로 조합해 만든 것이다. 그림 INA101 내부 그림 을 보면 Op Amp. 세 개의 존재를 확인할 수 있다. 이 Op Amp.의 주요 특징을 ti.com 의 제품 페이지에서 발췌해 그림 에 옮겨두었다. 한번 음미해보자. tms320.co.kr/mcublog.co.kr 페이지 3

4 그림 INA101 제품특징 이득을최고 1 천배까지설정할수있다고나와있다. 참고로, Instrumentation Amplifier 의 이득설정은무지하게쉽다. 그림 을보시라. R 만유독칩바깥에나와있지 아니한가! 그렇다. R 의 G 는게인을뜻하는것으로게인조절용저항을개발자가 적절히선택해서달아주세요라는의미이다. 비선형도가매우낮고, CMRR 이무려 106dB 나된다. 계측용으로쓰이다보니안타깝게도대역폭 (Bandwidth) 은그다지크질못하다. 전류소비는좀많은편이고, 가격이 1 천개기준으로개당 7.95 불이다. 웬만한마이크로프로세서보다더비싸다. 산조상님이라그렇다. 새로나온 Instrumentation Amplifier 들은많이저렴해졌다. 좀싼것을알아보자. ti.com 에서 Amplifier and Linear 페이지로들어가면 Instrumentation Amplifier 카테고리를찾을수있다. 글쓰는현재 43 종이등재되어있다. Difference Amplifier 제품군도 INA 로시작하는것들이있는데, 이부분은조금아쉽다. 절대로헥갈려서는안된다. Difference Amplifier 제품군은하나의 Op Amp. 로이뤄져있다. 암튼, INA101 은너무비싸다. TINA 라이브러리가있는제품중에값싼제품으로 INA332 가검색된다. 1 천개기준으로 0.54 불. 이정도면성능만뒷받침된다면돈쓸용의가충분히있을법하다고본다. 그런데, 내부구조가조금이상하다. 처음배우시는분들에게부적합해서탈락. 그림 회로와같은정석적인 tms320.co.kr/mcublog.co.kr 페이지 4

5 ADC를 위한 아날로그 필터 설계 (주)싱크웍스 형태를 취하면서, 4.4 절에서 설명을 미뤘던, Sense 와 Reference 단자까지 함께 설명할 수 있는 INA163 을 골랐다. 1 천개 기준으로 2.9 불이라 싸지는 않다. 내부 구조는 다음과 같다. 그림 INA163 내부 구조. 그림 와 비교해보시라. 전형적인 Instrumentation Amp. 라는 것을 확인할 수 있을 것이다. 제품 특징을 보니, 1/f 노이즈가 일 정도로 매우 작다. 대단하다. T.H.D(Total 엄청나게 Harmonic Distortion) 수준도 낮다. T.H.DN(Noise) 가 0.002%@1KHz, G=100 이다. 무슨 말인고 하니, 1KHz 정현파를 100 배 증폭시켜서 얻은 결과의 스펙트럼을 분석해보면, 고조파와 노이즈 합이 0.002%에 불과하다라는 것이다. 이득이 100 일 때, 대역폭은 800 KHz 나 된다. Instrumentation Amp. 치고는 상당히 큰 편이다. CMRR 은 100dB 이상이나 된다. CMRR 의 주파수 특성도 좋다. CMRR 그래프를 tms320.co.kr/mcublog.co.kr 페이지 5

6 ADC를 위한 아날로그 필터 설계 (주)싱크웍스 보니, 이득을 100 배로 설정했을 때, 10KHz 에서 CMRR 이 약 95dB 수준이다. Brovo! 돈 값 하는 듯. 그림 에서 부분을 한번 봐주시라. 바로 그 밑에 이득 공식이 나와있다. 이 칩의 이득 공식은 = 이다. 예를 들어, 601 배의 이득을 원하다면, 매우 정밀/정확한 저항으로 10 옴을 에 달면 된다. 이처럼 대부분의 Instrumentation Amp.에서는 제조업체가 이득 공식을 제품 설명서에서 명시하여 배포하고 있어서 사용하기가 한결 더 편하다. 돈을 좀 쓰니 이런 대접을 다 해준다. 하지만, 진짜 이 공식이 맞는지 한번 쯤은 짚어봐야 안되겠는가? 이번 기회에 한 번 해보자. 어떻게 풀어 낼 수 있을까? 잘 보면, 지금껏 배웠던 내용들이 눈에 쏙 들어올 것이다. 잘 안보이면 주위에 혹시 담배 갑이 있으면 아래 그림처럼 와 죄측 영역을 가려보기 바란다. 담뱃갑이 없으면 지폐라도 꺼내서 그림 처럼 가려보자. tms320.co.kr/mcublog.co.kr 페이지 6

7 ADC를 위한 아날로그 필터 설계 (주)싱크웍스 그림 일부를 가린 Instrumentation Amp. 보이는가? 4.4 절에서 배웠던 차분 증폭기가 보인다. 차분 증폭기 푸는 법을 잘 모르겠으면 4.4 절 다시 한번 읽어보자. 그리고, 그림 을 보고 다시 한번 풀어보자. 저항이 모두 6K 옴이니, 와 = 와 6 ( 6 의 관계식은 다음과 같다. ) (4 6 1) 여기까지 구하신 분들은 지폐를 살짝 오른쪽으로 옮겨서 그림 처럼 가려보자. 그림 다른 부분을 가린 Instrumentation Amp. 이것 참! 때문에 조금 복잡 미묘해진 듯 하다. 회로가 좀 복잡 미묘하다 싶을 때에는 조상님을 찾지 말고, 키르히호프(Kirchhoff) 할아버지를 떠올리자. 얼마인가? 를 따라 위로 흐르는 전류는 tms320.co.kr/mcublog.co.kr 에 흐르는 전류는 라 하자. 그렇다면, 페이지 7

8 I = (V V ) R (4 6 2) 이다. 이전류가 A2 증폭기의궤환경로에있는 3k 옴저항에도흐르고, A1 증폭기의 궤환경로에있는 3k 옴저항에도흐른다. 그렇다면, 각각의저항에서발생하는전압 강하를더하거나빼거나하면, V = 3k I V V = V 3k I 가된다. 위두수식을빼면, V V = V V 6k I 가된다. 여기에 (4-6-2) 수식을대입하면, V V = V V 6k (V V ) R 이된다. 위수식에서, V V = V 으로바꾼후, (4-6-1) 수식에대입해보자. 그러면, V = 1 6k R V tms320.co.kr/mcublog.co.kr 페이지 8

9 이도출된다. 비싼증폭기라제품설명서에이득공식이나와있기는하지만, 한번쯤은이 득관계를직접규명을해봐야, Op Amp. 좀써봤네! 라고말할수있지않을까? tms320.co.kr/mcublog.co.kr 페이지 9

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

어떤식으로든출력단에접속되어야한다. Ref. 핀처리방법은여러가지가있을수있겠는데, 만약차분증폭기가필요하다면 Ref 핀은접지에접속되어야한다. 여기까지는지금까지배웠던내용인데, 하필이면왜 Sense 라는말과 Ref. 라는말을이핀에다가부쳤을까? 이유가있을테니, 캐내어보자. 먼저,

어떤식으로든출력단에접속되어야한다. Ref. 핀처리방법은여러가지가있을수있겠는데, 만약차분증폭기가필요하다면 Ref 핀은접지에접속되어야한다. 여기까지는지금까지배웠던내용인데, 하필이면왜 Sense 라는말과 Ref. 라는말을이핀에다가부쳤을까? 이유가있을테니, 캐내어보자. 먼저, 4.7 Op Amp. 의 Sense 핀과 Ref. 핀의사용법 * 본게시글은출판예정인가칭 'ADC 를위한아날로그필터설계 ' 서적의일부를선공 개하는것입니다. 저작권은주식회사싱크웍스에있습니다. 일부 Op Amp. 중에는 Sense 와 Ref. 핀을가지고있는제품들이있다. 앞서 4.4 절에서살펴본 INA157 과같은차분 (Difference) 증폭기에도이핀들이있고,

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

그림 TLC2274 의특징 그림 에서상자쳐놓은부분을유심히보자. 단전원이든, 양전원 (Split-Supply) 이든 모든성능이완전히밝혀져있다고한다. 그러니, 안심하고쓰자. 그렇다면, 다음의 회로가단전원조건에서도잘동작하도록손좀써보자. T 2 a C1

그림 TLC2274 의특징 그림 에서상자쳐놓은부분을유심히보자. 단전원이든, 양전원 (Split-Supply) 이든 모든성능이완전히밝혀져있다고한다. 그러니, 안심하고쓰자. 그렇다면, 다음의 회로가단전원조건에서도잘동작하도록손좀써보자. T 2 a C1 4.8 단전원 (Single Power Supply) 조건에서 Op Amp. 구동 지금껏살펴봐왔던내용은모두양전원 (Dual Power Supply) 하에서곧바로적용가능한 것들이었다. 대부분의교과서급의책들에서도양전원이공급되고있다고가정한다. 전원 공급이나동작점들을파악해야할 DC 해석은생략하는경우가대부분이다. 회로의 진국이라면서 AC 영역해석만깊이다룬다. 하지만,

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

11 주차 M 진디지털변조 (1) 통과대역신호의표현 (2) Quadrature Phase Shift Keying (QPSK) (3) Minimum Shift Keying (MSK) (4) M-ary Amplitude Shift Keying (M-ASK) (5) M-ar

11 주차 M 진디지털변조 (1) 통과대역신호의표현 (2) Quadrature Phase Shift Keying (QPSK) (3) Minimum Shift Keying (MSK) (4) M-ary Amplitude Shift Keying (M-ASK) (5) M-ar 11 주차 M 진디지털변조 (1) 통과대역신호의표현 (2) Quadraure Phase Shif Keying (QPSK) (3) Minimum Shif Keying (MSK) (4) M-ary Ampliude Shif Keying (M-ASK) (5) M-ary Frequeny Shif Keying (M-FSK) (6) M-ary Phase Shif Keying

More information

Microsoft PowerPoint - Ch12

Microsoft PowerPoint - Ch12 Ch. 12 Operational Amplifier (OP-AMP) 개요 기호및단자 Symbol Invert Noninvert V- 1 8 NC V+ Output Typical Package 개요 이상적인 OP-Amp Z in = ; A v = ; bandwidth = ; Z out = 0 실제적인 OP-Amp Z in = very high (MΩ); A v

More information

슬라이드 1

슬라이드 1 한경대학교전기전자제어공학과 유동상교수 실험목적 - 회로의주파수응답및필터에대해이해 강의내용 - 주파수응답과필터 - 저주파통과필터 - 고주파통과필터 오늘의실험 - Multisim을이용한시뮬레이션 - 브레드보드에회로구성을통한실험및계측 이득 (Gain) : 입력정현파의진폭에대한출력정현파의진폭의비 gain output amplitude input amplitude

More information

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin 4.3 Rail to Rail Input Output(RRIO) 방식의장점 최근들어, 저전압용으로개발되는 Op Amp. 는거의다 RRIO 방식을채택하고있는데, 이 RRIO 방식을단순히출력진동폭을최대화할수있는구조라는정도로받아들이고넘어가기에는좀찝찝해서이절을준비했다. 왜그런지를이해하기위해서는트랜지스터수준에서의회로설명이필요한데, 트랜지스터에관한설명은최대한억제한채,

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

¾Ë±â½¬¿îÀ±¸®°æ¿µc03ÖÁ¾š

¾Ë±â½¬¿îÀ±¸®°æ¿µc03ÖÁ¾š & 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 & 27 28 29 30 31 32 33 34 35 36 37 38 & 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 & 55 56 57 58 59 60 61 62 63

More information

Microsoft PowerPoint - chap04-연산자.pptx

Microsoft PowerPoint - chap04-연산자.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에

More information

Microsoft PowerPoint - ch12ysk2015x [호환 모드]

Microsoft PowerPoint - ch12ysk2015x [호환 모드] 회로이론 h 가변주파수회로망의동작 김영석 충북대학교전자정보대학 5.9. Email: kimy@cbu.ac.kr k h- 소자의주파수특성 h 가변주파수회로망 : 학습목표 회로망함수의영점 zero 과극점 pole 회로망함수의보드선도 bode plot 직병렬공진회로해석 크기와주파수스케일링개념 저역통과 PF 고역통과 HPF 대역통과 BPF 대역저지 BF 필터특성 수동및능동필터해석

More information

그림 4-1-2의회로는 TLC2274 Op Amp. 로입력신호를 10배증폭하면서, 출력신호의위상이입력신호와 180도차이나는반전증폭기를만들었다. 10배를증폭한다면, Peak To Peak 전압, 즉, Vpp가 100V 인정현파를인가하면, 1,000Vpp 정현파가만들어진다

그림 4-1-2의회로는 TLC2274 Op Amp. 로입력신호를 10배증폭하면서, 출력신호의위상이입력신호와 180도차이나는반전증폭기를만들었다. 10배를증폭한다면, Peak To Peak 전압, 즉, Vpp가 100V 인정현파를인가하면, 1,000Vpp 정현파가만들어진다 4 장 Op Amp. 사용설명서 4.1 Op Amp. 실제부품의이해와핀설명 그림 4-1 은 TINA 에서사용되고있는이상적인 Op Amp. ( 연산증폭기 ) 와실제 Op Amp. 의핀을보여주고있는데일반적으로이렇게들사용하고있다. - - + Idea Op Amp. + + TLC2274 그림 4-1-1 TINA 에서의 Op Amp. 라이브러리 : 좌측 이상적인 Op

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

Microsoft PowerPoint - MonthlyInsighT-2018_9월%20v1[1]

Microsoft PowerPoint - MonthlyInsighT-2018_9월%20v1[1] * 넋두리 * 저는주식을잘한다고생각합니다. 정확하게는주식감각이있다는것이맞겠죠? 예전에애널리스트가개인주식을할수있었을때수익률은엄청났었습니다 @^^@. IT 먼쓸리가 4주년이되었습니다. 2014년 9월부터시작하였으니지난달로만 4년이되었습니다. 4년간누적수익률이최선호주는 +116.0%, 차선호주는 -29.9% 입니다. 롱-숏으로계산하면 +145.9% 이니나쁘지않은숫자입니다.

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators 발진기 (Oscillator) 발진기 : 전원이인가된상태에서외부의입력신호없이회로자체의동작에의해특정주파수의신호 ( 정현파, 구형파, 삼각파, 톱니파 ) 를생성하는회로 종류 : 귀환 발진기 (Feedback oscillator), 이완 발진기 (elaxation oscillator) 귀환발진기 귀환발진기 : 출력신호의일부분이위상변이없이입력으로인가되어출력을강화

More information

第 1 節 組 織 11 第 1 章 檢 察 의 組 織 人 事 制 度 등 第 1 項 大 檢 察 廳 第 1 節 組 대검찰청은 대법원에 대응하여 수도인 서울에 위치 한다(검찰청법 제2조,제3조,대검찰청의 위치와 각급 검찰청의명칭및위치에관한규정 제2조). 대검찰청에 검찰총장,대

第 1 節 組 織 11 第 1 章 檢 察 의 組 織 人 事 制 度 등 第 1 項 大 檢 察 廳 第 1 節 組 대검찰청은 대법원에 대응하여 수도인 서울에 위치 한다(검찰청법 제2조,제3조,대검찰청의 위치와 각급 검찰청의명칭및위치에관한규정 제2조). 대검찰청에 검찰총장,대 第 1 章 檢 察 의 組 織 人 事 制 度 등 第 1 節 組 織 11 第 1 章 檢 察 의 組 織 人 事 制 度 등 第 1 項 大 檢 察 廳 第 1 節 組 대검찰청은 대법원에 대응하여 수도인 서울에 위치 한다(검찰청법 제2조,제3조,대검찰청의 위치와 각급 검찰청의명칭및위치에관한규정 제2조). 대검찰청에 검찰총장,대검찰청 차장검사,대검찰청 검사,검찰연구관,부

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

(001~006)개념RPM3-2(부속)

(001~006)개념RPM3-2(부속) www.imth.tv - (~9)개념RPM-(본문).. : PM RPM - 대푯값 페이지 다민 PI LPI 알피엠 대푯값과산포도 유형 ⑴ 대푯값 자료 전체의 중심적인 경향이나 특징을 하나의 수로 나타낸 값 ⑵ 평균 (평균)= Ⅰ 통계 (변량)의 총합 (변량의 개수) 개념플러스 대푯값에는 평균, 중앙값, 최 빈값 등이 있다. ⑶ 중앙값 자료를 작은 값부터 크기순으로

More information

기본소득문답2

기본소득문답2 응답하라! 기본소득 응답하라! 기본소득 06 Q.01 07 Q.02 08 Q.03 09 Q.04 10 Q.05 11 Q.06 12 Q.07 13 Q.08 14 Q.09 응답하라! 기본소득 contents 16 Q.10 18 Q.11 19 Q.12 20 Q.13 22 Q.14 23 Q.15 24 Q.16 Q.01 기본소득의 개념을 쉽게 설명해주세요. 06 응답하라

More information

개요

개요 Application Note (003) 시리얼인터페이스 (RS232/RS422/RS485) Version 1.0 솔내시스템주식회사 1. 개요 는 RS232, RS422, RS485등 3개의시리얼인터페이스를지원합니다. 사용자는 의설정용유틸리티인 ezconfig를이용해서 3개의인터페이스중에서하나를선택하여설정할수있습니다. 1.1. RS232 Ground를기준으로한전압을이용해서통신하는형태입니다.

More information

Science Cube 1.0 User Guide

Science Cube 1.0 User Guide 자동인식 기능 Excel을 이용한 실험 LabVIEW 활용 가능 PDA 이용 가능 뛰어난 활용도와 확장성 사용하기전에 설치준비 프로그램준비 EXCEL로 실험하기 VI로 실험하기 사이언스큐브 정보 알아두면 편리합니다. 실험을 하기 전에 반드시 설명서를 읽어주세요. 경고 주의 경 고 분리, 개조 사용금지 임의규격 제품 사용금지 사용자임의 사용금지 경 고 안전사용을

More information

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI.

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100  / . ( )....,,,, EMI, RFI. , ?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC.. 1 1. 0%.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI. . 0.. NFPA IEEE 5.0. NEC " NEC 50.56 5. 5.0.".?.??

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open 1. 연산증폭기 -연산증폭기(operational amplifier) 는증폭기를 IC(integrated circuit, 집적회로 ) 로꾸민것이다. -입력임피던스가크고, 출력임피던스가작으며, 증폭률이아주큰특징을가지는증폭기로집적된것이다. -연산증폭기중에서가장널리이용되고있는 741에는 20개의트랜지스터, 11개의저항, 1개의축전기가크기 3mm 3mm에집적되어있다.

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

와플-4년-2호-본문-15.ps

와플-4년-2호-본문-15.ps 1 2 1+2 + = = 1 1 1 +2 =(1+2)+& + *=+ = + 8 2 + = = =1 6 6 6 6 6 2 2 1 1 1 + =(1+)+& + *=+ =+1 = 2 6 1 21 1 + = + = = 1 1 1 + 1-1 1 1 + 6 6 0 1 + 1 + = = + 7 7 2 1 2 1 + =(+ )+& + *= + = 2-1 2 +2 9 9 2

More information

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드]

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드] Opertl Ampler A µa7 tegrted rut h eght etg p 주요한단자. ertg put. ertg put. utput. pte pwer upply 5. egte pwer upply b The rrepdee betwee the rled p umber the tegrted rut d the de the pertl mpler. NC : et

More information

1. 스펙트럼분석기 (Spectrum Analyzer) 1 스펙트럼분석기 (Spectrum Analyzer) 란? - 스펙트럼분석기 (Spectrum Analyzer) 는마치프리즘이빛을파장별로분해하여보여주듯이신호의스펙트럼또는주파수를분해하여그크기를화면에표시하여주는계기이다

1. 스펙트럼분석기 (Spectrum Analyzer) 1 스펙트럼분석기 (Spectrum Analyzer) 란? - 스펙트럼분석기 (Spectrum Analyzer) 는마치프리즘이빛을파장별로분해하여보여주듯이신호의스펙트럼또는주파수를분해하여그크기를화면에표시하여주는계기이다 Spectrum Analyzer 한국방송통신전파진흥원 1. 스펙트럼분석기 (Spectrum Analyzer) 1 스펙트럼분석기 (Spectrum Analyzer) 란? - 스펙트럼분석기 (Spectrum Analyzer) 는마치프리즘이빛을파장별로분해하여보여주듯이신호의스펙트럼또는주파수를분해하여그크기를화면에표시하여주는계기이다. < 그림 > 은 Spectrum Analyzer의작용을보여준다.

More information

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드]

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드] 의료기기제작실습 II 이름 : 이기영 (Lee, Ki Young) 전공 : 의공학 (Medical Engineering) 연구실 : 강릉캠퍼스 50주년기념관 514호이메일 : kylee@kd.ac.kr 학과홈 : http://cms.kd.ac.kr/user/bme/index.html 1 수업계획서 1주 필터회로의분석 2주 필터회로의구현 3주 반전 / 비반전증폭기

More information

<5BB0EDB3ADB5B55D32303131B3E2B4EBBAF12DB0ED312D312DC1DFB0A32DC0B6C7D5B0FAC7D02D28312E28322920BAF2B9F0B0FA20BFF8C0DAC0C720C7FCBCBA2D3031292D3135B9AEC7D72E687770>

<5BB0EDB3ADB5B55D32303131B3E2B4EBBAF12DB0ED312D312DC1DFB0A32DC0B6C7D5B0FAC7D02D28312E28322920BAF2B9F0B0FA20BFF8C0DAC0C720C7FCBCBA2D3031292D3135B9AEC7D72E687770> 고1 융합 과학 2011년도 1학기 중간고사 대비 다음 글을 읽고 물음에 답하시오. 1 빅뱅 우주론에서 수소와 헬륨 의 형성에 대한 설명으로 옳은 것을 보기에서 모두 고른 것은? 4 서술형 다음 그림은 수소와 헬륨의 동위 원 소의 을 모형으로 나타낸 것이. 우주에서 생성된 수소와 헬륨 의 질량비 는 약 3:1 이. (+)전하를 띠는 양성자와 전기적 중성인 중성자

More information

새로운 지점에서 단이 시작하는 경우 기둥코로 시작하라고 표시합니다. 기둥코(standing stitch)로 시작하는 방법은 YouTube 에서 찾아볼 수 있습니다. 특수 용어 팝콘뜨기: 1 코에 한길긴뜨기 5 코, 바늘을 빼고 첫번째 한길긴뜨기코의 앞에서 바늘을 넣은

새로운 지점에서 단이 시작하는 경우 기둥코로 시작하라고 표시합니다. 기둥코(standing stitch)로 시작하는 방법은 YouTube 에서 찾아볼 수 있습니다. 특수 용어 팝콘뜨기: 1 코에 한길긴뜨기 5 코, 바늘을 빼고 첫번째 한길긴뜨기코의 앞에서 바늘을 넣은 Desire Copyright: Helen Shrimpton, 2016. All rights reserved. By: Helen at www.crystalsandcrochet.com 12 인치 모티브 worsted/aran(10ply), 5mm 바늘 사용 약 10인치 Double Knitting(8ply), 4mm 바늘 사용 미국식 용어를 사용합니다. 약어

More information

레이아웃 1

레이아웃 1 Seed Money Bank Savings Banks vol.126 Seed Money Bank Savings Banks + vol.126 www.fsb.or.kr 20163 + 4 Contents 20163 + 4 vol.126 www.fsb.or.kr 26 02 08 30 SB Theme Talk 002 004 006 SB Issue 008 012 014

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

BN H-00Kor_001,160

BN H-00Kor_001,160 SPD-SHD/SPD-0SHD BN68-008H-00 ..... 6 7 8 8 6 7 8 9 0 8 9 6 8 9 0 6 6 9 7 8 8 9 6 6 6 66 67 68 70 7 7 76 76 77 78 79 80 80 8 8 8 8 8 86 87 88 89 90 9 9 9 9 9 96 96 98 98 99 0 0 0 0 06 07 08 09 0 6 6

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

Microsoft PowerPoint - chap05-제어문.pptx

Microsoft PowerPoint - chap05-제어문.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); 1 학습목표 제어문인,, 분기문에 대해 알아본다. 인 if와 switch의 사용 방법과 사용시 주의사항에 대해 알아본다.

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

통신이론 2 장주파수해석 성공회대학교 정보통신공학과 1

통신이론 2 장주파수해석 성공회대학교 정보통신공학과 1 통신이론 장주파수해석 성공회대학교 정보통신공학과 제 장의구성. 시간영역과주파수영역. 푸리에해석.3 푸리에급수.4 푸리에변환.5 특이함수모델.6 푸리에변환쌍.7 푸리에변환과관련된정리들 . 시간영역과주파수영역 3 시간영역과주파수영역 통신에서의신호 - 시간의흐름에따라전압, 전류, 또는전력의변화량을나타낸것 신호를표시할수있는방법 y 진폭 시간영역에서의표현 x 시간 y

More information

Microsoft PowerPoint - lec06_2007

Microsoft PowerPoint - lec06_2007 Opertil Ampliier A µa74 itegrted circuit h eight cectig pi 주요한단자. iertig iput. iertig iput. utput 4. pitie pwer upply 5. egtie pwer upply b The crrepdece betwee the circled pi umber the itegrted circuit

More information

Microsoft PowerPoint - 8. 전력

Microsoft PowerPoint - 8. 전력 전력 8.. 전력의정의 직류회로의전력 전력 P W Q W Q P t t W Q Q t VI W: 일, t: 시간, Q: 전하량, V: 전압, 전위차, I: 전류 P VI RI I RI V V R V R 8.. 전력의정의 8.. 정현파교류회로에서의전력 평균전력 (average power) 또는유효전력 (effective power) 교류회로에서는전압, 전류가모두변하기때문에,

More information

RRH Class-J 5G [2].,. LTE 3G [3]. RRH, W-CDMA(Wideband Code Division Multiple Access), 3G, LTE. RRH RF, RF. 1 RRH, CPRI(Common Public Radio Interface)

RRH Class-J 5G [2].,. LTE 3G [3]. RRH, W-CDMA(Wideband Code Division Multiple Access), 3G, LTE. RRH RF, RF. 1 RRH, CPRI(Common Public Radio Interface) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 276 282. http://dx.doi.org/10.5515/kjkiees.2015.26.3.276 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) RRH

More information

소규모 비즈니스를 위한 플레이북 여기서 다룰 내용은 다음과 같습니다. 1. YouTube 소개 2. YouTube에서 비즈니스를 위한 채널 만들기 3. 눈길을 끄는 동영상 만들기 4. 고객의 액션 유도하기 5. 비즈니스에 중요한 잠재고객에게 더 많이 도달하기

소규모 비즈니스를 위한 플레이북 여기서 다룰 내용은 다음과 같습니다. 1. YouTube 소개 2. YouTube에서 비즈니스를 위한 채널 만들기 3. 눈길을 끄는 동영상 만들기 4. 고객의 액션 유도하기 5. 비즈니스에 중요한 잠재고객에게 더 많이 도달하기 소규모 비즈니스를 위한 YouTube 플레이북 YouTube에서 호소력 있는 동영상으로 고객과 소통하기 소규모 비즈니스를 위한 플레이북 여기서 다룰 내용은 다음과 같습니다. 1. YouTube 소개 2. YouTube에서 비즈니스를 위한 채널 만들기 3. 눈길을 끄는 동영상 만들기 4. 고객의 액션 유도하기 5. 비즈니스에 중요한 잠재고객에게 더 많이 도달하기

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

개인용전기자극기의 안전성및성능평가가이드라인

개인용전기자극기의 안전성및성능평가가이드라인 개인용전기자극기의 안전성및성능평가가이드라인 2014. 3 목 차 1 서론 - 1 - - 2 - - 3 - 2 개인용전기자극기개요 - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - 범례 해설: GZJ [통증완화용경피전기신경자극기]: 100개 IPF [재활치료용전동식근육자극기]: 92개 NGX [근육운동용전동식근육자극기]: 28개

More information

R&S®FPC Spectrum Analyzer | R&S®FPC 스펙트럼 분석기

R&S®FPC Spectrum Analyzer | R&S®FPC 스펙트럼 분석기 R&S FPC 스펙트럼 분석기 뛰어난 가격 합리성에 더해진 놀라운 성능 Product Brochure Version 04.01 year FPC_bro_ko_5215-0770-16_v0401.indd 1 26.03.2018 11:52:04 R&S FPC 스펙트럼 분석기 개요 고가의 장비만이 우수한 품질과 혁신을 보여주는 것은 아닙니다. R&S FPC 스펙트럼

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770>

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 12, No. 6 pp. 2729-2734, 2011 DOI : 10.5762/KAIS.2011.12.6.2729 DC 정합회로를갖는능동 Replica LDO 레귤레이터 유인호 1, 방준호 1*, 유재영 2 1 전북대학교 IT 응용시스템공학과

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 A 반 T2 - 김우빈 (201011321) 임국현 (201011358) 박대규 (201011329) Robot Vacuum Cleaner 1 Motor Sensor RVC Control Cleaner Robot Vaccum Cleaner 2 / Event Format/ Type Front Sensor RVC 앞의장애물의유무를감지한다. True / False,

More information

006 007 007 009 012 012 012 013 013 013 018 019 033 045 051 052 060 066 067 077 083 084 099 108 117 118 122 135 140 141 141 142 143 143 145 148 154 01 006 007 007 009 " # $ % 02 012 012 012 013 013 013

More information

가해하는 것은 좋지 않은 행동이라 생각하기 때문이다 불쌍해서이다 가해하고 나면 오히려 스트레스를 더 받을 것 같아서이다 보복이 두려워서이다 어떻게 그렇게 할 수 있는지 화가 나고 나쁜 아이라고 본다 그럴 수도 있다고 생각한다 아무런 생각이나 느낌이 없다 따돌리는 친구들을 경계해야겠다 남 여 중학생 고등학생 남 여 중학생 고등학생 남 여 중학생 고등학생 남 여

More information

<445350BCB3B0E820BAB8B0EDBCAD2E687770>

<445350BCB3B0E820BAB8B0EDBCAD2E687770> 디지털신호처리 : 설계과제 - 노이즈제거필터설계 조태형 (20312352), 천성용 (20312443), 천호영 (20312359), 최병열 (20312361) 영남대학교전자공학과 http:://electronics.yu.ac.kr Digital Signal Processor : Design Project - Band Pass Filter Tae-Hyoung

More information

Technical Series_ADC 전압레퍼런스가 ADC 성능에미치는영향 -1 부 혼합신호시스템을설계할때대부분의설계자는각각의부품을따로따로검토하여최적화하는경향이있다. 이러한근시안적방법은어쨌든설계를작동하게할수는있다. 여러가지서로다른부품을한시스템안에배치한다는점을감안했을때,

Technical Series_ADC 전압레퍼런스가 ADC 성능에미치는영향 -1 부 혼합신호시스템을설계할때대부분의설계자는각각의부품을따로따로검토하여최적화하는경향이있다. 이러한근시안적방법은어쨌든설계를작동하게할수는있다. 여러가지서로다른부품을한시스템안에배치한다는점을감안했을때, 전압레퍼런스가 ADC 성능에미치는영향 -1 부 혼합신호시스템을설계할때대부분의설계자는각각의부품을따로따로검토하여최적화하는경향이있다. 이러한근시안적방법은어쨌든설계를작동하게할수는있다. 여러가지서로다른부품을한시스템안에배치한다는점을감안했을때, 설계자는개별부품에대한이해뿐만아니라전체시스템성능에미치는영향에대해서도완전히이해하고있어야한다. 설계에아날로그디지털컨버터 (ADC) 가있는경우,

More information

V. 통신망 기술

V. 통신망 기술 데이터부호화 데이터의형태 : 아날로그, 디지털 신호의형태 : 아날로그, 디지털 데이터부호화 : 아날로그나디지털데이터를다른모양의데이터나신호로변환 디지털데이터 / 디지털신호 디지털데이터 / 아날로그신호 아날로그데이터 / 디지털신호 아날로그데이터 / 아날로그신호 부호화기 / 복호화기 (Encoder/Decoder) 데이터비트를디지털신호로변환 ( 디지털시그널링 )

More information

152*220

152*220 152*220 2011.2.16 5:53 PM ` 3 여는 글 교육주체들을 위한 교육 교양지 신경림 잠시 휴간했던 우리교육 을 비록 계간으로이지만 다시 내게 되었다는 소식을 들으니 우 선 반갑다. 하지만 월간으로 계속할 수 없다는 현실이 못내 아쉽다. 솔직히 나는 우리교 육 의 부지런한 독자는 못 되었다. 하지만 비록 어깨너머로 읽으면서도 이런 잡지는 우 리

More information

- 1 -

- 1 - - 1 - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - 법 대통령령 부령 고시 성능시험기준으로활용 - 13 - - 14 - μ μ μ μ μ μ μ μ μ μ μ μ - 15 - μ μ μ μ μ μ μ μ - 16 - db μa /m db μv /m 90 80 70 60

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

16<C624><D22C><ACFC><D0D0> <ACE0><B4F1><BB3C><B9AC><2160>_<BCF8><CC45>.pdf

16<C624><D22C><ACFC><D0D0> <ACE0><B4F1><BB3C><B9AC><2160>_<BCF8><CC45>.pdf I I 02 03 04 05 06 II 07 08 09 III 10 11 12 13 IV 14 15 16 17 18 a b c d 410 434 486 656 (nm) Structure 1 PLUS 1 1. 2. 2 (-) (+) (+)(-) 2 3. 3 S. T.E.P 1 S. T.E.P 2 ) 1 2 (m) 10-11 10-8 10-5 C 10-2 10

More information

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과

1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과 1. REACTOR TAP 90% 로변경, 제작공급한사유 - 고객요청사항은 REACTOR 80% 운전기준임. - 삼성테크윈에서사용하는표준 REACTOR 사양은 80%, 75%, 70% 로 STARTER 도면은표준사양으로제출됨. - 동프로젝트용모터사양서 / 성적서확인결과기동전류가 400% 이하로표준모터의 650% 대비상당히낮은기동특성을가지고있어, 압축기운용시기동시간등을감안하여

More information

목차 1. 개요 STT Series의기능과특징 3 2. 사양 STT Series의사양 외부명칭 STT Series의외부명칭 5 외부사이즈 STT-100의외부사이즈 6 5. 작동 5.1 트랜스듀서연결 영점조정 스판조정 필터조

목차 1. 개요 STT Series의기능과특징 3 2. 사양 STT Series의사양 외부명칭 STT Series의외부명칭 5 외부사이즈 STT-100의외부사이즈 6 5. 작동 5.1 트랜스듀서연결 영점조정 스판조정 필터조 STT-100 SERIES Load Cell Voltage/Current Transmitter ( 주) 스케일트론서울시성동구성수1가2동656-45 TEL : 02-461-7007 FAX : 02-461-0183 http//www.caskorea.co.kr http//www.scaletron.co.kr - 1 - 목차 1. 개요 STT Series의기능과특징

More information

statistics

statistics 수치를이용한자료요약 statistics hmkang@hallym.ac.kr 한림대학교 통계학 강희모 ( 한림대학교 ) 수치를이용한자료요약 1 / 26 수치를 통한 자료의 요약 요약 방대한 자료를 몇 개의 의미있는 수치로 요약 자료의 분포상태를 알 수 있는 통계기법 사용 중심위치의 측도(measure of center) : 어떤 값을 중심으로 분포되어 있는지

More information

*Revision History 날짜 내용 Rev. 1.0 초판완성 [2] page

*Revision History 날짜 내용 Rev. 1.0 초판완성 [2] page Revision 13.05.31 SDS100i 사용자용 UniFlash 활용가이드 Rev. 1.0 [1] page *Revision History 날짜 내용 13. 05. 31. - Rev. 1.0 초판완성 [2] page 목차 1 UNIFLASH 란?... 4 2 UNIFLASH 다운로드... 4 3 UNIFLASH 설치... 7 4 UNIFLASH용 SDS100I

More information

Infinity(∞) Strategy

Infinity(∞) Strategy 반복제어 표월성 passwd74@cherub.sungkyul.edu 개요 for() 문 break문과 continue문 while문 do-while문 for() 문 for() 문형식 for( 표현식1; 표현식2; 표현식3) 여러문장들 ; 표현식 1 : 초기화 (1 번만수행 ) 표현식 2 : 반복문수행조건 ( 없으면무한반복 ) 표현식 3 : 반복문수행횟수 for()

More information

77

77 76 77 1. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 78 2. 1 2 3 4 5 6 7 8 9 10 11 12 79 80 II 81 82 II 83 84 II 85 86 II 87 s t r e t c h i n g 88 II 89 90 II 91 d a n c e s p o r t s 92 II 93 ;4#; 94 II

More information

REVIEW CHART

REVIEW CHART Rev.6, 29. June 2015 보호및절연협조 2015. 06. 29 한국철도시설공단 REVIEW CHART 1 2 Ω 3 4 5 6 단락보호과전류방식 단락보호 지락보호비율차동방식 단락보호과전류방식 지락보호지락과전류 7 8 9 10 I inrush FLA 배at sec 11 12 I pickup Slope P I n 여기에서 I n 변류기 차정격전류

More information

Microsoft Word - KSR2015S295

Microsoft Word - KSR2015S295 2015 년도한국철도학회춘계학술대회논문집 KSR2015S295 S-Bond 를이용한 AF 궤도회로특성연구 A Study on Characteristics of AF track circuit using the S-BOND 박동훈 *, 노성찬 *, 유광균 * Dong-Hoon Park *, Sung-Chan Rho *, Kwang-Kiun Yoo * Abstract

More information

Microsoft PowerPoint 상 교류 회로

Microsoft PowerPoint 상 교류 회로 3상교류회로 11.1. 3 상교류의발생 평등자계중에놓인회전자철심에기계적으로 120 씩차이가나게감은코일 aa, bb,cc 를배치하고각속도의속도로회전하면각코일의양단에는다음식으로표현되는기전력이발생하게된다. 11.1. 3 상교류의발생 여기서 e a, e b, e c 는각각코일aa, bb, cc 양단에서얻어지는전압의순시치식이며, 각각을상 (phase) 이라한다. 이와같이전압의크기는같고위상이

More information

<4D F736F F F696E74202D20B0EDC1B6C6C4BCD6B7E7BCC75B315D2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20B0EDC1B6C6C4BCD6B7E7BCC75B315D2E BC8A3C8AF20B8F0B5E55D> 1 고조파란 (Harmonic)? 발전과배전시스템에서이론적으로는일정한주파수를가지는 정현파 (sinusoidal wave) 의주전압을생각하게된다. 그러나실제적으로는 전압과주파수에변이가발생한다. 특히주전원에연결된비선형부하에의해 발생되는고조파는심각한문제를일으키기도한다. 고조파는기본주파수에대해 2 배,3 배,4 배와같은정수의배에해당하는 물리적전기량을말한다. ex)

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

•••••1301(•••).pdf

•••••1301(•••).pdf K I A M O T O R S V o l _ 1 0 6. 2 0 1 3 01 K I A M O T O R S V o l _ 1 0 6. 2 0 1 3 01 Happy Place + 은빛 추억이 새록새록, 태백산 눈축제 태백산에 하얗게 눈이 소복하게 쌓이면 축제가 시작된다. 태백산 눈축제 는 은빛 으로 옷을 갈아입은 태백의 매력을 맘껏 느낄 수 있는 다양한

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

COMFILE_VOL13_20140204.cdr

COMFILE_VOL13_20140204.cdr "다양한 산업현장에서 쓰이고 있는 컴파일 제품" 데이터 수집 데이터 수집용 필드 I/O 제품 "모드포트" 필드 I/O 전력 모니터링 로봇 제어 태양광 발전 트랙커 제어 CUPC-P80 CT1721C CB405 포장기 화력발전소-화력 감지 시스템 녹방지장치(용존산소제거장치) CT1721C CB280, CLCD-216 CUWIN3500 일회용 용기 성형기 항온항습기

More information

Microsoft Word - KSR2015A173

Microsoft Word - KSR2015A173 215 년도한국철도학회추계학술대회논문집 KR215A173 이종철도무선통신장비의통합을위한 VHF/UHF 전력증폭기 A VHF/UHF Power Amplifier for Integration of Various Railway Wireless Communication ystems 장동희 *, 이학용 *, 박찬영 **, 서봉진 **, 박세영 ***, 김영배 ***,

More information

SMT-1722-1922-Kor.indd

SMT-1722-1922-Kor.indd SMT-2231 사용 설명서 첨부물 포장상자 개봉 후 제품은 튼튼하고 평평한 곳이나 설치장소에 놓고, 다음 내용물이 전부 있는지 체크하세요. TFT LCD 모니터 리모컨&건전지 VGA 신호케이블 전원코드 모니터 설치안내서 사용설명 CD 조작부 설명 전면조작부 적외선 센서 리모컨 센서 수신부 / PIP 동작중인 기능의 레벨증가 및 OSD메뉴 선택합니다.

More information

[NO_11] 의과대학 소식지_OK(P)

[NO_11] 의과대학 소식지_OK(P) 진 의학 지식과 매칭이 되어, 인류의 의학지식의 수준을 높 여가는 것이다. 하지만 딥러닝은 블랙박스와 같은 속성을 가지고 있어서, 우리는 단지 결과만을 알 수 있기 때문에 이런 식의 의학지 식의 확장으로 이어지기는 힘들 수 있다는 것을 의미한다. 이것은 실제로 의학에서는 인공지능을 사용하게 될 때 여러 가지 문제를 만들 수 있다. 뿐만 아니라, 인간이 이해

More information

<323120C3A4B1D4BCF62DC0FDC0FCBCBEBCADBFEB20582DB9EAB5E520B4EBBFAA20C6D0C4A120BEEEB7B9C0CC20BEC8C5D7B3AA20BCB3B0E82E687770>

<323120C3A4B1D4BCF62DC0FDC0FCBCBEBCADBFEB20582DB9EAB5E520B4EBBFAA20C6D0C4A120BEEEB7B9C0CC20BEC8C5D7B3AA20BCB3B0E82E687770> 한국산학기술학회논문지 Vol. 11, No. 1, pp. 125-129, 2 채규수 1* 1 백석대학교정보통신학부 Design of an X-band patch array antenna for an energy saving system Gyoo-Soo Chae 1* 1 Division of Information Communication Eng., Baekseok

More information

Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제

Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제 Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, 2018 1 1.1 Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제 6.5에서 찾아볼 수 있다. http://incompleteideas.net/book/bookdraft2017nov5.pdf

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Translation Song 1 Finger Family 한글 해석 p.3 아빠 손가락, 아빠 손가락. p.4 p.5 엄마 손가락, 엄마 손가락. p.6 p.7 오빠 손가락, 오빠 손가락. p.8 p.9 언니 손가락, 언니 손가락. p.10 p.11 아기 손가락, 아기 손가락. p.12 p.13 p.14-15 재미있게 부르기 (Sing and Play Time)

More information

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

슬라이드 1

슬라이드 1 대한의료관련감염관리학회학술대회 2016년 5월 26일 ( 목 ) 15:40-17:40 서울아산병원동관 6층대강당서울성심병원김지형 기능, 가격, 모든것을종합 1 Excel 자료정리 2 SPSS 학교에서준다면설치 3 통계시작 : dbstat 4 Web-R : 표만들기, 메타분석 5 R SPSS www.cbgstat.com dbstat 직접 dbstat 길들이기

More information

CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램

CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램 CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun (csy1000@hanmir.com) 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램 Dept. of Electronic Engineering, Yeungnam University,

More information

KAERITR hwp

KAERITR hwp KAERI/TR-3143/2006 유도초음파를이용한직선배관의 원거리결함탐지실험 Experiments of Long-range Inspection Method in Straight Pipes using Ultrasonic Guided Waves 2006. 2 한국원자력연구소 TR-3143-2006- 수정요청부분.hwp 2006-03-13 오전 9:59 2 쪽중

More information

<303230342032303134B3E220B3EBBCF7C0CE20B5EEC0C720BAB9C1F6BBE7BEF720BEC8B3BB28C3D6C1BE292E687770>

<303230342032303134B3E220B3EBBCF7C0CE20B5EEC0C720BAB9C1F6BBE7BEF720BEC8B3BB28C3D6C1BE292E687770> 발 간 등 록 번 호 11-1352000- 000661-10 2014년 노숙인 등의 복지 사업 안내 Contents Contents 제1장 노숙인 등 복지사업 기본방향 / 1 1. 사업목적 3 2. 주요연혁 3 3. 기본방향 4 제2장 노숙인 등의 복지 및 자립지원에 관한 법령 안내 / 7 1. 목 적 9 2. 구 성 9 3. 총 칙 9 4. 종합계획의 수립

More information