2. 실리콘소재를이용한유연메모리및집적회로소자 실리콘공정을기반으로하는반도체산업은비약적 인발전을거듭해사회전반에미치는파급효과가매우크다. 현재까지도실리콘은반도체산업의주력소자로여러분야에응용되고있다. 그러나기존의실리콘공정기반의집적회로및메모리소자기술은딱딱한실리콘또는 SOI (sil

Size: px
Start display at page:

Download "2. 실리콘소재를이용한유연메모리및집적회로소자 실리콘공정을기반으로하는반도체산업은비약적 인발전을거듭해사회전반에미치는파급효과가매우크다. 현재까지도실리콘은반도체산업의주력소자로여러분야에응용되고있다. 그러나기존의실리콘공정기반의집적회로및메모리소자기술은딱딱한실리콘또는 SOI (sil"

Transcription

1 특 / 집 / 마 / 당 웨어러블 / 플렉시블전자소재연구동향 유현균 1, 박귀일 2, 이건재 1, * 1 한국과학기술원 (KAIST), 신소재공학과, 유연및나노바이오소자연구실, 2 경남과학기술대학교, 에너지공학과 1. 서론모바일혁명이라고불리는스마트폰기술의발전은 2007년아이폰출시이후전례없는증가세를보이며크게발전해왔고이제성숙기에접어들었다. 2012년구글에서안경모양의웨어러블컴퓨터인 프로젝트글래스 를발표한이후, IT업계는스마트폰의혁신을대체할수있을것으로전망되는차세대모바일기술로플렉시블 (flexible) 또는웨어러블 (wearable) 디바이스에주목하게되었으며, 최근다양한웨어러블제품의출시를통해웨어러블디바이스시장을선점하기위한주도권경쟁이치열하게전개되고있다. 웨어러블디바이스란 인체에착용또는부착하여컴퓨팅이가능한전기 / 전자소자로구성된모든종류의기기 를의미한다. 현재시장에서는안경, 시계등과같은액세서리형태의웨어러블제품이주류를이루고있으나, 학교와연구소를중심으로웨어러블기술의근본적인장점인착용감을극대화화면서인간에더욱더근접하여다양한기능을제공할수있는진화된형태의의복형또 는신체부착형웨어러블전자소자개발에대한연구들이활발하게진행되고있다. 특히, 신체의일부에부착할수있는신체부착형웨어러블컴퓨터가실현되기위해서는유연성과신축성을가지는전자회로기술, 프로세서기술, 배터리기술, 메모리기술등전자기기동작에필수적인유연한전자소자부품기술개발이요구되며, 그중에서메모리소자및고집적반도체회로 (LSI, large scale integration) 는데이터의저장뿐만아니라, 전자기기내에서의정보처리와외부기기와의통신에필수적인부품으로써신체부착형웨어러블전자기기구현에필수적으로개발되어야한다 [1]. 현재웨어러블 / 플렉시블디바이스에적용하기위하여유연성과신축성을가지는전자소자들은실리콘, 산화물, 유기물및탄소나노튜브 / 그래핀등다양한소재들을이용하여활발하게연구가진행되고있다. 이에본리뷰논문에서는최근에개발된유연한특성을갖는비휘발성메모리및 LSI 소자연구개발동향에관해다루고자한다. 4 재료마당

2 2. 실리콘소재를이용한유연메모리및집적회로소자 실리콘공정을기반으로하는반도체산업은비약적 인발전을거듭해사회전반에미치는파급효과가매우크다. 현재까지도실리콘은반도체산업의주력소자로여러분야에응용되고있다. 그러나기존의실리콘공정기반의집적회로및메모리소자기술은딱딱한실리콘또는 SOI (silicon on insulator) 기판에서공정이이루어지며, 이로인해웨어러블 / 플렉시블디바이스용부품소재로는적합하지않았다. 특히실리콘반도체공정은도핑공정, 박막증착공정, 오믹접촉공정등에서고온공정이요구되는데, 이는플렉시블디바이스구현을위해사용되어야하는유연기판에적용이불가능하므로, 실리콘소재를이용하여유연기판에고성능의전자소자를구현하는데한계가있었다. 이러한한계점을극복하여실리콘기반의고성능유연전자소자를제작할수있는새로운방법인 transfer printing 기술이 2004년제안되었다 [2]. 이방법은소자성능이뛰어난단결정실리콘박막을습식식각 (wet etching) 공정을이용하여벌크실리콘기판으로부터직접뜯어낸후소프트리소그래피 (soft lithography) 방식을이용해유연한기판에옮기는기술이다 [2, 3]. 이기술을이용 하면고성능을위한고온처리공정 ( 도핑, 오믹접촉공정등 ) 이완료된단결정실리콘박막을유연기판에전사할수있으며이로인해뛰어난전기적성능 ( 유효이동도 > 500 cm 2 /V s) 을갖는실리콘소재를이용한유연전자소자를제작할수있었다 ( 그림 1)[2, 3]. 초기유연메모리관련연구는저항변화메모리 (resistive random access memory, RRAM) 소자를이용하여활발히연구되었는데, 이는저항변화메모리가저온공정이가능하면서간단한구조를갖는특성으로인해, 유연기판에서곧바로제작할수있었기때문이다 [4, 5]. 제작된유연저항변화메모리는다양한소재들을이용하여보고가되었지만수많은메모리셀 (memory cell) 간의간섭현상을해결하지못해사실상실용화가불가능했다 [6, 7]. 메모리구동을위해서는메모리역할을하는메모리셀과메모리상태를제어할수있는고성능선택소자를집적시켜야하지만유연기판에서는고성능의선택소자를구현하지못해완전구동가능한유연메모리개발이어려웠기때문이다. 최근에단결정실리콘박막을 transfer printing 방식으로유연기판에전사하여고성능의선택소자를구현함으로써이러한문제를해결하고자하는연구들이보고되었다 [1, 8, 9]. 그림 2는 1Transistor-1Memristor 구조의유연한메모리의모식도, 현미경사진및실제 그림 1. (a) 유연기판위에전사된단결정 μs-si 소자들의사진 (b) 전사된단결정실리콘을이용하여제작한트랜지스터의트랜스퍼곡선 (transfer curve). μs-si 소자들의유효모빌리티는 500cm 2 /V s 이상으로뛰어난전기적성능을유연한기판위에구현하였음 [2] 제 28 권제 6 호, 2015 년 11 월 5

3 특 / 집 / 마 / 당 유연메모리사진을보여주고있다 [1]. 모든메모리셀은랜덤액세스구동 (random access operation) 을위해, 워드라인 (word line), 소스라인 (source line), 비트라인 (bit line) 을통해 NOR 구조로연결되어있다. 고성능의유연트랜지스터소자제작을위해 SOI 기판위에서고온도핑공정을완료한후, 앞서언급한것처럼소프트리소그래피방식으로도핑된실리콘박막을 PI(Polyimide) 플라스틱기판에전사한다. 이후유연기판위에서수행가능한모든후속공정을완료하여실리콘트랜지스터와 Al/a-TiO 2 /Al 구조의저항변화메모리가집적된메모리셀어레이가제작되었다 [1]. 제작된메모리소자는그림 2(c) 와같이우수한유연성을보였으며, 반복적인굽힙에의해서도소자의성능및형성에는변화가없어매우우수한기계적안정성을보였다. 이소자는기존까지개발된유연저항변화메모 리들과는달리고성능의실리콘트랜지스터를집적함으로써유연 RRAM 소자제작에중요한발판이되었다. 후속연구에서는실리콘트랜지스터에비해집적도면에서유리한실리콘다이오드를이용하여 1Diode- 1Resistor(1D-1R) 구조의저항변화메모리 [8] 및상변화메모리 (phase change memory)[9] 에관한연구들이보고가되어고성능의실리콘선택소자를이용한유연메모리제작의가능성을꾸준히제시하고있다 ( 그림 3). 그림 3. (a) 1D-1R 구조의유연저항변화메모리 [8] (b) 1D-1R 구조의유연상변화메모리 [9] 그림 2. (a) 유연한 1T-1M RRAM 의모식도와저항변화메커니즘 (b) 저항변화메모리의광학현미경사진과대응되는회로도 (c) 유연한메모리의사진과확대된사진 (d) 1T-1M 메모리셀의드레인전류 - 드레인전압특성 (e) 선택소자인트랜지스터가있을때의읽기동작 [1] 최근연구에서는 transfer printing 방식의단점을보완하여벌크실리콘기판에서전자소자제작에필요한모든반도체공정을완료한후제작된전체실리콘소자를유연기판에전사하고자하는시도들이이루어지고있다 [10, 11]. 대표적으로는실리콘또는 SOI wafer의뒷면을화학적기계적연마 (CMP, chemical mechanical polishing) 공정또는식각공정을이용하여얇아진실리콘칩을유연기판에전사하는방식 [10] 과니켈막이벌크실리콘기판위에형성되었을때유도되는기계적인응력현상을이용하여대면적으로실리콘소자를박리하여전사하는방식이있다 [11]. 이러한공법들은제작공정이완료된대면적실리콘소자를효과적으로벌크실리콘기판에서유연기판으로전사할수있다는장점을가지고있다. 그림 4는생체삽입형유연 RFICs(radio frequency integrated circuit) 6 재료마당

4 그림 4. (a) 유연 RFICs 의제작공정모식도 (b) 유연 RFICs 의광학현미경이미지 (c) 유연 RFICs 의실제소자사진 [10] 소자의제작공정모식도및실제소자사진을보여주고있다 [10]. 유연 RFICs 소자의제작을위해, SOI 기판위에반도체회로를제작한후뒷면의 handle 기판을습식식각방식으로제거하는방법을이용하여약천개의트랜지스터로구성된고집적유연 RFICs 어레이를제작할수있었다. 이실험에서는 SOI 기판위에서와유연기판으로전사한후에소자의전기적특성평가를진행하였으며, 측정결과전사전과후에전기적특성에거의변화가없음을확인하였다. 또한이소자를신경보철기와같은 bio-integrated electronics 에적용하기위해생체친화적인 liquid crystal polymer(lcp) 을이용하여패키징공정을수행하고쥐의생체내에이식하였으며, 6주간의실험에서안정적인소자의구동을보고하였다 [10]. 그림 5는대면적유연 SRAM(static random access memory) 의제조공정을나타내는모식도및실제소자사진을보여주고있다 [11]. 이실험에서는기계적박리를위해 SOI 기판을사용하였고, 기판위에니켈막을증착하여니켈층 그림 5. (a) 니켈을이용한기계적박리공정의모식도 (b) 실리콘소자의 TEM (transmission electron microscopy) 단면도 (c) 유연한실리콘소자의사진 (d) 유연 SRAM 소자의전기적특성 (e) 유연 SRAM 소자의구부림테스트사진및전기적특성 [11] 의잔류응력때문에발생되는인장응력과기판에서작용하는압축응력간의불균형에의해유도되는실리콘박막박리현상을이용하였다 [11]. 이를통해유연기판 제 28 권제 6 호, 2015 년 11 월 7

5 특 / 집 / 마 / 당 그림 6. 산화물소재를이용하여제작된유연저항변화메모리의전류 - 전압특성 (a) Al/AlO x /Al 구조의메모리셀 [15] (b) Al/ a-tio 2 /Al 구조의메모리셀 [16] (c) Ni/GeO/HfON/TaN 구조의메모리셀 [17] (d) graphene/sio x /graphene 구조의투명한메모리셀 [18] 에전사된 SRAM의메모리특성을확인하였으며구부림반경 (bedning radius) 이 6.3 mm 에서도소자성능의하락없이유지되는우수한유연성을보고하였다 [11]. 이러한대면적의전체실리콘전사방식들은현재의실리콘공정을기반으로하는반도체공정을그대로이용하여실리콘전자소자를제작한후완성된실리콘칩을대면적으로옮길수있으므로, 이를통해다양한실리콘기반의웨어러블 / 플렉시블전자소자시스템제작에적용될수있는가능성을제시하고있다 [10, 11]. 2. 산화물소재를이용한유연메모리소자앞서언급한바와같이, 유연메모리로써저항변화메모리가가장주목받고있다. 1960년대부터연구되어온저항변화메모리는매우다양한소재들을이용하여저항변화현상이보고되었으며, 그중에서산화물 소재를이용한금속-절연체-금속 (metal-insulatormetal) 구조의저항변화메모리소자가대표적이라고할수있다 [12]. 특히, 산화물소재를이용한저항변화메모리소자는유연메모리로의적용에적합한데, 이는산화물소재의근본적인장점인저온공정과 3차원적층구조에용이한특성으로인하여고집적유연메모리구현에매우유리하기때문이다 [13, 14]. 유연저항변화메모리소자제작을위해현재까지보고된산화물소재는매우다양한데, 대표적으로는 AlO x [15], a-tio 2 [16], GeO/HfON[17], SiO x [18] 가있다. 그림 6(a) 는 Al/AlO x /Al 구조의유연저항변화메모리의전류-전압곡선을나타내고있다 [16]. 전압의극성에관계없이저항변화현상이나타나는단극성 (unipolar) 특성 [12] 을보이고있으며하부전극인 Al을증착한후, Al을 seed 물질로이용한플라즈마옥시데이션 (plasma oxidation) 공정으로저 8 재료마당

6 그림 7. (a) 1S-1R 구조의저항변화메모리소자의레이저리프트오프공정모식도 (b) 1S-1R 구조의유연저항변화메모리소자의사진 (c) 1S-1R 구조의유연저항변화메모리소자의전기적특성 [20] 항변화물질인AlO x 를형성하였다 [8, 15]. 모든공정은 PES(Polyethersulfone) 기판에서진행하여유연한특성을갖는저항변화메모리소자를구현할수있었다. 그림 6b는 Al/a-TiO 2 /Al 구조의유연저항변화메모리의전류-전압곡선이다 [16]. 앞서언급한 AlO x 메모리와는달리저항변화현상이하나의극성이아닌서로반대의극성에서원하는저항상태로변화가이루어지는양극성 (bipolar) 특성 [12] 을보이며, PEALD(plasma enhanced atomic layer deposition) 방식으로저온에서 a-tio 2 물질을증착하여유연메모리를제작할수있었다. 그림 6c는 PI 기판위에 Ni/ GeO/HfON/TaN 구조를형성하여제작한양극성유연저항변화메모리의특성을보여주고있다 [17]. 마지막으로, 그림 6d는투명하고유연한 fluoropolymer 기판에 graphene/sio x /graphene 구조의투명한메모리소자를적용하여유연한특성을갖는투명저항 변화메모리를제작할수있는가능성을제시하였다 [18]. 그림 6에서언급된연구결과들은다양한산화물소재를이용하여유연메모리를구현하였지만선택소자없이메모리구동이유연기판에서가능함을증명하는수준에그치고있다. 앞서설명한것처럼, 메모리구동을위해서는메모리역할을하는메모리셀과메모리상태를제어할수있는고성능선택소자를집적시켜야한다 [13, 14, 19]. 산화물반도체를선택소자로이용한크로스바 (crossbar) 형태의 1Diode-1Resistor(1D- 1R)[13, 14] 또는 1Selector-1Resistor(1S-1R)[19] 구조의저항변화메모리를실리콘또는유리기판에제작한선행연구들이있었지만유연기판은선택소자제작공정과정에서신뢰성에부정적인영향을미치는표면거칠기 (surface roughness), 흡습성및열적특성이유리나실리콘기판과는달리선택소자제작공정에 제 28 권제 6 호, 2015 년 11 월 9

7 특 / 집 / 마 / 당 적합하지않으므로고성능의산화물반도체기반선택소자를집적하는데에어려움이따르고있었다. 최근연구에서는이를극복할수있는대안으로 1S-1R 구조의메모리를산화물소재를이용하여유리기판에제작한후, 메모리소자를유연기판에옮기는 Inorganic Laser lift-off(illo) 공법을엑시머 (excimer) 레이저를통해적용하여완전구동가능한유연메모리소자구현의가능성을보여주었다 [20]. 이로인해기존의유연기판에서의산화물반도체소재를이용한선택소자제작의어려움을해결할수있었다. 그림 7(a) 는 ILLO 를사용한유연저항변화메모리소자의제작공정과정을나타낸다 [20]. ILLO 공법으로유리기판으로부터메모리층을분리하기위해서레이저반응물질인 a-si을 PECVD(plasma enhanced chemical vapor deposition) 방식으로유리기판에메모리소자를제작하기에앞서증착하였다. ILLO공법은 a-si에수소가포함되어있을때레이저의에너지로인해실리콘이녹고이로인해수소가분리되어빠져나오면서유리기판과분리되는현상을이용한방법이다 [20]. 그림 7(b) 는유연기판에전사된 1S-1R 구조의메모리소자사진을보여주고있다. Ni/TiO 2 /Ni 구조의쇼트키방출선택소자 (schottky emission selector) 와 Ni/NiO x /Pt 구조의저항변화메모리를집적시켜 1S-1R 크로스바구조의저항변화메모리를제작하였다 [20]. 그림 7(c) 는제작된유연메모리의전류-전압곡선특성을나타내고있으며, 선택소자로인해셀간의간섭문제를해결할수있음을증명하였다. 이연구는산화물기반의선택소자가집적된대면적의저항변화메모리의제작을위해 ILLO 공법이적용되었다는것이주목할만하며, 더나아가유리기판에서제작이가능한다양한무기물기반의고성능전자소자들에응용하여웨어러블 / 플렉시블전자소자시스템구현에적용할수있을것으로예상된다. 3. 유기소재를이용한유연메모리소자 유기소재를이용한유연전자소자에대한연구는오래전부터활발하게진행되고있다. 실리콘혹은산화물기반의기존전자소자들과비교했을때성능면에서상당한격차를보이고있지만, 유기소재를이용한전자소자는제조가격이저렴하고제작기술이간단하며, 저온공정과유기소재의장점인휘어지는특성으로인해유연기판에적용할수있는장점이있어많은관심을받고있다 [21, 22]. 유기소재를이용한다른전자소자들과더불어유기또는고분자소재기반의비휘발성메모리소자는차세대전자소자의한구성요소로서매우큰기대를모으고있는분야이다 [23]. 유기소재기반의유연비휘발성메모리소자로서연구되고있는대표적인차세대메모리소자는저항변화메모리 [23, 24], 플래시메모리 [25], 그리고강유전체메모리 (ferroelectric memory)[26] 등이있다. 그림8은유기소재를이용한휘어지는환경에서저항변화메모리동작을보여주는유연메모리를보여주고있다 [23]. 유연메모리제작을위해 PET기판위에하부전극을형성하고그위에 PI와 PCBM(6- 그림 8. (a) 유연유기메모리소자의모식도 (b) 유연유기메모리소자의 TEM 단면도 (c) 유연유기메모리소자의그림단면도 (d) 유연유기메모리소자의전류 - 전압특성 [23] 10 재료마당

8 그림 9. (a) 1D-1R 구조의유연유기메모리소자의모식도및실제소자사진 (b) 유기 1R 의전류 - 전압특성 (c) 유연 1D 의전류 - 전압특성 (d) 유연 1D-1R 의전류 - 전압특성 [24] phenyl-c61 butyric acid methyl ester) 분자를혼합한유기물질을스핀코팅한후최종적으로상부전극을증착하여유연저항변화메모리를완성하였다 [23]. 이후특성평가를통해휘어지는환경에서도안정적으로메모리소자가동작함을확인하였다. 이연구에서는비록선택소자를집적시킨완전한구조의유기메모리소자를완성하지는못했지만, 유기소재를이용하여저항변화형유기유연메모리의구현가능성을제시했다는데에의미가있다. 앞서언급한휘어지는특성의유기저항변화메모리를제작하는것이외에셀간의간섭문제를해결하기위해, 최근에는유기소재기반의다이오드를선택소자로집적시킨 1D-1R 구조의유연저항변화메모리가보고되었다 ( 그림9)[24]. 기존유기메모리소자의대표적인공정인스핀코팅과정에서연속적인공 정수행시, 메모리소자층혹은선택소자층이손상되는문제점이있었다. 이연구에서는이러한문제점을해결하기위해저온공정에서패턴을만들수있는크로스링커 (crosslinker) 제작방법을활용하였다. 크로스링커방식은연속적인층을만드는공정에서유기메모리층과유기선택소자층이서로손상을입지않는공법이며 [24, 27], 이로인해유기소재를이용하여 1D-1R 구조의유연저항변화메모리소자를제작할수있었다. 그림9에서볼수있듯이 P3HT(poly(3- hexylthiophene)) 소재를이용한유기다이오드와 PS(polystyrene) 물질과 PCBM(6-phenyl-C61 butyric acid methyl ester) 분자를혼합한유기물질기반으로한유기메모리소자를이용하였다 [24]. 소자의특성평가를통해, 다이오드의한방향으로전류를흐르게하는정류특성으로인접한메모리셀간의간섭 제 28 권제 6 호, 2015 년 11 월 11

9 특 / 집 / 마 / 당 그림 10. (a) 유연유기플래시메모리소자의모식도 (b) 유연유기플래시메모리소자의사진 (c) 유연유기플래시메모리소자의전류 - 전압특성 [25] 현상을제어할수있음을증명하였다. 유기소재기반의유연비휘발성메모리중에서현 재주목받는대표적인메모리소자중하나는플래시메모리이다 [21, 25, 28]. 플래시메모리는현재가장많이사용되는비휘발성메모리로전통적으로플로팅게이트 (floating gate) 라고불리는박막층에전하를저장하거나제거하는것이가능한데, 이러한전 하저장유무에따라유도되는문턱전압의변화현상을이용한메모리소자이다. 그림 10은유기소재를이용하여제작된유연플래시메모리구조의모식도및사진을보여주고있다 [25]. 플래시메모리동작을위해, PES 기판위에유기트랜지스터소자를구현하고, blocking oxide 층과 tunneling oxide 층은 crosslinked PVP(polyvinylpyrrolidone) 층을이용하였고정보저장층은전하를저장하는능력이뛰어난금나노입자를적용하였다 [25]. 이렇게제조된유연플래시메모리는쉽게휘고접을수있는형태의메모리소자라는큰장점이있고, 실제로 1000회이상반복적으로휘거나구부려도저장된정보가소실되지않는특성을보고하였다. 유연메모리소자구현을위해유기소재를강유전체메모리소자의절연막으로사용하여유연강유전체메모리소자를개발하고자하는연구가활발히진행되고있다 [26]. 차세대비휘발성메모리중하나인강유전체메모리는강유전체를절연막으로사용하여여기 그림 11. (a) 유연유기강유전체메모리소자의모식도및사진 (b) 유연유기강유전체메모리소자의전류 - 전압특성 (c) 유연유기강유전체메모리의멀티레벨특성 [26] 12 재료마당

10 에게이트전압을인가, 분극의방향을제어함으로써정보를저장할수있는메모리소자이다 [26]. 그림 11 는유기소재를이용한강유전체메모리의모식도및전기적인특성을나타내고있다 [26]. 우수한잔류분극특성을위해강유전성을가지는고분자인 PVDF- TrFE(poly(vinylidene fluoride-trifluoroethylene)) 를이용하였고트랜지스터의채널로사용되는고분자반도체로는 P3HT(poly(3-hexylthiophene)) 를적용하였다 [26]. 이연구는지금까지연구된유연강유전성메모리와는달리전압의크기를변화시킴으로써강유전체고분자의분극을부분적으로제어할수있다는사실을통해멀티레벨 (multilevel) 특성을갖는유연강유전체메모리개발에접근할수있는계기를마련하였다는데큰의의가있다. 을보고하였다 [33]. 유연기판에서용액공정을적용하여제작된탄소나노튜브복합물질기반의유연메모리소자는멀티레벨동작이가능하면서유연한특성을보이므로, 고집적유연메모리제작가능성을제시하고있다. 4. 탄소나노튜브 / 그래핀을이용한유연메모리소자 탄소기반나노소재의등장이후, 매우큰발전을이루고있는분야중하나는탄소나노튜브또는그래핀소재기반의전자소자의제작에관한연구분야이다 [29]. 특히탄소나노튜브와그래핀은뛰어난유연성및우수한전기적특성으로인해다양한유연전자소자제작을위한연구가활발하게이루어지고있으며 [30, 31] 최근에는유연메모리소자에서메모리동작소재로사용한연구가보고되고있다.[32-34] 그림 12(a) 는탄소나노튜브소재를용액공정 (solution process) 를통해저항변화물질로적용하여제작된유연저항변화메모리의제작과정모식도를보여주고있다 [33]. PS 물질과붕소및질소도핑된탄소나노튜브의복합물질을이용함으로써전하저장레벨 (charge trap level) 을제어할수있는특성을통해유연메모리소자의구현이가능했으며또한, 그림 12(d) 에서볼수있듯이, 이를통해멀티레벨동작도가능함 그림 12. (a) 탄소나노튜브소재를이용한유연저항변화메모리소자의제작과정의모식도 (b) 탄소나노튜브소재를이용한저항변화메모리의단면도 (c) 탄소나노튜브소재를이용한유연저항변화메모리의전류 - 전압특성 (d) 탄소나노튜브소재를이용한유연저항변화메모리의멀티레벨동작특성 [33] 그래핀물질은뛰어난재료적유연성을가지고있을뿐만아니라투명한광학적성질을가지고있어투명한기판및전극물질과함께소자제작이이루어진다면유연투명메모리개발에이용될수있다 [35, 36]. 그림 13는최근에보고된투명한유연전하트랩 (charge trap) 방식의메모리의제조공정모식도및광학적, 전기적특성들을나타내고있다 [34]. 이메모리소자에서그래핀물질은전하저장층으로사용하였으며, 가시광선영역에서 80% 이상의우수한투과도특성을보이는유연메모리를개발하였다 [34]. 투명한유연메모리소자는투명전자기기및웨어러블 / 플렉시블전자기기개발에반드시필요하므로, 이러한차세대전자기기개발에크게기여할것으로전망된다. 제 28 권제 6 호, 2015 년 11 월 13

11 특 / 집 / 마 / 당 요할것으로판단된다. 이를위해서유연메모리및집적회로소자의소재및소자구조에따라달라지는기계적, 열적, 광학적, 전기적특성에대한성능향상에관한연구와함께, 현재의메모리및집적회로소자의소재를충분히활용하면서웨어러블전자기기구동에서고려해야할외부환경변화에따른소자성능유지를위한웨어러블 / 플렉시블전자패키징기술에대한연구역시동시에이루어져야할것으로전망된다. 6. 참고문헌 그림 13. (a) 전하트랩메모리의제작과정을나타내는모식도및메모리소자단면도 (b) 유연메모리의광학적특성 (c) 유연메모리의전기적특성 [34] 5. 맺음말 현재까지보고된실리콘, 산화물, 유기물및탄소나노튜브 / 그래핀소재기반의유연메모리및집적회로소자의연구개발동향에대해서알아보았다. 웨어러블 / 플렉시블전자기기에실제로적용할수있는상용화가능수준의유연메모리및집적회로소자제작을위해서는아직해결해야할과제가많이남아있지만, 투명하거나유연한기판위에일정집적도이상의메모리및집적회로소자를구현할수있는공정요소기술이개발되고있다는측면에서유연전자소자의발전가능성은무궁무진하다. 웨어러블전자기기를구현하기위해서는전자소자의소형화, 저전력구동이필수적일뿐만아니라웨어러블전자기기에구동에서고려해야할외부환경변화에부합하는기계적특성 ( 다양한방향으로휘어지거나늘어나는상황 ), 열적특성 ( 신체의온도변화 ), 광학적특성 ( 투명전자소자 ) 등웨어러블전자기기에적합한전자소재를개발하는것이매우중 1. S. Kim, H. Y. Jeong, S. K. Kim, S. Y. Choi and K. J. Lee, Nano Lett. 11, 5438 (2011). 2. E. Menard, K. J. Lee, D. Y. Khang, R. G. Nuzzo and J. A. Rogers, Appl. Phys. Lett. 84, 5398 (2004). 3. K. J. Lee, M. A. Meitl, J. H. Ahn, J. A. Rogers, R. G. Nuzzo, V. Kumar and I. Adesida, J. Appl. Phys. 100, (2006). 4. M. J. Lee, C. B. Lee, D. Lee, S. R. Lee, M. Chang, J. H. Hur, Y. B. Kim, C. J. Kim, D. H. Seo, S. Seo, U. I. Chung, I. K. Yoo and K. Kim, Nat. Mater. 10, 625 (2011). 5. K. H. Kim, S. Gaba, D. Wheeler, J. M. Cruz-Albrecht, T. Hussain, N. Srinivasa and W. Lu, Nano Lett. 12, 389 (2012). 6. G. H. Kim, J. H. Lee, Y. Ahn, W. Jeon, S. J. Song, J. Y. Seok, J. H. Yoon, K. J. Yoon, T. J. Park and C. S. Hwang, Adv. Funct. Mater. 23, 1440 (2013). 7. E. Linn, R. Rosezin, C. Kugeler and R. Waser, Nat. Mater. 9, 403 (2010). 8. H. G. Yoo, S. Kim and K. J. Lee, RSC Adv. 4, (2014). 9. B. H. Mun, B. K. You, S. R. Yang, H. G. Yoo, J. M. Kim, W. I. Park, Y. Yin, M. Byun, Y. S. Jung and K. J. Lee, Acs Nano 9, 4120 (2015). 14 재료마당

12 10. G. T. Hwang, D. Im, S. E. Lee, J. Lee, M. Koo, S. Y. Park, S. Kim, K. Yang, S. J. Kim, K. Lee and K. J. Lee, Acs Nano 7, 4545 (2013). 11. D. Shahrjerdi and S. W. Bedell, Nano Lett. 13, 315 (2013). 12. H. S. P. Wong, H. Y. Lee, S. M. Yu, Y. S. Chen, Y. Wu, P. S. Chen, B. Lee, F. T. Chen and M. J. Tsai, Proc. IEEE 100, 1951 (2012). 13. J. W. Seo, S. J. Baik, S. J. Kang, Y. H. Hong, J. H. Yang and K. S. Lim, Appl. Phys. Lett. 98, (2011). 14. M. J. Lee, S. Seo, D. C. Kim, S. E. Ahn, D. H. Seo, I. K. Yoo, I. G. Baek, D. S. Kim, I. S. Byun, S. H. Kim, I. R. Hwang, J. S. Kim, S. H. Jeon and B. H. Park, Adv. Mater. 19, 73 (2007). 15. S. Kim and Y. K. Choi, Appl. Phys. Lett. 92, (2008). 16. H. Y. Jeong, Y. I. Kim, J. Y. Lee and S. Y. Choi, Nanotechnology 21, (2010). 17. C. H. Cheng, F. S. Yeh and A. Chin, Adv. Mater. 23, 902 (2011). 18. J. Yao, J. Lin, Y. H. Dai, G. D. Ruan, Z. Yan, L. Li, L. Zhong, D. Natelson and J. M. Tour, Nat. Commun. 3, 1101 (2012). 19. W. Lee, J. Park, S. Kim, J. Woo, J. Shin, G. Choi, S. Park, D. Lee, E. Cha, B. H. Lee and H. Hwang, Acs Nano 6, 8166 (2012). 20. S. Kim, J. H. Son, S. H. Lee, B. K. You, K. I. Park, H. K. Lee, M. Byun and K. J. Lee, Adv. Mater. 26, 7480 (2014). 21. T. Sekitani, T. Yokota, U. Zschieschang, H. Klauk, S. Bauer, K. Takeuchi, M. Takamiya, T. Sakurai and T. Someya, Science 326, 1516 (2009). 22. T. Sekitani, U. Zschieschang, H. Klauk and T. Someya, Nat. Mater. 9, 1015 (2010). 23. Y. Ji, B. Cho, S. Song, T. W. Kim, M. Choe, Y. H. Kahng and T. Lee, Adv. Mater. 22, 3071 (2010). 24. Y. Ji, D. F. Zeigler, D. S. Lee, H. Choi, A. K. Y. Jen, H. C. Ko and T. W. Kim, Nat. Commun. 4, 2707 (2013). 25. S. J. Kim and J. S. Lee, Nano Lett. 10, 2884 (2010). 26. S. K. Hwang, I. Bae, R. H. Kim and C. Park, Adv. Mater. 24, 5910 (2012). 27. T. W. Kim, D. F. Zeigler, O. Acton, H. L. Yip, H. Ma and A. K. Y. Jen, Adv. Mater. 24, 828 (2012). 28. K. J. Baeg, D. Khim, J. Kim, B. D. Yang, M. Kang, S. W. Jung, I. K. You, D. Y. Kim and Y. Y. Noh, Adv. Funct. Mater. 22, 2915 (2012). 29. P. Avouris, Z. H. Chen and V. Perebeinos, Nat. Nanotechnol. 2, 605 (2007). 30. I. Lahiri, V. P. Verma and W. Choi, Carbon 49, 1614 (2011). 31. S. Park, M. Vosguerichian and Z. A. Bao, Nanoscale 5, 1727 (2013). 32. H. Y. Jeong, J. Y. Kim, J. W. Kim, J. O. Hwang, J. E. Kim, J. Y. Lee, T. H. Yoon, B. J. Cho, S. O. Kim, R. S. Ruoff and S. Y. Choi, Nano Lett. 10, 4381 (2010). 33. S. K. Hwang, J. M. Lee, S. Kim, J. S. Park, H. I. Park, C. W. Ahn, K. J. Lee, T. Lee and S. O. Kim, Nano Lett. 12, 2217 (2012). 34. S. M. Kim, E. B. Song, S. Lee, J. F. Zhu, D. H. Seo, M. Mecklenburg, S. Seo and K. L. Wang, Acs Nano 6, 7879 (2012). 35. K. S. Kim, Y. Zhao, H. Jang, S. Y. Lee, J. M. Kim, K. S. Kim, J. H. Ahn, P. Kim, J. Y. Choi and B. H. Hong, Nature 457, 706 (2009). 36. S. P. Pang, Y. Hernandez, X. L. Feng and K. Mullen, Adv. Mater. 23, 2779 (2011). 제 28 권제 6 호, 2015 년 11 월 15

jaeryomading review.pdf

jaeryomading review.pdf 4 5 6 7 8 9 10 11 12 13 1. S. Kim, H. Y. Jeong, S. K. Kim, S. Y. Choi and K. J. Lee, Nano Lett. 11, 5438 (2011). 2. E. Menard, K. J. Lee, D. Y. Khang, R. G. Nuzzo and J. A. Rogers, Appl. Phys. Lett. 84,

More information

<30352DB1E2C8B9C6AFC1FD2028C8ABB1E2C7F6292036302D36362E687770>

<30352DB1E2C8B9C6AFC1FD2028C8ABB1E2C7F6292036302D36362E687770> 3D 나노-마이크로 프린팅 기술의 현황 홍 기 현 한국기계연구원 부설 재료연구소 표면기술 연구본부 3D Nano-micro Printing Technology Kihyon Hong Korea Institute of Materials Science, Gyeongnam 642-831, Korea Abstract: 최근 3D 프린팅 기술을 이용하여 마이크로, 나노

More information

Microsoft Word - BC litho.doc

Microsoft Word - BC litho.doc 블록공중합체리소그래피 (Block copolymer lithography) 블록공중합체는두가지이상의고분자가공유결합으로서로연결되어있는구조로 diblock copolymer, triblock copolymer 등으로분류될수있다. 두가지이상의서로성질의고분자가공유결합에의해연결되어있기때문에일정온도와압력에서상분리를하게되는데, 이때형성되는도메인의크기및모양은각각의고분자 segment

More information

02_4_특집_김태호_rev4_504-508.hwp

02_4_특집_김태호_rev4_504-508.hwp 특 집 Polymer Science and Technology Vol. 23, No. 5 양자점 발광다이오드 Colloidal Quantum Dot Light-Emitting Diodes 김태호 Tae-Ho Kim Frontier Research Lab, Samsung Advanced Institute of Technology, 97, Samsung2-ro,

More information

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer

패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 패션 전문가 293명 대상 앙케트+전문기자단 선정 2010.1 Fashionbiz CEO Managing Director Creative Director Independent Designer READY-TO-WEAR Fashionbiz 2010.1 1 2 3 4 5 6 7 8 9 9 2010.1 Fashionbiz

More information

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis 그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Display Panel(PDP) 의상용화가급속히이루어지면서기존의브라운관을대체해가고있다. 특히,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 교육문의 : 031-546-6245( 수원 ), 054-479-2185( 구미 ), 052-217-2640( 울산 ) 일월화수목금토 1 2 3 4 5 6 7 나노내부결정분석 8 9 10 11 12 13 14 나노박막증착공정 15 16 17 18 19 20 21 나노표면특성분석 나노결정물질구조및성분분석기술 22 23 24 25 26 27 28 29 30 나노광소자공정

More information

KAERIAR hwp

KAERIAR hwp - i - - ii - - iii - - iv - - v - - vi - Photograph of miniature SiC p-n and Schottky diode detector Photograph SiC chip mounted on a standard electrical package Photograph of SiC neutron detector with

More information

슬라이드 1

슬라이드 1 반도체소자 2012 학년도 2 학기 담당교수 : 김태환 ( 소속 : 융합전자공학부 ) 강의시간 : 1) 월 10:30-12:00 (H27-0209) 수 14:30-16:00 (H27-0209) Office : 공업센터별관 503-1 Office hour : 수요일 10:30 ~ 12:30 수업조교 : 안준성 (joon.ahn86@gmail.com, Tel :

More information

(A,a) (A, b) (B,a) (B,b) (C,a) (C, b) (D,a) (D,b) no potential potential = +0.9 V Oxidation peak (mv) Peak current (

(A,a) (A, b) (B,a) (B,b) (C,a) (C, b) (D,a) (D,b) no potential potential = +0.9 V Oxidation peak (mv) Peak current ( 의최신연구동향 그래핀을이용하여작은바이오물질들을검출한논문들을살펴보자 여기서는생물활동에매우중요한역할을하는 β-nicotinamide adenine dinucleotide (NADH), dopamine (DA) 검출에대하여알아보자. β-nicotinamide adenine dinucleotide(nad + ) 과이것의환원형태 (NADH) 는많은탈수효소의공동인자들로써탈수효소의

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

LCD

LCD , PC, TV 100, LG 50%. (CRT) 2000 (LCD) (PDP) LCD PDP LCD 70%. LCD (TFT), 3. 2010 (OLED) LCD. 8, TFT. TFT 0.5 cm 2 /Vs,. 1990. (low temperature poly silicon, LTPS) 80 cm 2 /Vs IC. LPTS /, TFT. 2004 InGaZnO

More information

탄소연속섬유복합체 제조기술 본분석물은교육과학기술부과학기술진흥기금을지원받아작성되었습니다.

탄소연속섬유복합체 제조기술 본분석물은교육과학기술부과학기술진흥기금을지원받아작성되었습니다. 탄소연속섬유복합체 제조기술 본분석물은교육과학기술부과학기술진흥기금을지원받아작성되었습니다. 머리말 제 1 장서론 1 제 2 장기술의개요 5 제 3 장기술동향분석 42 - i - 제 4 장탄소복합섬유시장전망 88 - ii - 제 5 장결론 107 참고문헌 111 표목차 - iii - 그림목차 - iv - - v - 1 서론 2 출처 : 한국섬유산업연합회, 최신섬유기술동향,

More information

융합WEEKTIP data_up

융합WEEKTIP data_up 2016 FEBRUARY vol.07 07 융합 인쇄전자기술 동향 김준혁 융합연구정책센터 발행일 2016. 02. 22 발행처 융합정책연구센터 융합 2016 FEBRUARY vol.07 인쇄전자기술 동향 김준혁 융합연구정책센터 선정 배경 인쇄전자산업은 2016년 300억 달러 규모에 도달할 것으로 예상되는 거대 시장이며, 차세대 태양광과 디스플레이 등에 활용이

More information

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종 [ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : 2013. 3 ~ 2013. 12 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종찬 ( 서울과학고 2학년 ) 소재원 ( 서울과학고 2학년 ) 1,.,.,.... surface

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

3저널(2월호)-사 16.2.2 3:26 PM 페이지31 DK

3저널(2월호)-사 16.2.2 3:26 PM 페이지31 DK 3저널(2월호)-사 16.2.2 3:26 PM 페이지30 DK IoT 및 웨어러블 디바이스 기술 담당위원 : 김동환 교수(서울과기대) THEME 01 사물인터넷(IoT) 기술동향과 전망 THEME 02 IoT 응용 및 적용사례 THEME 03 착용형 로봇(wearable robot)의 기술 현황 THEME 04 웨어러블 디바이스의 현재 가치와 미래 THEME

More information

[Fig. 4] (a) Properties of OLED as IWO films as anode. (b)fabrication process of QDLED and image of QDLED device using IWO films as anode. [Fig. 3] 정보

[Fig. 4] (a) Properties of OLED as IWO films as anode. (b)fabrication process of QDLED and image of QDLED device using IWO films as anode. [Fig. 3] 정보 바이오인터페이스 기술의 현재와 미래 성균관대학교 정보재료소자연구실(IMDL) 김한기 최근 정보통신 분야의 발전에 따라 기존의 다양한 어플 리케이션들은 평면성을 벗어나 이전부터 요구된 투명유 연하고 깨지지 않는 특성과 더불어 신축성을 가진 특성까 지 요구되고 있다. 이러한 흐름 속에서 투명 전극은 투명 하면서 전도성을 가지고 있는 전극 물질로서 디스플레이, 터치센서,

More information

<31345FC3E1B0E8C7D0C8B8BBF3BCF6BBF3C0DAC7C1B7CEC7CA5F726576355F3139312D3139372E687770>

<31345FC3E1B0E8C7D0C8B8BBF3BCF6BBF3C0DAC7C1B7CEC7CA5F726576355F3139312D3139372E687770> 삼성고분자학술상 김종만 한양대학교 공과대학 화학공학과 교수 1994 University of Maryland-College Park (박사) 1994-1996 UC-Berkeley (박사후 연구원) 1996-2000 한국과학기술연구원 선임연구원 2000-현재 한양대학교 화학공학과 교수 2010 한양대학교 연구분야 최우수교수상 2010-2012 한양대학교 화공생명공학부

More information

???춍??숏

???춍??숏 Suseong gu Council Daegu Metropolitan City www.suseongcouncil.daegu.kr Contents SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY 10 www.suseongcouncil.daegu.kr 11 SUSEONG GU COUNCIL DAEGU METROPOLITAN CITY

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

PowerPoint Presentation

PowerPoint Presentation Test 1. 일반적인측정용어 1.1 면저항 1.2 누설전류 1.3 파괴시험 1.4 Resolution 2. 산화막평가 2.1 확산층평가 2.2 산화막중의전하 2.3 절연파괴강도 2.4 장기신뢰성평가 3. Photo-etch 검사측정기술 3.1 검사측정기술 3.2 Selectivity, Etch-rate, Anisotropy 4. 박막평가 4.1 관련용어 a.

More information

Ⅰ. 석면 1 1) American Geological Institute, Glossary of geology, 2008, http://glossary.agiweb.org 2) US OSHA standard 29CFR1910.1001(b) 2 석면분석전문가양성교육교재 : 편광현미경을이용한고형시료중석면분석 1) Cornelis Klein, The Manual

More information

- 1 -

- 1 - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - ι κ λ β β β β β - 7 - - 8 - - 9 - - 1 - - 11 - 마. - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 2 - - 21 - - 22 - - 23 - - 24 - ι κ λ β β - 25 - - 26 - -

More information

Chap3.SiliconOxidation.hwp

Chap3.SiliconOxidation.hwp 반도체공정 Chap3. Silicon Oxidation 1 Chap. 3. Silicon Oxidation 주요내용 : - silicon dioxide(sio2) 를형성하기위한산화공정 - 산화공정과정의불순물의재분포현상 - SiO2 file의특성과두께측정방법 Why silicon in modern integrated circuit? Ge : 1950년대주로사용

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

첨단과학 술과기존소재를이용한복합형스트레처블전극기술을 중점으로연구동향을살펴보려한다. 2. 스트레처블기판 (Stretchable substrate) 기술 [Fig. 2] Applications of stretchable electronics including stretch

첨단과학 술과기존소재를이용한복합형스트레처블전극기술을 중점으로연구동향을살펴보려한다. 2. 스트레처블기판 (Stretchable substrate) 기술 [Fig. 2] Applications of stretchable electronics including stretch 차세대스트레처블전극의기술개발동향 https://doi.org/10.5757/vacmac.4.2.15 이상목, 임지은, 김한기 Technical trend of stretchable electrodes Sang-Mok Lee, Ji-Eun Lim, Han-Ki Kim This article reviews technical trend in research of

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

진공기술과첨단과학첨단과학 롤투롤공정을통한유기조명및디스플레이생산기술개발현황 정은, 김성진, 조성민 Production Technology Status of Organic Lighting & Display

진공기술과첨단과학첨단과학 롤투롤공정을통한유기조명및디스플레이생산기술개발현황   정은, 김성진, 조성민 Production Technology Status of Organic Lighting & Display https://doi.org/10.5757/vacmac.4.2.24 정은, 김성진, 조성민 Production Technology Status of Organic Lighting & Display via Roll-to-Roll Process Eun Jung, Sung-Jin Kim, Sung Min Cho Organic light-emitting diodes

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 특집 : TSV 를이용한 3 차원전자접합 차원실장을위한 의 충전및 칩적층기술 Cu Filling into TSV and Si Dice Stacking for 3 Dimension Packaging Myong-Hoon Roh, Sang-Yoon Park, Wonjoong Kim and Jae-Pil Jung 1. 서론 최근전자제품의소형화 다기능화의요구가증가함

More information

전기전자뉴스레터-여름호수정2

전기전자뉴스레터-여름호수정2 EE-Newsletter 2011. Volume 2 02 04 05 06 2011 / SUMMER 08 09 10 12 14 16 18 20 02 / EE Newsletter Korea Advanced Institute of Science and Technology / 03 04 / EE Newsletter Korea Advanced Institute of

More information

<4D F736F F D20352E20C0CCBBEAC8ADC5BABCD220C8AFBFF820C3D6BDC520BFACB1B820B5BFC7E >

<4D F736F F D20352E20C0CCBBEAC8ADC5BABCD220C8AFBFF820C3D6BDC520BFACB1B820B5BFC7E > 이산화탄소환원최신연구동향 (1): 나노구조설계 중앙대학교화학신소재공학부 오정현, 김수영 효과적인이산화탄소환원을위한방법중하나는전극혹은촉매를나노구조로새롭게설계하여도입하는것이다. Cu는이산화탄소를메탄으로환원하는데적절한금속이지만, 물질구조에따라환원성능이달라진다는특징을보이고있다 [1]. 이때문에표면적을넓혀환원효율을증대시키거나방향성을가진구조를개발함으로써선택도를높이려는시도가이어져왔다.

More information

Gelest Commercializes Diiodosilane to Meet Global Demand for Next-Generation Semiconductors

Gelest Commercializes Diiodosilane to Meet Global Demand for Next-Generation Semiconductors Client: Gelest Media contact: Mike Rubin 732-982-8238 mike.rubin@hapmarketing.com For Immediate Release GELEST, INC. COMMERCIALIZES DIIODOSILANE TO MEET GLOBAL DEMAND FOR NEXT-GENERATION SEMICONDUCTORS

More information

CHEE 321 CHEMICAL REACTION ENGINEERING

CHEE 321 CHEMICAL REACTION ENGINEERING WELCOME TO CHEMICAL REACTION ENGINEERING (Spring 2015) Joong Kee Lee http://aempl.kist.re.kr/ Course Structure/Outline Refer to Syllabus CHEE 309 - TEXTBOOKS/RESOURCES Recommended Text Elements of Chemical

More information

그래핀과나노패터닝 중앙대학교화학신소재공학부 김수영 그래핀의우수한전하수송특성에도불구하고그래핀의밴드갭이 kt 이상이되 지못하여전기소자로의적용이제한되고있다. [1] 즉그래핀이도체의성질을띄 기에반도체로사용하기에제한이따르는단점이있다. 이러한문제점을해결하고 자 quantum co

그래핀과나노패터닝 중앙대학교화학신소재공학부 김수영 그래핀의우수한전하수송특성에도불구하고그래핀의밴드갭이 kt 이상이되 지못하여전기소자로의적용이제한되고있다. [1] 즉그래핀이도체의성질을띄 기에반도체로사용하기에제한이따르는단점이있다. 이러한문제점을해결하고 자 quantum co 그래핀과나노패터닝 중앙대학교화학신소재공학부 김수영 그래핀의우수한전하수송특성에도불구하고그래핀의밴드갭이 kt 이상이되 지못하여전기소자로의적용이제한되고있다. [1] 즉그래핀이도체의성질을띄 기에반도체로사용하기에제한이따르는단점이있다. 이러한문제점을해결하고 자 quantum confinement 효과를이용하여그래핀의밴드갭을늘이고자하는노력이 많이경주되고있다. 전자선리소그래피를이용하여형성된그래핀나노리본의밴

More information

hwp

hwp 물리학과첨단기술의세계 차세대비휘발성메모리최근연구동향 비휘발성메모리기술의개요 모바일및디지털정보통신산업, 가전산업의급속한발달로인하여 DRAM 일변도만으로는수년내에국내반도체산업은큰위기를맞을수있다. 그이유는현재모바일, 디지털환경에대응하기위해 DRAM 이나플래시메모리 (Flash Memory) 를논리소자와결합시킨 embedded 메모리는제조공정상의여러어려움뿐만아니라,

More information

목 차 회사현황 1. 회사개요 2. 회사연혁 3. 회사업무영역/업무현황 4. 등록면허보유현황 5. 상훈현황 6. 기술자보유현황 7. 시스템보유현황 주요기술자별 약력 1. 대표이사 2. 임원짂 조직 및 용도별 수행실적 1. 조직 2. 용도별 수행실적

목 차 회사현황 1. 회사개요 2. 회사연혁 3. 회사업무영역/업무현황 4. 등록면허보유현황 5. 상훈현황 6. 기술자보유현황 7. 시스템보유현황 주요기술자별 약력 1. 대표이사 2. 임원짂 조직 및 용도별 수행실적 1. 조직 2. 용도별 수행실적 用 役 指 名 願 금번 貴 社 에서 실시하고자 하는 用 役 에 참여하고자 當 社 의 指 名 願 을 提 出 하오니 審 査 하시고 指 名 하여 주시면 감사하겠습니다. 2014년 (주)하우드 엔지니어링 종합건축사사무소 대표이사 문 홍 길 대표이사 채 희 대표이사 이 재 규 대표이사 김 성 우 SUBMISSION We are submitting our brochure

More information

보도자료 꿈의디스플레이 대량생산눈앞에... - 기계연, 롤전사를이용한마이크로 LED 제조기술세계최초개발 - - 전력소모량 1/2 절감, 생산시간 1 만배단축, 6 개기업기술이전 - (, ) (, ) LED.. ㅇ ( ) 1), 3

보도자료 꿈의디스플레이 대량생산눈앞에... - 기계연, 롤전사를이용한마이크로 LED 제조기술세계최초개발 - - 전력소모량 1/2 절감, 생산시간 1 만배단축, 6 개기업기술이전 - (, ) (, ) LED.. ㅇ ( ) 1), 3 http://www.msip.go.kr 보도자료 꿈의디스플레이 대량생산눈앞에... - 기계연, 롤전사를이용한마이크로 LED 제조기술세계최초개발 - - 전력소모량 1/2 절감, 생산시간 1 만배단축, 6 개기업기술이전 - (, ) (, ) LED.. ㅇ ( ) 1), 3 LED 2). ㅇ 4,,.. LED LED 1. ㅇ. TFT 3), LED TFT 4) LED.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 25(1), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Jan.; 25(1), 47 52. http://dx.doi.org/10.5515/kjkiees.2014.25.1.47 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Circuit

More information

<4D F736F F F696E74202D20B9DDB5B5C3BCB0F8C1A426B8DEB8F0B8AEBFEBBDC5BCD2C0E75FBEF7B7CEB5E52E707074>

<4D F736F F F696E74202D20B9DDB5B5C3BCB0F8C1A426B8DEB8F0B8AEBFEBBDC5BCD2C0E75FBEF7B7CEB5E52E707074> Chap. 1 Information/Communication Technology 반도체칩제조공정및메모리반도체 Advanced Materials and Future Technology Fabrication Processes of Semiconductor Chips ( 반도체칩제조공정 ) IC (Integrated Circuit) Devices ( 집적회로소자

More information

<30322DC0CCC1A4BFC02E687770>

<30322DC0CCC1A4BFC02E687770> KIC News, Volume 12, No. 4, 2009 13 기획특집 - CNT (carbon nano tube) 기술 탄소나노튜브기반센서의동향및향후전망이정오 한국화학연구원화학소재단 Recent Progresses and the Prospect in Carbon Nanotube-based Sensors Jeong-O Lee Advanced Materials

More information

05À±Á¸µµ

05À±Á¸µµ Characterization Technology and Reliability (Recent Technology for the Characterization of Nanomaterials by Electron Microscopy) jdyun@kyungnam.ac.kr....,...,,,,,,,,,,...... 1980 (STM) (AFM).,,. 1,2) 2000

More information

뉴스래터수정12.20

뉴스래터수정12.20 News Letter Vol.9 2016 CONTENTS 03 04 06 10 13 14 16 18 19 BIOSCI NEWS Greetings 02 2016 + Winter News 01 03 BIOSCI NEWS 02 04 2016 + Winter 03 05 BIOSCI NEWS News 01 02 06 2016 + Winter 03 04 07 BIOSCI

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

융합WEEKTIP-2016-2-4data_up

융합WEEKTIP-2016-2-4data_up 2016 FEBRUARY vol.08 08 융합 OLED 봉지기술 (Encapuslation ) 의 현황과 전망 김의권 융합연구정책센터 발행일 2016. 02. 29 발행처 융합정책연구센터 융합 2016 FEBRUARY vol.08 OLED 봉지기술(Encapuslation )의 현황과 전망 김의권 융합연구정책센터 개요 봉지기술은 적용분야와 관계없이 OLED

More information

차분 이미지 히스토그램을 이용한 이중 레벨 블록단위 가역 데이터 은닉 기법 1. 서론 멀티미디어 기술과 인터넷 환경의 발달로 인해 현대 사회에서 디지털 콘텐츠의 이용이 지속적 으로 증가하고 있다. 이러한 경향과 더불어 디지털 콘텐츠에 대한 소유권 및 저작권을 보호하기

차분 이미지 히스토그램을 이용한 이중 레벨 블록단위 가역 데이터 은닉 기법 1. 서론 멀티미디어 기술과 인터넷 환경의 발달로 인해 현대 사회에서 디지털 콘텐츠의 이용이 지속적 으로 증가하고 있다. 이러한 경향과 더불어 디지털 콘텐츠에 대한 소유권 및 저작권을 보호하기 보안공학연구논문지 (Journal of Security Engineering), 제 10권 제 2호 2013년 4월 차분 이미지 히스토그램을 이용한 이중 레벨 블록단위 가역 데이터 은닉 기법 조성환 1), 윤은준 2), 유기영 3) Twice Level Block-based Reversible Data Hiding Scheme using Difference

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

12½ÅÇöÁ¤

12½ÅÇöÁ¤ Characterization Technology and Reliability (Local Characterization by Atomic Force Microscopy (AFM)) hjshin@kookmin.ac.kr, 20. 1Tb Gb/s. 10-9 m (Nanotechnology) 21,,,,,.,,,. 1981 Gerd Binnig Heinrich

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

라온피플 주식회사

라온피플 주식회사 Microbolometer 설계동향 라온피플 2011. 8 2011-08-10 1 Introduction Bolometer A device for measuring the power of incident electromagnetic radiation via the heating of a material with a temperature-dependent electrical

More information

untitled

untitled 3. 농업환경연구과 과제구분 기본연구 수행시기 전반기 연구과제 및 세부과제 수행 기간 소 속 책임자 농가에 적합한 부식성곤충 대량 사육기술 개발 12~ 13 농업환경연구과 곤충팀 이영혜 1) 부식성 곤충 먹이 제조 기술 개발 12~ 13 농업환경연구과 곤충팀 이영혜 색인용어 부식성곤충, 장수풍뎅이, 계통, 먹이제조 ABSTRACT In first check,

More information

<30352DBCF6C1A42DC8ABBCAEBFF85FBBE7C1F8C3DFB0A12E687770>

<30352DBCF6C1A42DC8ABBCAEBFF85FBBE7C1F8C3DFB0A12E687770> 플렉시블 / 웨어러블일렉트로닉스최신연구동향 강석희 홍석원 大韓熔接 接合學會誌第 32 卷 3 號別冊 2014. 6 34 특집논문 ISSN 1225-6153 Online ISSN 2287-8955 플렉시블 / 웨어러블일렉트로닉스최신연구동향 강석희 * 홍석원 *, * 부산대학교나노과학기술대학인지메카트로닉스공학과 Recent Progress in Flexible/Wearable

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

농학석사학위논문 폴리페닐렌설파이드복합재료의기계적및열적 특성에영향을미치는유리섬유 환원된 그래핀옥사이드복합보강재에관한연구 The combined effect of glass fiber/reduced graphene oxide reinforcement on the mecha

농학석사학위논문 폴리페닐렌설파이드복합재료의기계적및열적 특성에영향을미치는유리섬유 환원된 그래핀옥사이드복합보강재에관한연구 The combined effect of glass fiber/reduced graphene oxide reinforcement on the mecha 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

00....

00.... Fig. 1 2.5%. 51.5%, 46.0%,.. /, Table 1 (U.V.; Ultraviolet 10-400 nm)/ (NIR; Near Infrared 700 nm - 5 µm) ( TiO 2, WO 3, ZnO, CeO, ATO, Sb 2O 3-ZnO, ITO.) (400 nm - 780 nm). /. Fig. 1.. 23 Table 1. / /

More information

<C1A4C0E7B0E62E687770>

<C1A4C0E7B0E62E687770> 기술특집 플렉서블 OLED 디스플레이용 TFT 기판및전극기술동향 정재경, 설현주, 이지원, 김민재, 허재석 ( 한양대학교 ) AMOLED 는자발광으로시야각에제한이없는선명한화질을구현할수있고, 고속응답이가능하여빠른동영상구현이가능할뿐만아니라, 얇게만들수있는장점때문에최근급속한발전을하고있다. 최근에는모바일디스플레이는물론이고대형 TV 영역의전응용제품에채용되고있다. 삼성전자는

More information

Analysis of teacher s perception and organization on physical education elective courses Chang-Wan Yu* Korea Institute of curriculum and evaluation [Purpose] [Methods] [Results] [Conclusions] Key words:

More information

진공이야기 3권 1호-최종(내지).indd

진공이야기 3권 1호-최종(내지).indd Trend and issues of the bulk FinFET Jong-Ho Lee and Kyu-Bong Choi FinFETs are able to be scaled down to 22 nm and beyond while suppressing effectively short channel effect, and have superior performance

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

12¿ù06ƯÁý-06

12¿ù06ƯÁý-06 21..,.. SOI(Silicon on Insulator) SOI IC., Sub-systemisolation.. SiC, GaN, AlN, ZnO SiC,,, (Silicon Carbide) 21. 1) 1000~2700 (Fig. 1). 3C, 4H, 6H, 15R, 200, Hexagonal type. 2),, Micropipe, Fig. 1. SiC.

More information

<4D F736F F D205FB8DEB8AEC3F720C1F6B8F1C7F65FBBEABEF75F4A4D485FBBEAC8ADB9B F FBCF6C1A42E646F63>

<4D F736F F D205FB8DEB8AEC3F720C1F6B8F1C7F65FBBEABEF75F4A4D485FBBEAC8ADB9B F FBCF6C1A42E646F63> Industry Brief Analyst 지목현 (6309-4650) mokhyun.ji@meritz.co.kr 가전전자부품/디스플레이 2012. 11.28 Overweight Top pick LG디스플레이(034220) Buy, TP 40,000원 산화물TFT, 2013년 디스플레이의 뜨거운 감자 2013년 산화물TFT는 태블릿 중심으로 본격적인 적용 확대

More information

12-17 총설.qxp

12-17 총설.qxp 나노기반정보 에너지사업본부 나노기술 (NT) 정보기술 (IT) 에너지기술 (ET) 융합 신성장동력창출할원천기술개발 나노기반정보 에너지사업본부 연구책임자 김창균본부장 주소 대전시유성구가정로 141 한국화학연구원내나노기반정보 에너지사업본부 전화 042-860-7354 이메일 cgkim@krict.re.kr 홈페이지 www.niet.re.kr 임인재객원기자 / mimohhh@naver.com

More information

제 1 장 집적회로 개요

제 1 장  집적회로 개요 실험 #2-A 반도체다이오드의특성실험 1. 실험목적 다이오드의특성에대해조사한다. 2. 서론 모든반도체다이오드는단향적특성을가지고있다. 순방향저항은매우낮은반면에역방향저항은매우높기때문이다. 다이오드에대한전압대전류의곡선을그려가며구체적으로그특성을조사한다. 3. 관련이론 다이오드내부저항 V D V D V T r D Ideal diode I D I D 다이오드의순방향저항

More information

nano(편집) hwp

nano(편집) hwp Regular Paper J. Korean Inst. Electr. Electron. Mater. Eng. Vol. 28, No. 10, pp. 652-657 October 2015 DOI: http://dx.doi.org/10.4313/jkem.2015.28.10.652 ISSN 1226-7945 (Print), 2288-3258 (Online) 충남대학교에너지과학기술대학원에너지과학기술학과

More information

<4D F736F F F696E74202D20352E20C7D1BFEBB1D420B0F8C1A4B1E2C3CAB1B3C0B B3E22031BFF929>

<4D F736F F F696E74202D20352E20C7D1BFEBB1D420B0F8C1A4B1E2C3CAB1B3C0B B3E22031BFF929> Plasma Display Panel 의공정기술 한용규 dbgmaco79@gmail.com Charged Particle Beam & Plasma Lab. / PDP Research Center Department of Electrophysics, Kwangwoon University, Seoul, Korea Contents 1. 개요 2. PDP의구조 3.

More information

Sep 1415, 2017 프로그램 시간 09 월 14 일 ( 목 ) 09 월 15 일 ( 금 ) Theme OLED 의구조와원리이해 TFT 와투명전극의이해 디스플레이산업및차세대개발트렌드 TFT 소재연구및개발방향 10:00 11:00 디스플레이산업현황및동향 디스플레이용

Sep 1415, 2017 프로그램 시간 09 월 14 일 ( 목 ) 09 월 15 일 ( 금 ) Theme OLED 의구조와원리이해 TFT 와투명전극의이해 디스플레이산업및차세대개발트렌드 TFT 소재연구및개발방향 10:00 11:00 디스플레이산업현황및동향 디스플레이용 Sep 1415, 2017 일시 2017 년 9 월 14~15 일 ( 목 ~ 금 ) 장소 여의도전경련회관 Copyright Chemical Market Research Inc. Sep 1415, 2017 프로그램 시간 09 월 14 일 ( 목 ) 09 월 15 일 ( 금 ) Theme OLED 의구조와원리이해 TFT 와투명전극의이해 디스플레이산업및차세대개발트렌드

More information

<30365F28BFCFB7E129BEC8BAB4C5C22E687770>

<30365F28BFCFB7E129BEC8BAB4C5C22E687770> Current Photovoltaic Research 3(1) 27-31 (2015) pissn 2288-3274 Sulfurization 온도와 Cu/(In+Ga) 비가 Cu(In,Ga)Se 2 박막 내 S 함량에 미치는 영향 고영민ㆍ김지혜ㆍ신영민ㆍR. B. V. Chalapathyㆍ안병태* 한국과학기술원 신소재공학과, 대전시 유성구 대학로 291, 305-338

More information

26(2)-04(손정국).fm

26(2)-04(손정국).fm Ñ s k w 1Á y 2 Á û 1 Á½ z 1 Á½ 1* 1 ³ w yw, 2 w» (*E-mail: jimankim@skku.edu) 1. m ƒ w»» w 4»» y š. w 4»» l, l, l w» w» k. w»» { ƒ j. x š x w ƒwš k (Direct Methanol Fuel Cell: DMFC)[1]ƒ wù ƒ w š. DMFC

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

특허청구의범위청구항 1 반도체층 ; 상기반도체층위에형성된전도성질화물로이루어진사이층 ; 및상기전도성질화물사이층위에형성되며, 상기전도성질화물사이층을통해상기반도체층에스핀을주입하는, 강자성재료로이루어진스핀주입전극층 ; 을포함하여이루어지며, 상기반도체층과상기전도성질화물사이층의계

특허청구의범위청구항 1 반도체층 ; 상기반도체층위에형성된전도성질화물로이루어진사이층 ; 및상기전도성질화물사이층위에형성되며, 상기전도성질화물사이층을통해상기반도체층에스핀을주입하는, 강자성재료로이루어진스핀주입전극층 ; 을포함하여이루어지며, 상기반도체층과상기전도성질화물사이층의계 (51) Int. Cl. (19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) H01L 27/105 (2006.01) (21) 출원번호 10-2008-0070334( 분할 ) (22) 출원일자 2008 년 07 월 18 일 심사청구일자 2008 년 07 월 18 일 (65) 공개번호 10-2008-0070806 (43) 공개일자 2008 년 07 월

More information

17(1)-06.fm

17(1)-06.fm Krean J. Crystallgraphy Vl., N. 1, pp.14~18, 006 LP-MOCVD w ZnO ù Ÿw p Á yá * w w» w» l Structural and Optical Prperties f ZnO Nanwires Synthesized by LP-MOCVD Prcess Yung-Jin Chi, Jae-Hwan Park and Jae-Gwan

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 특집 : 3D 마이크로시스템패키징및장비 Cu Filling into TSV and non-pr Sn bumping for 3 Dimension Chip Packaging Sung-Chul Hong, Wang-Gu Lee, Jun-Kyu Park, Won-Joong Kim and Jae-Pil Jung 1. 서론 Limit Sensor Through 17개이상

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

386-390.hwp

386-390.hwp 386 HANYANG MEDICAL REVIEWS Vol. 29 No. 4, 2009 우리나라 미숙아의 통계와 의료비용 Statistics and Medical Cost of Preterm in Korea 윤혜선 을지대학교 노원을지병원 소아청소년과학교실 Hye Sun Yoon, M.D., Ph.D., Department of Pediatrics, Nowon

More information

Analysis of objective and error source of ski technical championship Jin Su Seok 1, Seoung ki Kang 1 *, Jae Hyung Lee 1, & Won Il Son 2 1 yong in Univ

Analysis of objective and error source of ski technical championship Jin Su Seok 1, Seoung ki Kang 1 *, Jae Hyung Lee 1, & Won Il Son 2 1 yong in Univ Analysis of objective and error source of ski technical championship Jin Su Seok 1, Seoung ki Kang 1 *, Jae Hyung Lee 1, & Won Il Son 2 1 yong in University & 2 Kang Won University [Purpose] [Methods]

More information

18103.fm

18103.fm J. of the Korean Sensors Society Vol. 18, No. 1 (009) pp. 8 3 VO w PCM yá Á Á Ÿ Built-in protection circuit module by using VO temperature sensors K. H. Song, J. B. Choi, M. W. Son, and K. S. Yoo Abstract

More information

Microsoft Word - AFM-6.doc

Microsoft Word - AFM-6.doc Reading-Writing Writing-Measuring Tool 로서의 Atomic Force Microscopy 의최신연구동향 - Writing tool 로서의 AFM (2) - 광운대학교화학공학과김영훈교수 들어가며 이번강좌는 writing tool로서의 AFM 활용중에 anodic oxidation에관해집중조명하고자한다. 양극산화법은주로실리콘웨이퍼를이용하여표면의산화를유도한양각패턴에주로활용된다.

More information

18211.fm

18211.fm J. of the Korean Sensors Society Vol. 18, No. 2 (2009) pp. 168 172 p k ù p p l xá xá ³ Á *Á w * Fabrication of the CNT-FET biosensors with a double-gate structure Byunghyun Cho, Byounghyun Lim, Jang-Kyoo

More information

슬라이드 1

슬라이드 1 GaN 기판제작공정 시스넥스기술연구소 박기연 내 용 1. 시스넥스및 HVPE 장비소개 2.GaN 기판제작개요 3. GaN Epi 공정 (HVPE 방법 ) 4. GaN LLO 공정 5. GaN polishing 공정 시스넥스소개 (4-1) 회사연혁및사업분야 2000. 05 회사설립 2001. 05 6x2 GaN MOCVD 개발 ( 국내및중국납품 ) 2004.

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

Microsoft PowerPoint - Chapter4&6(강의용)

Microsoft PowerPoint - Chapter4&6(강의용) h. 4 반도체소자 반도체 : 상온에서도체와부도체의중간쯤에해당하는전기전도도를가지는물질 불순물첨가 (doping) 또는결함으로인해서전기전도도가매우크게변함. 주기율표에서 4 족, 3-5 족, 2-6 족화합물 (Si, Ge, GaAs, AlAs etc. ) c = 6.708 Å 1 원자가규칙적정렬을하는고체에서전자의상태 : 에너지밴드 E U E g a E V a 0

More information

PowerPoint Presentation

PowerPoint Presentation 전자회로 SEMICONDUCTOR P 1 @ INDEX 1. Conductors, Insulators, Semiconductors 2. Conduction in Semiconductors 3. The N-Type and P-Type Semiconductors 4. The PN Junction P 2 1.1 Conductors Insulators Semiconductors

More information

?

? http://kfaexpo.kr/ The 40th Korea Franchise Business Expo 2017 JUNE - Vol.23 2017 JUNE - Vol.23 2017 in Busan COVER STORY SPEACIAL REPORT GUIDE POST PEOPLE & STORY ASSOCIATION NEWS Ҷ

More information

, Next Step of Hangul font As an Example of San Serif Han San Seok Geum ho, Jang Sooyoung. IT.. Noto Sans(Adobe, Han-San). IT...., Muti Script, Multi

, Next Step of Hangul font As an Example of San Serif Han San Seok Geum ho, Jang Sooyoung. IT.. Noto Sans(Adobe, Han-San). IT...., Muti Script, Multi » 11«2014 12 12 2 7,, ;,, 1946,, ;, 2015,» 10: «Korean Society of Typography»Conference 11«12 December 2014, 2 7 pm, Hansung University DLC, Seoul Seok Geum ho; Jang Sooyoung, Next Step of Hangeul Font

More information

공개특허 (19) 대한민국특허청 (KR) (12) 공개특허공보 (A) (11) 공개번호 (43) 공개일자 2013년09월25일 (51) 국제특허분류 (Int. Cl.) B65D 25/14 ( ) C23

공개특허 (19) 대한민국특허청 (KR) (12) 공개특허공보 (A) (11) 공개번호 (43) 공개일자 2013년09월25일 (51) 국제특허분류 (Int. Cl.) B65D 25/14 ( ) C23 (19) 대한민국특허청 (KR) (12) 공개특허공보 (A) (11) 공개번호 10-2013-0104189 (43) 공개일자 2013년09월25일 (51) 국제특허분류 (Int. Cl.) B65D 25/14 (2006.01) C23C 16/26 (2006.01) C08J 7/00 (2006.01) (21) 출원번호 10-2012-0025472 (22) 출원일자

More information

특허청구의범위청구항 1 반도체층 ; 상기반도체층위에형성된전도성질화물로이루어진사이층 ; 및상기전도성질화물사이층위에형성되며, 상기전도성질화물사이층을통해상기반도체층에스핀을주입하는, 강자성재료로이루어진스핀주입전극층 ; 을포함하여이루어지며, 상기전도성질화물사이층에전이금속이 1

특허청구의범위청구항 1 반도체층 ; 상기반도체층위에형성된전도성질화물로이루어진사이층 ; 및상기전도성질화물사이층위에형성되며, 상기전도성질화물사이층을통해상기반도체층에스핀을주입하는, 강자성재료로이루어진스핀주입전극층 ; 을포함하여이루어지며, 상기전도성질화물사이층에전이금속이 1 (51) Int. Cl. (19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) H01L 27/105 (2006.01) (21) 출원번호 10-2006-0128886 (22) 출원일자 2006 년 12 월 15 일 심사청구일자 2006 년 12 월 15 일 (65) 공개번호 10-2008-0055492 (43) 공개일자 2008 년 06 월 19 일

More information

팬도캐드소개

팬도캐드소개 제목 : 4 층 50Ω, 55Ω, 90Ω Diff,100Ω Diff (1.46T) PCB 재질 : FR4( Er = 4.4 ) 외층 / 내층 : 1 Oz PCB 두께 : 1.46T ±10% CCL= 1.2T C 1/1 L3 0.08mm 0.08mm 0.09mm 0.09mm 0.26mm 0.26mm 프리프레그 (PrePreg) : 1080 0.06 mm, 2116

More information

090119.hwp

090119.hwp 표면물리 분야에서의 전자구조 계산 홍 석 륜 들어가기 - 표면물리 계산분야의 중요성 20세기 말부터 정보통신의 중요성이 크게 인식되면서 그 기반 을 제공하는 반도체소자에 대한 연구가 더욱 중요하게 되었 다. [1,2] 정보통신사회를 지향해 나가고 있는 현대 산업사회에서는 더욱 많은 양의 정보를 더욱 빠른 속도로 처리, 저장, 전달할 필요 성이 증가되어 왔고,

More information

14(1)-[01] 02. Analysis (이상순).fm

14(1)-[01] 02. Analysis (이상순).fm 반도체디스플레이기술학회지제 14 권제 1 호 (2015 년 3 월 ) Journal of the Semiconductor & Display Technology, Vol. 14, No. 1. March 2015. 대면적 OLED 면광원을위한 PEDOT : PSS 슬롯다이코팅 최광준 이진영 전경준 유수호 박종운 서화일 서유석 * 한국기술교육대학교전기 전자 통신공학부

More information

01.fm

01.fm [ 논문 ] 한국재료학회지 http://dx.doi.org/10.3740/mrsk.2015.25.9.429 Korean J. Mater. Res. Vol. 25, No. 9 (2015) Pt 나노입자가분산된 SiO 2 박막의저항 - 정전용량관계 최병준 서울과학기술대학교신소재공학과 Relation between Resistance and Capacitance

More information

기술특집 [ 그림 1] AMOLED용 LTPS TFT 기판의단면구조 [9] (a) NMOS 로 OLED를구동하는구조 TFT 구조를두꺼운 (3μm내외 ) 유기층으로덮는다. 각화소의휘도를결정하는전압정보는저장용축전기 (C st ;storage capacitor) 에저장되는데

기술특집 [ 그림 1] AMOLED용 LTPS TFT 기판의단면구조 [9] (a) NMOS 로 OLED를구동하는구조 TFT 구조를두꺼운 (3μm내외 ) 유기층으로덮는다. 각화소의휘도를결정하는전압정보는저장용축전기 (C st ;storage capacitor) 에저장되는데 기술특집 AMOLED 용 LTPS TFT 기술 박기찬 ( 건국대학교전자공학부 ) Ⅰ. 서론 현재가장빠르게성장하고있는디스플레이기술인 AMOLED(active-matrix organic light-emitting diode) 디스플레이는 LTPS(low-temperature polycrystalline silicon) TFT(thin film transistor)

More information

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및 (19) 대한민국특허청(KR) (12) 공개특허공보(A) (11) 공개번호 10-2014-0033653 (43) 공개일자 2014년03월19일 (51) 국제특허분류(Int. Cl.) G06F 9/44 (2006.01) G06F 15/16 (2006.01) (21) 출원번호 10-2012-0099738 (22) 출원일자 2012년09월10일 심사청구일자 없음

More information