매뉴얼

Size: px
Start display at page:

Download "매뉴얼"

Transcription

1 USB-DIO6400 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document as their own property. Information furnished by DAQ system is believed to be accurate and reliable. However, no responsibility is assumed by DAQ system for its use, nor for any infringements of patents or other rights of third parties which may result from its use. No license is granted by implication or otherwise under any patent or copyrights of DAQ system. The information in this document is subject to change without notice and no part of this document may be copied or reproduced without the prior written consent. Copyrights 2007 DAQ system, All rights reserved

2 목 차 1. Introduction 2. USB-DIO6400 내부블록도 3. 보드설명 3.1 외형도 3.2 커넥터핀맵 USB 커넥터 : JP D-SUB 37Pin Socket : J D-SUB 37Pin Plug : J2 3.3 디지털입력회로 3.4 디지털출력회로 3.5 전원옵션설정 JP2 점퍼설정 J7 점퍼설정 JP7 점퍼설정 4. 장치설치 4.1 하드웨어설치 제품내용물 4.2 드라이버설치 5. 샘플프로그램설명 5.1 프로그램인터페이스 5.2 기능설명 Reference -2-

3 1. Introduction USB-DIO6400 보드는 32 비트절연디지털입 / 출력보드로산업용 PC들과완벽하게호환되며, Full Speed(12Mb/s) USB 인터페이스를사용하는보드이다. USB-DIO6400은 USB 케이블에서전원을공급받아서동작을하므로별도의외부전원을공급하여사용하지않는다. 이보드의모든제어는 FPGA (Field Programmable Gate Array) 로설계되어기능보강이나수정이자유로우며사용자의요구에쉽게업그레이드가가능하다. DAQ System Digital I/O 제품들 Product No. In/Out Timer/Counter Specification cpci-dio /32 Isolated Input/Output cpci-dio channels Software Configurable 16bit 단위 8Group 로 Read/Write PCI-DIO /32 Isolated Input/Output PCI-DIO /None Isolated Input PCI-DIO6402 None/64 Isolated Output PCI-DIO01 32/32 Software Configurable 1/1 TTL Level Input/Output 32bit Counter/Timer PCI-DIO02 PCI-DIO12 PCI-MOT channels Software Configurable 16/16 or 32/32 Software Configurable 24/24 (Isolated) 1/1 16bit 단위 8Group 로 Read/Write 128Mbyte DDR SDRAM Data transfer rate up to 400Mb/s 1 Channel PWM, 2 Channel Encoder, 1 Channel ADC PCI-PWM02 6/12(Isolated) 4 Channel Triggered PWM outputs PCI-TC03 16/16 8/8 PCI-EK01 24(shared) 1/1(32bit) 32bit resolution Differential Counter/Timer 12bit 8 Channel A/D Input 12bit 8 Channel D/A Output PCIe-DIO05 32/32 TTL Level Input/Output USB-AIO10 USB-DIO12800 USB-DIO /32 USB-MULTI 24/24(Isolated) 128 channels Software Configurable 2/None 4-Ch Analog Input and Output RS ,200bps Interface 16bit 단위 8Group 로 Read/Write Isolated Input/Output 12Mbps Isolated Input/Output 16bit Counter In & Timer Out USB-PWM10 6/8(Isolated) 4 Channel Triggered PWM outputs -3-

4 USB-DIO6400 보드는 PC에서 USB 인터페이스를통하여외부센서나 Actuator로디지털신호를주고받으며원하는기능을수행할수있는장치이다. Digital Input 32개, Digital Output 32개를가지고다양한신호와연동하여사용할수가있다. 제품의동작은사용자프로그램 API 호출에의하여제어되며아래의그림은제품의연동동작을그림으로나타내고있다. [ 그림 1. USB-DIO6400 사용예 ] [ 그림 1-1] 에서보면, USB-DIO6400 은 USB 케이블에서전원을공급받아서동작을하므로별도의 외부전원을공급하여사용하지않는다

5 2. USB-DIO6400 내부블럭도 아래그림에서보듯이 USB-DIO6400의경우 USB 통신을 Micro Controller에서담당을하고그외 I/O 제어는 FPGA에서담당하고있다. 주기능으로는디지털입 / 출력이있다. 이러한기능들은 USB 인터페이스를통하여 PC에서 API를이용하여수행된다. 제품은 USB 인터페이스를통하여 5V 전원을공급받아제어부의전원으로사용한다. [ 그림 2. USB-DIO6400 Internal Block Diagram] USB-DIO6400 의경우 [ 그림 2] 와같이 Isolated 한 32 개의디지털입력포트와 32 개의디지털출 력포트를가지고외부와인터페이스를할수있도록구성되어있다. GENERAL DESCRIPTION USB Full Speed device USB HID Interface 4ch Triggered PWM output Digital I/O 4 channel Isolated input voltage up to 24V Compact, half-size PCB -5-

6 APPLICATION Data acquisition Laboratory instrumentation Process control systems Factory automation SPECIFICATION Isolated Digital Input Number of Channels : 32 Number of Common Input : 4 Maximum Input Range(Non-polarity) : 24V Digital Logic Levels : Input High level 5 ~24V Input Low voltage 0 ~ 1.5V Input Resistance : 4.7Kohm@1.2W Isolation Voltage : 2500Vrms Isolated input voltage up to 24V Data Transfer : Programmed I/O Isolated Digital Output Number of Channels : 32 Output type : Open collector Darlington transistor Sink Current : 500mA for one 100% duty 500mA for all 20% duty Power Dissipation : Max 2.36W per chip (8DO channels) Supply Voltage : 5V USB or isolated 5V(inside equipped) Isolation Voltage : 5000Vrms Data Transfer : Programmed I/O SOFTWARE Operating System Windows 2000/XP/7/8/10 Support Visual basic/c++ with Board API(DLL -6-

7 3. 보드설명 각각의중요한보드기능에대하여간략히설명한다. 자세한기능에대한내용은부품사양을참 조하기바랍니다. 3.1 USB-DIO6400 외형도 J J3 U44 U45 J6 U46 U47 J1 J4 U27 J5 U40 JP7 U35 USB-DIO6400 Rev. A J7 U36 LED1 U41 1 JP1 U13 JP4 LED2 LED3 SW3 JP Y1 D2 P1 [ 그림 3. USB-DIO6400 외형 ] [ 그림 3] 은 USB-DIO6400의실제외형을보여주고있다. 아래에는 USB-B type 커넥터가있으며, 상면좌측의 37PIN D-SUB 플러그 (PLUG : J2) 커넥터를통하여 32 채널의디지털입력을사용할수가있다. 상면우측의 37PIN D-SUB 소켓 (SOCKET : J6) 커넥터를통하여 32 채널의디지털출력을사용할수가있다

8 3.2 커넥터핀맵 USB 커넥터 : JP4 USB-DIO6400에서사용하는커넥터에대하여설명을한다. 주요커넥터로는먼저, USB 연결을위한 USB-B 타입커넥터와외부 I/O 입출력의 D-sub 37pin(j2, J6) 그리고 RS232 통신을위한 D-sub 9pin 커넥터 (P1) 가있다. 먼저보드의 USB-B 타입커넥터의 PIN을케이블이연결되는전면에서볼때 [ 그림 4] 와같다. 2 1 USB B type Connector 3 4 [ 그림 4. JP4 커넥터 (USB-B type Front View)] [ 표 2. USB-B 커넥터 ] 번호 명칭 설명 비고 1 VCC USB 전원 +5V 2 D- USB신호 Minus(Negative) 3 D+ USB신호 Plus(Positive) 4 GND USB 전원 GND -8-

9 3.2.2 D-SUB 37Pin Socket : J6 USB-DIO6400 의디지털출력은 37Pin D-Sub 커넥터 ( 소켓타입 ) 을통하여이루어지며, 보드내부와는절연 (Isolation) 되어있다. DOUT31 DOUT29 DOUT27 DOUT25 DOUT23 DOUT21 DOUT19 DOUT17 OUT_VDD GROUND DOUT15 DOUT13 DOUT11 DOUT9 DOUT7 DOUT5 DOUT3 DOUT POWER DOUT30 DOUT28 DOUT26 DOUT24 DOUT22 DOUT20 DOUT18 DOUT16 GROUND GROUND DOUT14 DOUT12 DOUT10 DOUT8 DOUT6 DOUT4 DOUT2 DOUT0 [ 그림 5. USB-DIO6400 DSUB 37(Socket) J6 커넥터핀설명 ] [ 표 3. USB-DIO6400 Digital OUT 커넥터핀설명 ] 핀번호핀이름내용설명비고 1 DOUT0 절연디지털출력

10 2 DOUT2 절연디지털출력 2 3 DOUT4 절연디지털출력 4 4 DOUT6 절연디지털출력 6 5 DOUT8 절연디지털출력 8 6 DOUT10 절연디지털출력 10 7 DOUT12 절연디지털출력 12 8 DOUT14 절연디지털출력 14 9 GROUND 외부 Ground 10 GROUND 외부 Ground 11 DOUT16 절연디지털출력 DOUT18 절연디지털출력 DOUT20 절연디지털출력 DOUT22 절연디지털출력 DOUT24 절연디지털출력 DOUT26 절연디지털출력 DOUT28 절연디지털출력 DOUT30 절연디지털출력 OUT_POWER 보드전원출력 20 DOUT 1 절연디지털출력 1 21 DOUT 3 절연디지털출력 3 22 DOUT 5 절연디지털출력 5 23 DOUT 7 절연디지털출력 7 24 DOUT 9 절연디지털출력 9 25 DOUT 11 절연디지털출력 DOUT 13 절연디지털출력 DOUT 15 절연디지털출력 GROUND 외부 Ground 29 OUT_VDD 클램프다이오드공통입력핀 [ 그림 6-1] 참조 30 DOUT 17 절연디지털출력 DOUT 19 절연디지털출력 DOUT 21 절연디지털출력 DOUT 23 절연디지털출력 DOUT 25 절연디지털출력 DOUT 27 절연디지털출력 DOUT 29 절연디지털출력 DOUT 31 절연디지털출력

11 3.2.3 D-SUB 37Pin Plug : J2 USB-DIO6400 의디지털입력은 37Pin D-Sub 커넥터 ( 플러그타입 ) 을통하여이루어지 며, 보드내부와는절연 (Isolation) 되어있다. DIN31 DIN29 DIN27 DIN25 DIN23 DIN21 DIN19 DIN17 IN_COM3 IN_COM1 DIN15 DIN13 DIN11 DIN9 DIN7 DIN5 DIN3 DIN N.C DIN30 DIN28 DIN26 DIN24 DIN22 DIN20 DIN18 DIN16 IN_COM2 IN_COM0 DIN14 DIN12 DIN10 DIN8 DIN6 DIN4 DIN2 DIN0 [ 그림 6. USB-DIO6400 DSUB 37(Plug) J2 커넥터핀설명 ] [ 표 4. USB-DIO6400 Digital IN 커넥터핀설명 ] 핀번호핀이름내용설명비고 1 DIN0 절연디지털입력

12 2 DIN2 절연디지털입력 2 3 DIN4 절연디지털입력 4 4 DIN6 절연디지털입력 6 5 DIN8 절연디지털입력 8 6 DIN10 절연디지털입력 10 7 DIN12 절연디지털입력 12 8 DIN14 절연디지털입력 14 9 IN_COM0 절연디지털입력공통 0 DIN0~7 공통입력 10 IN_COM2 절연디지털입력공통 2 DIN16~23 공통입력 11 DIN16 절연디지털입력 DIN18 절연디지털입력 DIN20 절연디지털입력 DIN22 절연디지털입력 DIN24 절연디지털입력 DIN26 절연디지털입력 DIN28 절연디지털입력 DIN30 절연디지털입력 N.C No Connection 20 DIN1 절연디지털입력 1 21 DIN3 절연디지털입력 3 22 DIN5 절연디지털입력 5 23 DIN7 절연디지털입력 7 24 DIN9 절연디지털입력 9 25 DIN11 절연디지털입력 DIN13 절연디지털입력 DIN15 절연디지털입력 IN_COM1 절연디지털입력공통 1 DIN8~15 공통입력 29 IN_COM3 절연디지털입력공통 3 DIN24~31 공통입력 30 DIN17 절연디지털입력 DIN19 절연디지털입력 DIN21 절연디지털입력 DIN23 절연디지털입력 DIN25 절연디지털입력 DIN27 절연디지털입력 DIN29 절연디지털입력 DIN31 절연디지털입력

13 3.3 디지털입력회로 VCC IN_COM0 DIN0 2.4K in0 VCC DIN1 2.4K in1 VCC DIN7 2.4K In7 [ 그림 7. 디지털입력회로 ] 상기그림에서보면디지털입력회로는포트커플러로절연이되어있다. IN_COM0 쪽에플러스전압을인가하고디지털입력 (DIN0 ~ DIN7) 에마이너스전압을인가하게되면, 포토커플러로내부에있는다이오우드에전류가흐르게되고, 흐르는전류에따라서출력쪽트랜지스터가통전이된다. 보드에는위와같은회로가 4개가구비되어있다. 즉, 포토커플러 8개마다 IN_COM 신호선이있어총 IN_COM 신호선은 4개가있다 (IN_COM0 ~ IN_COM3). 완전히절연된회로를원한다면, 보드전원을사용하지말고외부전원공급장치를이용하여사용하는것이좋다. 1. 입력사용전원전압범위는 7 24V 이다

14 3.4 디지털출력회로 OUT_POWER +3.3V OUT_VDD out0 330 DOUT0 out1 330 DOUT1 out DOUT31 [ 그림 8. 디지털출력회로 ] 상기그림에서보면디지털출력회로는포트커플러로절연이되어있다. OUT_POWER 와 OUT_VDD 가출력회로에공통접속되어있다. OUT_POWER 는커넥터 JP2의점퍼설정에따라외부전원이나보드내부의 5V PCI 또는 ISO 5V (DC-DC 출력전원 ) 가된다. OUT_VDD 는보드내의회로를보호할목적으로둔클램프다이오드의애노우드에접속되는것으로일반적으로외부전원 5V를사용하면된다

15 USB-DIO6400 보드 J7 점퍼설정 DC-DC 전원 3 외부결선 2 OUT_POWER PCI 전원 V 부하 DC OUT DOUT 31-0 GROUND 외부 GROUND [ 그림 9. 출력포트외부결선 ] OUTPUT은외부전원또는내부전원을선택해서사용할수가있다. 외부전원을사용할때에는 J1의점퍼를연결하지않아야만완전한절연입력이된다. 내부전원의경우 DC-DC converter 혹은 PCI 5V 전원을선택할수가있는데 DC-DC converter를사용할경우에는 J7 점퍼설정 (3-2번쇼트 ) 을한다. PCI 5V 전원을사용할경우에는 J7 점퍼설정 (1-2번쇼트 ) 해서사용한다. 1. 출력사용전원전압범위는 5 24V 이다

16 3.5 전원옵션설정 JP2 점퍼설정 보드의내부전원은 USB 5V를사용할수도있고, JP1(Molex 2Pin Connector) 를통해입력되는외부전원을사용할수있는데점퍼로설정할수가있다. JP2 점퍼설정 1 USB 전원 3 외부 5V 전원 J7 점퍼설정외부 I/O 구성시외부전원을사용할수있는환경이되지않을경우, 보드에서전원을출력하여사용할수가있다. 이때, 보드에절연된 DC-DC Converter( 선택사양 ) 의전원을출력할것인가아니면, 보드내부전원을출력할것인가를점퍼로설정할수가있다. J7 점퍼설정 POWER PIN Floating( 외부전원사용 ) 1 DC-DC converter 전원 내부 +5V 출력 JP7 점퍼설정 GROUND 역시 DC-DC Converter ( 선택사양 ) 의 GROUND를출력할것인지아니면, 보드 GROUND를출력할것인가를선택할수있다. JP7 점퍼설정 2 1 내부 GROUND 기본옵션은출하시에점퍼가설정이되지않는다

17 4. 장치설치 보드설치에앞서포장내용물이이상이없는가를확인한다. 4.1 하드웨어설치 제품내용물 1 USB-DIO6400 보드 2 USB(A-B) 케이블 3 CD ( 드라이버 / 매뉴얼 /API/ 샘플소스등등 ) 4.2 드라이버설치 PC에보드를설치하기위하여는다음과같은순서에따라서실시한다. USB의경우 Hot Plug 및 Plug & Play 장치이므로보드설치를위하여특별히고려할내용은없다. 보드의사용환경은 Windows 2000 SP4 이상, Windows XP SP1 이상에서사용되어야한다. (1) 먼저박스를개봉하여 USB-DIO6400 제품을안정된위치에놓는다. (2) 제공하는 USB A-B 케이블을이용하여장비와 PC간을연결한다. 케이블을연결하게되면 Windows 운영체제에서자동으로검색하여맞는드라이버를설치할수있도록안내할것이다. (3) 드라이버설치는다음과같은순서에의하여실행한다. 특별한설명이없을경우 Windows XP를기준으로설명한다

18 (4) 만약새로운장치가발견되면, 운영체제 (Windows XP) 에서는장치에맞는드라이버를설치 할것을요구한다. 위그림에서드라이버를설치하기위하여 목록또는특정위치에서 설치 를선택후다음버튼을누르면아래와같은드라이버검색화면이나타난다. 위의그림에서드라이버가포함되어있는 CD의 Driver 폴더를찾아보기버튼을눌러서지정해준후 다음 버튼을누른다. 예 ) F:\USB-DIO6400\driver 드라이버폴더에는드라이버설치에필요한 usb_dio6400.inf 및 usb_dio6400.sys 파일이포함되어있다. 검색된보드에적당한드라이버가있을경우설치를시작하게되는데, 설치중에호환성에대 한경고윈도우가나타나는데여기에서 계속 버튼을눌러준다. 정상적으로설치가완료되면다음그림과같은메시지윈도우가나타난다

19 (5) 설치가완료되면, 바로 USB-DIO6400 보드를사용할수있는데, 사용하기전에다시한번정상적으로드라이버가설치되었는지다음과같은방법으로확인한다. 내컴퓨터 -> 속성 -> 하드웨어 -> 장치관리자화면에서범용직렬버스컨트롤러 -> DAQ system USB Digital Input Output Board 이 ( 가 ) 설치가되었는가를확인한다

20 5. 샘플프로그램설명 5.1 프로그램인터페이스 보드와함께제공하는 CDROM의 APP 폴더에는보드를쉽게사용할수있도록사용샘플프로그램 DIO6400.exe 를제공하고있다. 샘플프로그램을시험하기위하여는먼저보드의드라이버가설치되어있어야한다. 샘플프로그램은보드를사용하기위하여제공되는 API를간략하게시험할수있도록소스형태로제공하므로사용자가수정하여사용할수가있다. [ 그림 9. 샘플프로그램 DIO6400.exe 실행화면 ] 위의샘플프로그램을이용하기위하여는 API(Application Programming Interface) 가필요하다. API는 DLL 형태로제공이되며, 컴파일을하기위하여는임포트 (Import) 라이브러리및헤더파일이필요하다. 상기에명시된모든파일은제공하는 CDROM에포함되어있다. 샘플프로그램을정상적으로실행하기위하여는 API DLL(USB_DIO6400.DLL) 이실행파일의폴더에있거나, Windows의시스템폴더혹은 Path 환경변수로지정된폴더에있어야한다 5.2 기능설명 (1) Model No. 사용하는모델. USB-DIO6400 선택 (2) Board No. 동작시키려는보드번호. 번호는기판의 DIP 스위치 (SW3) 로설정한다. (Board#0 ~ #3)

21 (3) Open Device button 선택된보드를 Open 한다. 보드를변경한후에도이버튼을클릭해야한다. (4) Exit button 실행정지 (5) DIN Read button 버튼클릭시 DIN 옆의창에입력포트를 Read 한값이 Hex로표시된다. 예 ) 로읽히면 15번과 0번이 On * DIN 는 USB-DIO6400용이아닌예약창이다. (6) Auto DIN Read DIN Read 를누르지않아도자동으로 DIN 옆의창에표시된다. (7) DOUT Read button 버튼클릭시 DOUT 옆의창에출력포트를 Read 한값이 Hex 로표시된다. * DIN 는 USB-DIO6400 용이아닌예약창이다. (8) DOUT Write button DOUT 옆의창에출력포트에쓰고자하는값을 Hex로입력한후이버튼을누르면각비트에해당하는값이출력된다. 예 ) 로쓰면 15번과 0번이 On * DIN 는 USB-DIO6400용이아닌예약창이다. (9) Auto DOUT Test 보드의출력을테스트하기위한것으로이박스를체크하면비트 0 에서부터 31 까지 차례대로 On 된다. (10) List Device button 이버튼을클릭하면 PC에설치된, 보드넘버들을나타낸다. 예를들어 DIP 스위치가 00 인보드 1개가 USB로연결되어있으면 DIO6400 Board Found 라는메시지가창에출력된다

22 References 1. USB 2.0 System Architecture -- Don Anderson, USB SIG ( 2. Universal Serial Bus Specification -- Compaq/Intel/Microsoft/NEC/MindShare Inc. (Addison Wesley) 3. AN201 How to build application using APIs -- DAQ system 4. AN342 USB-DIO6400 API VER DAQ system

매뉴얼

매뉴얼 USB-DIO12800 User s Manual Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

매뉴얼

매뉴얼 PCI-DIO02 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 PCIe-AIO10 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

매뉴얼

매뉴얼 USB-AIO10 User s Manual Windows, Windows2000, Windows NT, Windows XP, Windows 7 and Windows CE are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-ROM Emulator User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

매뉴얼

매뉴얼 PCI-AIO05 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

PCIe-FRM22 매뉴얼

PCIe-FRM22 매뉴얼 PCIe-FRM22 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

USB-AIO11 API

USB-AIO11 API NET-AIO11 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 PCIe-FRM4 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

PCIe-FRM16_B 매뉴얼

PCIe-FRM16_B 매뉴얼 PCIe-FRM6_B User s Manual Windows, Windows000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

NET-AIO12 매뉴얼

NET-AIO12 매뉴얼 NET-AIO12 User s Manual Windows, Windows2000, Windows NT, Windows XP, Windows 7 and Windows CE are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 cpci-frm11 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

USB3-FRM13_B 매뉴얼

USB3-FRM13_B 매뉴얼 USB3-FRM13_B User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

USB3-FRM10 매뉴얼

USB3-FRM10 매뉴얼 USB3-FRM10 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

USB3-FRM01 API 매뉴얼

USB3-FRM01 API 매뉴얼 USB3-FRM01 API Programming (Rev 1.1) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

PICe-FRM26_B 매뉴얼

PICe-FRM26_B 매뉴얼 PCIe-FRM26_B User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

USB3-FRM13 매뉴얼

USB3-FRM13 매뉴얼 USB3-FRM13 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

USB3-DIO01

USB3-DIO01 USB3-DIO01 API Programming (Rev 1.2) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

PICe-OPT02 매뉴얼

PICe-OPT02 매뉴얼 PCIe-OPT02 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-IK01 User s Manual AN2131, EZ-USB and Cypress are trademarks of Cypress Semiconductor, Keil and uvision2 are trademarks of Keil software. Windows, Windows2000, Windows NT and Windows XP are trademarks

More information

USB3-FRM13 API 매뉴얼

USB3-FRM13 API 매뉴얼 USB3-FRM13 API Programming (Rev 1.2) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper Windows Netra Blade X3-2B( Sun Netra X6270 M3 Blade) : E37790 01 2012 9 Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs,

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

PICe-FRM24 매뉴얼

PICe-FRM24 매뉴얼 PCIe-FRM24 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

목 차 1. 드라이버 설치...3 1.1 설치환경...3 1.2 드라이버 설치 시 주의사항...3 1.3 USB 드라이버 파일...3 1.4 Windows XP에서 설치...4 1.5 Windows Vista / Windows 7에서 설치...7 1.6 Windows

목 차 1. 드라이버 설치...3 1.1 설치환경...3 1.2 드라이버 설치 시 주의사항...3 1.3 USB 드라이버 파일...3 1.4 Windows XP에서 설치...4 1.5 Windows Vista / Windows 7에서 설치...7 1.6 Windows 삼성SDS 하이패스 USB 드라이버 설치 매뉴얼 삼성SDS(주) 목 차 1. 드라이버 설치...3 1.1 설치환경...3 1.2 드라이버 설치 시 주의사항...3 1.3 USB 드라이버 파일...3 1.4 Windows XP에서 설치...4 1.5 Windows Vista / Windows 7에서 설치...7 1.6 Windows 8에서 설치...9 2. 드라이버

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

PICe-FRM26_B 매뉴얼

PICe-FRM26_B 매뉴얼 PCIe-FRM26_B User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

GLHPS-D

GLHPS-D Digital Hot Plate & Stirrer GLHPS-D 글로벌랩의 제품을 구입하여 주셔서 감사드립니다. 제품을 사용하시기 전에 안전을 위한 준비사항 을 읽고 올바르게 사용해 주십시오. 이 사용설명서는 제품을 직접 사용하시는 분에게 보내어지도록 하여 주십시오. 사용 전 주의 사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 막기 위한 내용으로 반드시

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63>

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63> SAM4S Printer Driver Installer 달리명시하지않은한, 인쇄또는복사된문서는통제하지않는문서임 목 차 1. 1. WINDOWS DRIVER INSTALLER 설치 설치...... 2 2. 프린터추가...... 5 3. 프린터제거...... 19 4. 프린터추가 / 제거선택...... 21 5. 프로그램추가 / 제거...... 21 SHC- 11-01-

More information

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt)

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt) 마이크로칩 USB Host & Device 부트로더기능실습 한국마이크로칩서한석부장 (CAE) 2009-09-07 마이크로칩 16 비트 USB 데모보드세팅 Explorer 16 + USB PICtail Plus Daughter Board + USB PIMs Part #: DM240001 Part #: AC164131 Part #: MA240014(PIC24FJ256GB11)

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

0922 Monitor22...._kor_1

0922 Monitor22...._kor_1 본 사용설명서는 사용자가 언제라도 볼 수 있는 장소에 보관하십시오. TV튜너의 내장으로, 모니터 기능외에 TV로도 사용할 수 있는 모니터입니다. 좁은 공간도 효율적으로 이용할 수 있는 Slim하고 Simple한 디자인. 인체공학적인 디자인으로 사용 편리성 제고. 와이드형 TFT LCD 패널의 채용으로 넓은 화면의 구현. 최대 해상도 680 x 050(WSXGA+)지원.

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

EISC-GANG_User_Guide_V1.2

EISC-GANG_User_Guide_V1.2 HW/SW User Guide EISC-GANG II 사용 설명서 Ver 1.2, 2012-09-20 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced

More information

온라인등록용 메뉴얼

온라인등록용 메뉴얼 WIZPLAT Corporation User Manual Gigabit LAN Port + USB3.0 HUB 사용자 설명서 이번에는 Gigabit LAN Port + USB3.0 HUB 를 구입해 주셔서 대단히 감사합니다. 이 사용설명서에는 중요한 주의 사항과 제품의 취급방법이 설명되어 있습니다. 사용하기 전에 설명서를 잘 읽어 보신 후 본 제품을 바르고

More information

COMFILE_VOL13_20140204.cdr

COMFILE_VOL13_20140204.cdr "다양한 산업현장에서 쓰이고 있는 컴파일 제품" 데이터 수집 데이터 수집용 필드 I/O 제품 "모드포트" 필드 I/O 전력 모니터링 로봇 제어 태양광 발전 트랙커 제어 CUPC-P80 CT1721C CB405 포장기 화력발전소-화력 감지 시스템 녹방지장치(용존산소제거장치) CT1721C CB280, CLCD-216 CUWIN3500 일회용 용기 성형기 항온항습기

More information

untitled

untitled Huvitz Digital Microscope HDS-5800 Dimensions unit : mm Huvitz Digital Microscope HDS-5800 HDS-MC HDS-SS50 HDS-TS50 SUPERIORITY Smart Optical Solutions for You! Huvitz Digital Microscope HDS-5800 Contents

More information

Siemens

Siemens SIEMENS () 2004 7 Updated 2004 DEC 09 1. 4 1.1 4 1.2 4 2. 5 2.1 5 2.2 6 2.3 6 2.4 7 3. 8 3.1 50/60 Hz DIP 8 4. 9 4.1 420 9 4.2 420 9 4.3 (CB) 10 5. / () 11 5.1 11 5.2 : P0003 12 6. 13 6.1 13 6.2 15 6.2.1

More information

PICe-FRM24 매뉴얼

PICe-FRM24 매뉴얼 PCIe-FRM24 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

2

2 2 3 4 5 6 7 8 9 10 11 60.27(2.37) 490.50(19.31) 256.00 (10.07) 165.00 111.38 (4.38) 9.00 (0.35) 688.00(27.08) 753.00(29.64) 51.94 (2.04) CONSOLE 24CH 32CH 40CH 48CH OVERALL WIDTH mm (inches) 1271.45(50.1)

More information

USBISPV3.0(071112).hwp

USBISPV3.0(071112).hwp USBISP V3.0 User Manual AVRMALL http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 AVRMALL All Rights Reserved. USBISP V3.0 User Manual Page 2/14 Contents 1. Introduction 3 2. USB DRIVER

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

*Revision History 날짜 내용 최초작성 Tel Fax [2] page

*Revision History 날짜 내용 최초작성 Tel Fax [2] page MSP430-SDS100i 매뉴얼 V1.0 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page *Revision History 날짜 내용 2013. 07. 010 최초작성 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

tiawPlot ac 사용방법

tiawPlot ac 사용방법 tiawplot ac 매뉴얼 BORISOFT www.borisoft.co.kr park.ji@borisoft.co.kr HP : 00-370-077 Chapter 프로그램설치. 프로그램설치 3 2 Chapter tiawplot ac 사용하기.tiawPlot ac 소개 2.tiawPlot ac 실행하기 3. 도면파일등록및삭제 4. 출력장치설정 5. 출력옵션설정

More information

Implementation of FreeRTOS on LM3S811

Implementation of FreeRTOS on LM3S811 minibee SEMILAB Coordinator Router End Device 로직테크 USB Dongle LM2455 Module & Extension Board RP-M100/M110/MR220/MR500 Module & Extension Board 2015-02-02 www.logictech.kr 1 Revision History Version Date

More information

. "" "",.... :...,,....,.. :..,,,..,,...,.... 2

.  ,.... :...,,....,.. :..,,,..,,...,.... 2 RD-5405 /.. . "" "",.... :...,,....,.. :..,,,..,,...,.... 2 ..,,..,.. (,,,, )......,...,., ( ),,,,.,. (, )..,...... BD/DVD CD TV, VCR,........ (+, -).,,..... 3 ... 2... 3....3... 5... 9... 10...11...11...

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

2 라이선스 라이선스 돌비 래버러토리스의 허가를 얻어 제조한 제품입니다. 돌비 및 더블 D 심볼은 래버러토리스의 상표입니다. DivX 비디오에 관하여 DivX 는 Rovi Corporation 의 자회사 DivX, LLC가 개발한 디지털 비디오 포맷입니다. 본 제품은

2 라이선스 라이선스 돌비 래버러토리스의 허가를 얻어 제조한 제품입니다. 돌비 및 더블 D 심볼은 래버러토리스의 상표입니다. DivX 비디오에 관하여 DivX 는 Rovi Corporation 의 자회사 DivX, LLC가 개발한 디지털 비디오 포맷입니다. 본 제품은 사용설명서 DLP PROJECTOR 사용전에 안전을 위한 주의사항을 반드시 읽고 정확하게 사용하세요. PH250 *MFL68162401* www.lge.co.kr P/NO : MFL68162401 (1502-REV05) 2 라이선스 라이선스 돌비 래버러토리스의 허가를 얻어 제조한 제품입니다. 돌비 및 더블 D 심볼은 래버러토리스의 상표입니다. DivX 비디오에

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

PICe-OPT01 매뉴얼

PICe-OPT01 매뉴얼 PCIe-OPT01 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

NET-FRM01 매뉴얼

NET-FRM01 매뉴얼 NET-FRM01 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information