USB-EK001 매뉴얼

Size: px
Start display at page:

Download "USB-EK001 매뉴얼"

Transcription

1 cpci-frm11 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document as their own property. Information furnished by DAQ system is believed to be accurate and reliable. However, no responsibility is assumed by DAQ system for its use, nor for any infringements of patents or other rights of third parties which may result from its use. No license is granted by implication or otherwise under any patent or copyrights of DAQ system. The information in this document is subject to change without notice and no part of this document may be copied or reproduced without the prior written consent. Copyrights 2005 DAQ system, All rights reserved

2 -- 목차 Introduction 2. cpci-frm11 기능 2.1 FPGA Block Diagram 2.2 Camera Link 2.3 Camera Link Cable 2.4 Camera Link and cpci-frm11 3. cpci-frm11 보드설명 4. 설치 3.1 cpci-frm11 외형도 3.2 기능설명 3.3 커넥터 Pin-out 4.1 내용물확인 4.2 설치과정 5. 샘플프로그램설명 6. 시험 5.1 FrmTest 프로그램 이미지프레임관련기능 UART 관련기능 DIO 관련기능 6.1 이미지프레임입력 6.2 UART 송 / 수신 6.3 DIO 입 / 출력 Appendix A.1 일반사양 Reference -2-

3 1. Introduction cpci-frm11 은 Camera-link 표준카메라와연동하여촬영된이미지프레임을 PCI 버스인터페 이스방식으로 PC 에전송하는보드이다. 또한, 8 개의 Digital Input 과 8 개의 Output 을제공하여외 부제어를할수있는기능을갖고있다. 보드의동작은프로그램 API 에의하여제어되며, 아래의그림은보드의연동동작을그림으로 나타내고있다. [ 그림 1-1. cpci-frm11 보드사용예 ] [ 그림 1-1] 에서 cpci-frm11 은 Compact 시스템내의 PCI 슬롯에장착되어 Camera 로부터 Camera-Link 인터페이스를통하여이미지프레임을통하여받는다. 전송받은데이터는 PCI 인 터페이스를통하여응용프로그램에전송하는역할을담당한다

4 [cpci-frm11 의주요특징 ] Base Configuration Camera Link Camera 지원 32bit/33MHz PCI Bus Interface PCI 5V and 3.3V compatible operation 24/16/8 비트프레임데이터수신 UART( 데이터비트 8, 1 start, 1 stop, No parity, 9600/19200/38400/57600/115200bps) 송 / 수신외부장치인터페이스 8 비트 Digital Input/ Output Signals 사용환경 Windows 2000 SP4 이상, Windows XP SP1 이상간편한 Windows Application Programming Interface(DLL) [Application] 영상인식 (Pattern, 입자등 ) 검사장비 (Sensor, Semiconductor, Device 등 ) Black and White, Color Image Display Medical Image Capture -4-

5 2. cpci-frm11 기능 2.1 FPGA Block Diagram 아래그림에서보듯이 cpci-frm11의경우전체적인제어를 FPGA Core Logic에서담당을하고있다. 주요기능으로는 Frame Data 수신, 이를위한 UART 데이터송 / 수신, Camera Control 신호출력이있다. 이러한기능들은 PCI 인터페이스를통하여 PC 에서 API 를이용하여수행한다. PCI Express 1x BUS cpci-frm11 INTERNAL BLOCK - FPGA Local Bus Address Data(Mem,I/O) Local BUS PCI Target / Master Reserved (0x00? 0x5F) BUS Mux UART (0x60) MEM Decoder IO Decoder To each IO Module Reserved (0x70? 0xAF) DPRAM CLOCK syn. Interrupt Controller (0xb0) Interrupt controller Camera Link(LVDS) (0xC0) DIO (0xD0) Reserved (0xE0? 0xFF) Ext. Address, Data, Control From Ext. INT sources in Chip MEM Decoder [ 그림 2-1. cpci-frm11 기능블록도 ] FPGA 코어로직의프로그램은 JTAG 을이용하여하고있으며, FPGA Program Logic 에서 logic 프로그램을저장하고, 전원인가시로드하는기능을한다

6 2.2 Camera Link 카메라링크 (Camera Link) 는 vision application에사용하기위해개발된통신인터페이스이다. 과거에는카메라제작업체들과프레임그레버 (Frame Grabber) 제작업체들사이의자체규격의커넥터와케이블을사용했다. 이는사용자들에게많은혼란과비용증가를불러일으켰다. 이러한혼란과증대되는 data rate, 데이터전송상의혼란등을해소하기위해 Camera Link 인터페이스의사양은카메라업체들과영상처리장치인프레임그레버제작업체들의모임에서케이블또는커넥터조립의규격과, 전송속도및전송방법등의규정으로이루어졌다. 현재많은디지털비디오해법은 RS-644로정의된 LVDS(Low Voltage Differential Signal) 통신을사용한다. RS-644 LVDS는다루기불편한케이블과전송속도의제한을가지고있던기존의 RS-422의방법을개선한것으로 Camera Link 표준이되었다. LVDS는낮은전압스윙의차동신호 (Differential Signal) 를사용하여고속으로데이터를전송할수있다. 이는하나의선을이용하는기존의싱글엔드신호 (Single-ended Signal) 와비교해차동신호는두개의보완적인선을이용하여신호를전송한다. 이러한전송구조는데이터전송에접지만을참조하는싱글엔드시스템으로는불가능한대규모동위상전압제거및낮은전력소비, 뛰어난노이즈내성의특징을갖는다. 디지털데이터의전송을위해진보된 LVDS 기술은채널링크 (Channel Link) 이다. 채널링크는 2.38Gbps로 parallel-to-serial 전송과 serial-to-parallel 전송할수있다. [ 그림 2-3] 을보면, Transmitter는 28 비트의 CMOS/TTL 데이터를 4개의 LVDS 데이터줄기로변환한다. 변환된신호는 Transmit Clock에맞추어 MDR Cable에전송되며, 반대쪽 Receiver는이네개의 LVDS 데이터를 Receive Clock에맞추어 28 비트의 CMOS/TTL 병렬신호로변환한다. 이러한채널링크기술은배우기쉽고이식도쉬워즉시활용할수있는저가의칩셋으로사용되고있다. Camera Link 인터페이스는 Base Configuration, Medium Configuration, Full Configuration을포함하고있다. Base Configuration은 [ 그림 2-3] 과같이 Transmitter/Receiver와카메라제어를위하여네개의 RS-644 LVDS 쌍를사용하며카메라와프레임그레버사이의통신을위하여두개의 RS-644 LVDS쌍을사용한다. 26-Pin MDR Cable로직렬로전송된데이터는프레임그레버의 Receive 단에서 28-bit의병렬영상데이터로변경돼사용된다

7 [ 그림 2-2. Base Camera Link 블록도 ] -7-

8 2.3 Camera Link Cable & Connecter 카메라링크카메라와 PCIe-FRM11 보드사이의연결은 26 Pin MDR(Mini D Ribbon) 케이블을이용한다. 카메라링크케이블은 twin-axial shielded cable와두개의 MDR 26-male plug으로구성되어있다. 밑의 [ 그림 2-3] 은일반적으로많이쓰이는카메라링크케이블이다. [ 그림 2-4] 는 26-Pin Male MDR Connecter로케이블양종단에위치하며, [ 그림 2-5] 는 26-Pin Female MDR Connecter로카메라나프레임그레버에위치하게된다. 그림에서보듯이 Pin 번호가서로교차하게연결되어카메라와프레임그레버신호선의 Tranceive단과 Receive단이서로교차연결되어있다. [ 그림 2-3. MDR-26 Camera Link Straight Cable] [ 그림 2-4. MDR-26 Cable (Male) Pin Map] [ 그림 2-5. MDR-26 Connecter (Female) Pin Map] -8-

9 Cable Specification (Standard) Characteristic Impedance : 100 ±10 ohms Propagation Delay : 1.5 NS/ft Mutal Capacitance : 17 pf/ft nominal Conductor Resistance : 72 Ohms / 1k Velocity of Propagation : 78% maximum Voltage Rating : 30V Temperature : -20 ~ +80 Length (m) : 1 / 2 / 3 / 4.5 / 5 / 7 / Camera Link and PCIe-FRM11 cpci-frm11은 Camera Link Base Configuration을지원한다. Base Configuration은 24 data bits와 4개의 enable 신호들 Frame Valid, Line Valid, Data Valid, and a spare을포함한 28비트의병렬신호를직렬화한 4개의 LVDS 신호선과카메라와동기를맞추기위한 1개의 LVDS 신호선또한, 4 개의 CC (Camera Control) 신호를포함하여카메라와통신하기위한비동기시리얼통신 2개 LVDS 라인을포함전체 11개의 LVDS 신호선을 MDR 케이블을통해전송한다. 전송된신호는 cpci-frm11 내의 Channel Link 칩을통해 4개의영상 LVDS 시리얼신호를 28 비트의병렬영상신호와제어신호 (Frame Valid, Line Valid, Data Valid, and a spare) 로병렬화 (Deserilize) 한다. 또한, 카메라와 cpci-frm11과의신호동기를맞추기위한 1개의 LVDS로클럭신호를만들고나머지 cameras control 신호와통신신호는일반 TTL 신호레벨로변환하여사용한다. Camera Control CCx+ CCx- 상기그림은 Camera-link 케이블을통하여제어신호를 cpci-frm11 보드에서 Camera 쪽으로보낼수있는 Camera Control 출력회로를보여주고있다. 총 4개의 Digital 출력을 Differential 방식을통하여출력한다. 각출력은 Digital output에맵핑되어출력이된다. 각각의비트위치는아래 [ 그림 2-6] 과같다

10 CC_D0 CC1+ CC_D1 CC2+ CC_D2 CC3+ CC_D3 CC4+ CC1- CC2- CC3- CC4- [ 그림 2-6. Camera Control LVDS Digital 출력회로 ] 아래그림은 Camera-link 케이블을통하여입력되는시리얼입력신호를 cpci-frm11 보드에서 일반입력으로사용하는회로를보여주고있다. [ 그림 2-7. Serial Communication LVDS Digital 출력회로 ] PCI-FRM11은다음의비트정렬 (bit allocation) 을포함한 Base Configuration을지원한다. 1, 2,or 3 Pixels (or Taps) at 8 Bits 1 or 2 Pixels (or Taps) at 10 Bits 1 or 2 Pixels (or Taps) at 12 Bits 1 Pixel (or Tap) at 14 Bits 1 Pixel (or Tap) at 16 Bits 24 Bits RGB

11 3. cpci-frm11 보드설명 각각의중요한보드기능에대하여간략히설명한다. 자세한기능에대한내용은부품사양을참 조하기바랍니다. 3.1 cpci-frm11 외형도 [ 그림 3-1. cpci-frm11 블록도 ] 보드에는총 7 개의 LED 가있으며각각의설명은다음과같다. LED7 : 보드가 Configuration이끝나고동작준비가완료되면점등이된다. LED6 : 이미지프레임을수신할경우에점등이된다. LED5 : 프레임데이터전송중일경우에점등이된다. LED4..1 : Indicator( 현재는항시점등된다.)

12 3.2 기능설명 (1) FPGA : U5 보드의모든기능은이 FPGA Logic 을통하여제어된다. (2) LVDS : U4, U9 이미지프레임을수신한다. UART 신호를송 / 수신한다. Camera Control Digital Output을출력한다. (3) Regulator : U6 보드에서사용하는전원을공급한다. (4) Level Shifter : U2 3.3V CMOS Logic 보다높은전압의 Interface 를 3.3V 로직 Level 로변환시켜회로를보호 한다. (5) SW1 보드넘버를세팅한다. (6) Photo-coupler Isolated I/O : PC1 ~ PC4 외부장치와연결은위한절연입 / 출력회로를구성한다

13 3.3 커넥터 Pin-out cpci-frm11에서사용하는커넥터및점퍼에대하여설명을한다. 주요커넥터로는먼저 Camera Link연결을위한 MDR 26pin 커넥터와외부디지털입출력연결포트인 MDR 36pin 커넥터가있다. [ 그림 3-2] 는보드와외부의인터페이스커넥터를보여주고있다. [ 그림 3-2. cpci-frm11 Front View]

14 [J1(MDR36) 커넥터 ] 아래 [ 표 1] 은보드의 J1(MDR36) 커넥터의핀맵을나타낸다. [ 그림 3-3. cpci-frm11 J1 Connector Pin-out] [ 표 1. J1 커넥터설명 ] 번호 명칭 설명 1 STX+ LINE TRIGGER OUT+ 2 SRX+ LINE TRIGGER IN V +12V

15 5 GND GND 6 GND GND 7 3.3V 3.3V 8-9 DOUT_COM1 OUTPUT COMMON 1 (FOR output 4,5,6,7) 10 DOUT OUTPUT 7 11 DOUT OUTPUT 6 12 DOUT OUTPUT 5 13 DOUT OUTPUT 4 14 DIN INPUT COMMON1 (FOR input 4,5,6,7) 15 DIN INPUT 7 16 DIN INPUT 6 17 DIN INPUT 5 18 DIN INPUT 4 19 STX- LINE TRIGGER OUT- 20 SRX- LINE TRIGGER IN V +12V 23 GND GND 24 GND GND V 3.3V DOUT_COM0 OUTPUT COMMON0 (FOR output 0,1,2,3) 28 DOUT3 OUTPUT 3 29 DOUT2 OUTPUT 2 30 DOUT1 OUTPUT 1 31 DOUT0 OUTPUT 0 32 DIN_COM0 INPUT COMMON0 (FOR input 0,1,2,3) 33 DIN3 INPUT 3 34 DIN2 INPUT 2 35 DIN1 INPUT 1 36 DIN0 INPUT 0 cpci-frm11 보드에는포토 - 커플러로절연된 8 개의디지털입력그리고 8 개의디지털출력을 J1 커넥터를통하여사용할수있다. 회로는 [ 그림 3-4] 와같다

16 VCC DIN K IN7..4 DIN_COM1 VCC DIN K IN3..0 DIN_COM0 < Photo coupler input> VCC DOUT3..0 1K DOUT_COM0 OUT3..0 VCC DOUT7..4 1K DOUT_COM1 OUT7..4 <Photo coupler output> [ 그림 3-4. 포토 - 커플러입 / 출력회로 ] 입력의경우 2.4KΩ( 오옴 ) 의저항을사용하여 12V 입력일경우약 5mA, 24V입력일경우약 10mA가흐르게된다. 사용가능입력전압은 9V 에서 24V 이내이다. 출력은 1KΩ( 오옴 ) 저항을사용하여최대출력전류를제한한다. 출력전류는 5mA 이내에서사용하여야한다. 특별한상황에서는상기설명에따라서동작시키기위하여 R값을조정하여사용한다

17 [J5(MDR26) 커넥터 ] 아래의그림은보드의 J5 커넥터의핀맵을나타낸다. 모든핀의사양은 Camera link 표준에근거하여입 / 출력이이루어지므로자세한내용은Camera Link 표준문서를참조하기바람. Frame Grabber Inner shield Inner shield X X0+ X X1+ X X2+ Xclk Xclk+ X X3+ SerTC SerTC- SerTFG SerTFG+ CC CC1+ CC CC2- CC CC3+ CC CC4- Inner shield 14 1 Inner shield [ 그림 3-5. cpci-frm11 J5 Connector Pin-out] [ 표 2. J5 커넥터설명 ] 번호 명칭 설명 비고 1 Inner Shield Cable shield 2 CC4- Camera Control output 4-3 CC3+ Camera Control output 3+ 4 CC2-- Camera Control output 2-5 CC1+ Camera Control output 1+ 6 SerTFG+ Serial to Frame grabber + 7 SerTC- Serial to Camera- 8 X3+ Camera link LVDS receive data

18 9 Xclk+ Camera link LVDS receive clock + 10 X2+ Camera link LVDS receive data X1+ Camera link LVDS receive data X0+ Camera link LVDS receive data Inner Shield 14 Inner Shield 15 CC4+ Camera Control output CC3- Camera Control output 3-17 CC2+ Camera Control output CC1- Camera Control output 1-19 SerTFG- Serial to Frame grabber- 20 SerTC+ Serial to Camera+ 21 X3- Camera link LVDS receive data3-22 Xclk- Camera link LVDS receive clock- 23 X2- Camera link LVDS receive data2-24 X1- Camera link LVDS receive data1-25 X0- Camera link LVDS receive data0-26 Inner Shield 자세한사양은 Camera Link 표준문서를참조할것

19 [SW1 상세설명 ] cpci-frm11 보드는한개의시스템 (PC) 에최대 4개의 cpci-frm11 보드를동시에사용할수있도록설계가되어있다. 각각의보드구분은보드내에있는 4핀 DIP 스위치 (SW1) 를통하여설정할수있다. SW1 ON OFF 1 2 [ 그림 3-6. SW1 pin-out] [ 표 3. SW1 설명 ] 1 2 내용설명 OFF OFF 보드번호 0 ON OFF 보드번호 1 OFF ON 보드번호 2 ON ON 보드번호 3 [J4 Connector (2Pin Header, 2.54mm)] 다. 3.3V 외부 DC 전원커넥터이다. FPGA 인스톨시사용되는전원으로평소에는사용하지않는 [JP3 Connector] JP3 은 JTAG(Joint Test Action Group) 커넥터로보드의 FPGA 프로그램을업데이트시키는데사 용한다. 평상시보드를동작할때에는사용하지않는다

20 4. 설치 보드설치에앞서포장내용물이이상이없는가를확인한다. 4.1 내용물확인 제품내용물 1. cpci-frm11 보드 2. CD ( 드라이버 / 매뉴얼 /API/ 샘플소스등등 ) 4.2 설치과정 PC에보드를설치하기위하여는 DAQ system에서제공하는 How to install PCI DAQ Board 매뉴얼을참조하여순서에따라서실시한다. PCI 경우 Plug & Play 장치이므로손쉽게보드설치를할수가있을것이다. 먼저 PC 의전원을 off 후 cpci-frm11 보드를 Compact PCI RACK 의빈 Slot 에꽂고 PC 의 전원을켠다. 아래와같이 새하드웨어검색마법사시작 창이열리면, 아래와같이선택후 다음버튼을클릭한다

21 아래와같이선택후다음버튼을클릭 동봉된 CD 에서 cpci-frm11 보드 Driver 를선택후다음버튼을클릭한다

22 설치중간에 Windows XP 호환성확인 에대한문의가나오지만계속버튼을클릭한다. 아래와같이설치프로세서가진행됨을나타낸다

23 새하드웨어검색마법사완료 창이나타나면마침버튼을클릭한다. 설치가완료되면, 정상적으로드라이버가설치되었는지다음과같은방법으로확인한다. 내컴퓨터 -> 속성 -> 하드웨어 -> 장치관리자 에서아래와같이표시되는지확인한다

24 다기능어댑터 -> PCIe-FRM11 이 ( 가 ) 설치가되었는가를확인한다. 아래의그림과같이 나타나게되면, 설치가정상적으로이루어진것이다. [ 그림 3-3. 장치관리자 윈도우 ] 상기그림은 cpci-frm11 보드가 PC 에정상적으로설치된화면을보이고있다. ( 붉은색원안을확인 ) cpci-frm11 보드는기존 PCIe-FRM11 보드와호환성을유지하기위하여 PCIe-FRM11 장 치로등록된다. 최초설치후에는정상적인동작을위하여반드시 PC 를재부팅하여사용하여야한다

25 5. 샘플프로그램설명 보드와함께제공하는 CDROM 폴더에는보드를쉽게사용할수있도록사용샘플프로그램을제공하고있다. 먼저, 각실행파일중하나인 FrmTest.exe 는 Frame Data를 16진수값으로디스플레이해줘메모리나하드디스크에저장해개발자들에게필요한프레임데이터를활용할수있게되어있다. 샘플프로그램은보드를사용하기위하여제공되는 API를간략하게시험할수있도록소스형태로제공하므로사용자가수정하여사용할수가있다. 5.1 FrmTest 프로그램 [ 그림 5-1. 샘플프로그램 FrmTest.exe 실행화면 ] 위의샘플프로그램을이용하기위하여는 API(Application Programming Interface) 가필요하다. API 는 DLL 형태로제공이되며, 컴파일을하기위하여는임포트 (Import) 라이브러리및헤더

26 파일이필요하다. 상기에명시된모든파일은제공하는 CDROM에포함되어있다. 샘플프로그램을정상적으로실행하기위하여는 API DLL(PCI_FRM11.DLL) 이실행파일의폴더에있거나, Windows의시스템폴더혹은 Path 환경변수로지정된폴더에있어야한다 이미지프레임관련기능 (1) DXD810/DXD1487 콤보박스 보드의동작모드를설정한다. (2) LVDS Init 이미지프레임기능을초기화한다. 최초전원인가시한번만수행한다. (3) Start 이미지프레임저장을시작한다. (4) FRAME Read 보드에저장된이미지프레임을 PC 로읽어온다. 만약이미지프레임이보드에저장이 되어있지않으면저장이완료될때까지기다려야한다. (5) LVDS Close 보드사용이완료되고프로그램을종료할경우에호출한다. (6) Save to PC 로읽어온프레임이미지데이터를파일로저장할때사용한다 UART 관련기능 (1) Send Serial Data UART로데이터를전송한다. 전송할데이터는에디터박스에기록한후버튼을눌러서전송한다. (2) Get Serial Data Camera 에서 cpci-frm11 로전송한 UART 데이터를읽어온다. (3) Clear Serial Data 에디터박스에기록된 UART 데이터를지운다

27 (4) Start Timer 응용프로그램에서 Camera에서 cpci-frm11로전송한 UART 데이터를주기적으로읽어올경우에버튼을눌러서실행한다. 읽어오는주기는샘플프로그램에서 0.1초간격이다. (5) Stop Timer UART 수신데이터를주기적으로읽어오는것을중지한다. (6) UART Init UART 관련기능을초기화한다. 최초전원인가시한번만초기화한다. (7) UART Close 보드사용이완료되고프로그램을종료할경우에호출한다 DIO 관련기능 (1) DIO Read General purpose I/O 포트의값을읽어올경우에호출한다. 읽어온데이터는버튼옆에디터박스에기록된다. (2) DIO Write General purpose I/O 포트에값을기록할경우에호출한다. 기록할데이터값은버튼옆 에디터박스에서읽어온다

28 6. 시험 6.1 이미지프레임입력 보드의이상유무및간략한사용법을익히기위한기능시험을이장에서실시하기로한다. 시험은 cpci-frm11 보드가설치된 PC 에서샘플프로그램 ( FrmTest.exe ) 을이용하여실행한다. [ 그림 6-1. 시험결선도 ] 위의그림에서보면 cpci-frm11 보드는 Compact PCI 시스템안에장착이된다. 위의그림 과같이결선을완료하고, 전원을인가한다. cpci-frm11 보드가 PC 에등록이된것을확인한 후 PC 에서샘플프로그램 ( FrmTest.exe ) 을실행한다. (1) LVDS init 버튼을눌러서초기화한후 Start 버튼을눌러서이미지프레임을저장한다

29 (2) Frame Read 버튼을눌러서프로그램의이미지데이터를불러온다. 읽어온데이터는에디터박스에표시가되므로실제보낸데이터와일치하는가를확인한다. 경우에따라서는별도의확인프로그램을이용하여야하므로읽어온데이터를 Save to 버튼을눌러서파일로저장한뒤데이터이상유무를확인한다. 6.2 UART 송 / 수신 위의결선상태에서이미지프레임시뮬레이터에서주기적으로시리얼데이터를보드로전송 하도록한다. (1) UART init 버튼을눌러서초기화한후 Start Timer 버튼을눌러서주기적으로시뮬레이터에서전송한 UART 데이터를읽어와서화면에표시하도록한다. (2) [ 그림 6-1] 과같이 Send Serial Data 버튼옆의에디터박스에전송하고자하는문자를기록하고버튼을눌러서 UART 데이터를전송한다. 전송한데이터는시뮬레이터에서확인한다. 6.3 DIO 입 / 출력 위의결선상태에서시험을계속진행한다. (1) DIO Write 기능으로모든출력포트가 1 이되도록한후오실로스코프로확인한다. LVDS 출력및포토-커플러출력을오실로스코우프로확인하기위하여는외부에별도의회로구성이필요하다. (2) DIO Read 기능으로입력을확인한다. 이때, 포토-커플러를및 LVDS 입력을할수있는별도의외부회로구성을하여시험한다

30 Appendix A.1 일반사양 Specification General Base Configuration Camera Link Interface Compact PCI Interface Compatible PCI 32Bit/33MHz Interface PCI Target and Master operation Interface +5V Single Power operation Max 300mA 이내 Functions Software Supported OS API 8 Digital input(photo-coupler Input 8bit) 8 Digital Output(Photo-coupler Output 8bit) 24/16/8 비트이미지프레임획득이미지프레임데이터 PC 로전송 9600, 19200, 38400bps UART 송 / 수신 Windows 2000 SP4 이상 / Windows XP SP1 이상 Interface with Application through client DLL Sample Software Test Sample software for evaluation

31 References 1. Specification of Camera Link Interface Standard for Digital Cameras and Frame Grabbers -- Camera Link committee 2. PCI Local Bus Specification Revision PCI Special Interest Group 3. How to install PCI DAQ Board -- DAQ system 4. AN201 How to build application using API -- DAQ system 5. AN312 PCIe-FRM11 API Programming -- DAQ system

USB-EK001 매뉴얼

USB-EK001 매뉴얼 PCIe-AIO10 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

PICe-FRM26_B 매뉴얼

PICe-FRM26_B 매뉴얼 PCIe-FRM26_B User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

PICe-FRM24 매뉴얼

PICe-FRM24 매뉴얼 PCIe-FRM24 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

PICe-FRM24 매뉴얼

PICe-FRM24 매뉴얼 PCIe-FRM24 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

PICe-FRM26_B 매뉴얼

PICe-FRM26_B 매뉴얼 PCIe-FRM26_B User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

NET-FRM01 매뉴얼

NET-FRM01 매뉴얼 NET-FRM01 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

PCIe-FRM22 매뉴얼

PCIe-FRM22 매뉴얼 PCIe-FRM22 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

PCIe-FRM16_B 매뉴얼

PCIe-FRM16_B 매뉴얼 PCIe-FRM6_B User s Manual Windows, Windows000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 PCIe-FRM4 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

USB3-FRM10 매뉴얼

USB3-FRM10 매뉴얼 USB3-FRM10 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

USB3-FRM13_B 매뉴얼

USB3-FRM13_B 매뉴얼 USB3-FRM13_B User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

USB3-FRM13 매뉴얼

USB3-FRM13 매뉴얼 USB3-FRM13 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

매뉴얼

매뉴얼 PCI-DIO02 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-ROM Emulator User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

매뉴얼

매뉴얼 USB-DIO12800 User s Manual Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

매뉴얼

매뉴얼 USB-DIO6400 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

USB-AIO11 API

USB-AIO11 API NET-AIO11 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

매뉴얼

매뉴얼 PCI-AIO05 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

USB3-FRM01 API 매뉴얼

USB3-FRM01 API 매뉴얼 USB3-FRM01 API Programming (Rev 1.1) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

USB3-FRM13 API 매뉴얼

USB3-FRM13 API 매뉴얼 USB3-FRM13 API Programming (Rev 1.2) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

PICe-OPT02 매뉴얼

PICe-OPT02 매뉴얼 PCIe-OPT02 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63>

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63> SAM4S Printer Driver Installer 달리명시하지않은한, 인쇄또는복사된문서는통제하지않는문서임 목 차 1. 1. WINDOWS DRIVER INSTALLER 설치 설치...... 2 2. 프린터추가...... 5 3. 프린터제거...... 19 4. 프린터추가 / 제거선택...... 21 5. 프로그램추가 / 제거...... 21 SHC- 11-01-

More information

매뉴얼

매뉴얼 USB-AIO10 User s Manual Windows, Windows2000, Windows NT, Windows XP, Windows 7 and Windows CE are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

USB3-DIO01

USB3-DIO01 USB3-DIO01 API Programming (Rev 1.2) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

PICe-OPT01 매뉴얼

PICe-OPT01 매뉴얼 PCIe-OPT01 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

untitled

untitled CLEBO PM-10S / PM-10HT Megapixel Speed Dome Camera 2/39 3/39 4/39 5/39 6/39 7/39 8/39 ON ON 1 2 3 4 5 6 7 8 9/39 ON ON 1 2 3 4 10/39 ON ON 1 2 3 4 11/39 12/39 13/39 14/39 15/39 Meg gapixel Speed Dome Camera

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

NET-AIO12 매뉴얼

NET-AIO12 매뉴얼 NET-AIO12 User s Manual Windows, Windows2000, Windows NT, Windows XP, Windows 7 and Windows CE are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

개요

개요 Application Note (003) 시리얼인터페이스 (RS232/RS422/RS485) Version 1.0 솔내시스템주식회사 1. 개요 는 RS232, RS422, RS485등 3개의시리얼인터페이스를지원합니다. 사용자는 의설정용유틸리티인 ezconfig를이용해서 3개의인터페이스중에서하나를선택하여설정할수있습니다. 1.1. RS232 Ground를기준으로한전압을이용해서통신하는형태입니다.

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-IK01 User s Manual AN2131, EZ-USB and Cypress are trademarks of Cypress Semiconductor, Keil and uvision2 are trademarks of Keil software. Windows, Windows2000, Windows NT and Windows XP are trademarks

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

PowerPoint Presentation

PowerPoint Presentation Korea Tech Conference 2005 년 5 월 14 일, 서울 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 1 Parallel port 를이용한가전제품 제어 임효준 LG 전자 imhyo@lge.com 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 2

More information

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB Revision 1.0 Date 11th Nov. 2013 Description Established. Page Page 1 of 9 1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

USBISPV3.0(071112).hwp

USBISPV3.0(071112).hwp USBISP V3.0 User Manual AVRMALL http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 AVRMALL All Rights Reserved. USBISP V3.0 User Manual Page 2/14 Contents 1. Introduction 3 2. USB DRIVER

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

hwp

hwp 100% Concentration rate (%) 95% 90% 85% 80% 0.5 1.5 2.5 3.5 4.5 5.5 6.5 7.5 Time (min) Control box of RS485 Driving part Control trigger Control box of driving car Diaphragm Lens of camera Illumination

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

EISC-GANG_User_Guide_V1.2

EISC-GANG_User_Guide_V1.2 HW/SW User Guide EISC-GANG II 사용 설명서 Ver 1.2, 2012-09-20 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced

More information

Microsoft PowerPoint - T1 ERS (Elevator Reservation System)SASD2.pptx

Microsoft PowerPoint - T1 ERS (Elevator Reservation System)SASD2.pptx Team : T1 Member : 김영훈, 남장우, 황규원 Presenter : 김영훈 Statement of Purpose System Context Diagram Event List Data Flow Diagram Process Specification i Structured Charts Elevator Reservation System(ERS) -ERS는입력이들어오면입력을스케줄에저장한다.

More information

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성 i-movix 소개 2005 년설립 ( 벨기에, 몽스 ), 방송카메라제작 2005년 Sprintcam Live System 개발 2007년 Sprintcam Live V2 2009년 Sprintcam Live V3 HD 2009년 Sprintcam Vvs HD 2011년 Super Slow Motion X10 2013년 Extreme + Super Slow

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

untitled

untitled Huvitz Digital Microscope HDS-5800 Dimensions unit : mm Huvitz Digital Microscope HDS-5800 HDS-MC HDS-SS50 HDS-TS50 SUPERIORITY Smart Optical Solutions for You! Huvitz Digital Microscope HDS-5800 Contents

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

레이아웃 1

레이아웃 1 www.iolink.co.kr MACHINE VISION CABLE 머신비전케이블 Stability of low-skew and the attenuation!! 철저한품질관리로주파수의낮은왜곡과감쇄의안정성실현! Camera Link Vision GigE Vision Analog Camera Camera Link Vision 카메라링크인터페이스를사용하는카메라와프레임그레버간접속케이블

More information

PowerPoint Template

PowerPoint Template SOFTWARE ENGINEERING Team Practice #3 (UTP) 201114188 김종연 201114191 정재욱 201114192 정재철 201114195 홍호탁 www.themegallery.com 1 / 19 Contents - Test items - Features to be tested - Features not to be tested

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

untitled

untitled R&S Power Viewer Plus For NRP Sensor 1.... 3 2....5 3....6 4. R&S NRP...7 -.7 - PC..7 - R&S NRP-Z4...8 - R&S NRP-Z3... 8 5. Rohde & Schwarz 10 6. R&S Power Viewer Plus.. 11 6.1...12 6.2....13 - File Menu...

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

기존에 Windchill Program 이 설치된 Home Directory 를 선택해준다. 프로그램설치후설치내역을확인해보면 Adobe Acrobat 6.0 Support 내역을확인할수 있다.

기존에 Windchill Program 이 설치된 Home Directory 를 선택해준다. 프로그램설치후설치내역을확인해보면 Adobe Acrobat 6.0 Support 내역을확인할수 있다. PDMLink 에등록된 Office 문서들의 PDF 문서변환기능및 Viewer 기능을알아보자 PDM Link에서지원하는 [Product View Document Support] 기능은 Windows-Base 기반의 Microsoft Office 문서들을 PDMLink용 Viewer인 Product View를통한읽기가가능한 PDF Format 으로변환하는기능이다.

More information

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D>

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D> 태양광 모듈 효율 실증 테스트 시스템 National Instrument Korea 전략마케팅 / 팀장 윤 동 원 1 회사 소개 소재: 미국 텍사스 오스틴 설립일: 1976년 지사 및 직원: 40여 개국의 지사, 4,300명의 직원 2007년 매출: $740M R&D 투자: 1) 사업비의 16% R&D 투자 2) 1,400명 이상의 R&D인력 대표 제품: LabVIEW,

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16),

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16), All In OneSTEP MBCD-13A ( 스텝모터용 1 축컨트롤러 / 드라이버일체형 ) 사용설명서 MotionBank 1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64),

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

Mango-E-Toi Board Developer Manual

Mango-E-Toi Board Developer Manual Mango-E-Toi Board Developer Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

2

2 2 3 4 5 6 7 8 9 10 11 60.27(2.37) 490.50(19.31) 256.00 (10.07) 165.00 111.38 (4.38) 9.00 (0.35) 688.00(27.08) 753.00(29.64) 51.94 (2.04) CONSOLE 24CH 32CH 40CH 48CH OVERALL WIDTH mm (inches) 1271.45(50.1)

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

RS- 232, RS485 FND Display Module NET-SFND-4-23A RS-232, RS485 FND Display Module NET-SFND-4-23A MANUAL (Rev 1.0) Net-Control http

RS- 232, RS485 FND Display Module NET-SFND-4-23A RS-232, RS485 FND Display Module NET-SFND-4-23A MANUAL (Rev 1.0) Net-Control   http RS-232, RS485 FND Display Module NET-SFND-4-23A MANUAL (Rev 1.0) - 1 - 1. 정격사양. NET-SFND-4-23A Display Module 은 RS-232, RS-485 겸용입니다. 밝기조절기능을추가하여통신명령으로밝기를조절할수있습니다. 이기능을사용하여표시부를점멸시키거나점차밝아지거나어두워지는특수효과를낼수도있습니다.

More information

Microsoft Word - Bluetooth User Manual_V1.00_KOR.doc

Microsoft Word - Bluetooth User Manual_V1.00_KOR.doc Bluetooth User Manual (RIF-BT10) Contents 1. 제품구성 -------------------------------------------------------- 2 2. 제품 Spec -------------------------------------------------------- 3 3. System 구성 ------------------------------------------------------

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information