USB-EK001 매뉴얼

Size: px
Start display at page:

Download "USB-EK001 매뉴얼"

Transcription

1 USB-IK01 User s Manual AN2131, EZ-USB and Cypress are trademarks of Cypress Semiconductor, Keil and uvision2 are trademarks of Keil software. Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. XILINX, XC9536XL, are trademarks of XILINX. We acknowledge that the trademarks or service names of all other organizations mentioned in this document as their own property. The information in this document is subject to change without notice and no part of this document may be copied or reproduced without the prior written consent. Copyrights 2005 DAQ system, All rights reserved

2 -- 목차 개요 2. USB-IK01 사양및기능 3. USB-IK01 사용설명 3.1 USB-IK01 개념 3.2 내용물확인 3.3 메모리맵 4. EPLD(XC9536XL) 프로그램 5. 시험 4.1 VHDL 소스설명 4.2 ISE를이용한 VHDL 컴파일 4.3 ISE를이용한칩프로그램 5.1 시험준비 5.2 PCF8754 시험 5.3 EPLD시험 5.4 RAM Test 부록 (Appendix) References A 보드커넥터 PIN Map - 2 -

3 1. 개요 USB-EK01은최소한의메모리및 I/O를이용하여다양한시험을할수있는평가용보드로설계가되었다 (USB-EK01 Users Manual 참조 ). 대부분의시험및응용에는내부 8K Byte 메모리는충분하였지만, 프로그램용량이크거나많은데이터메모리를요구하는응용에는한계가있었다. 따라서, 외부메모리사용이필요하게되었고, 또한추가 I/O 확장그리고사용자가하드웨어구성을바꿀수있는개념으로 USB-EK01의외부확장커넥터에연결하여사용할수있는 USB-IK01 보드를설계하게되었다. [ 그림 1-1. USB-EK01 외형 ] 0xFFFF AN2131QC Internal 0x7FFF 0x7B40 0x27FF 0x2000 0x1FFF 0x1B40 0x1B3F REGs/Buffers DATA REGs/Buffers USB control registers 192 Bytes 16x64 Bulk End points (1024 Bytes) SFR IDATA XDATA/ CODE 6,976 Bytes 0x0000 DATA BDATA REGs EA = 0 [ 그림 1-2. USB-EK01(AN2131) 메모리맵 ] - 3 -

4 2. USB-IK01 기능및사양 < 기능 > - USB-EK01 기능확장 ( 메모리, I/O 등 ) - XLINX FPGA/EPLD 프로그램인터페이스 ( 별도의인터페이스장치필요없음 ) - EPLD Programming에의한하드웨어변경 ( 메모리위치 ) - EPLD를통한 I/O 확장 - I2C 통한 I/O 확장 < 사양 > - 외부 RAM 32K - 외부 ROM(Flash) 64K - 추가 8개의범용 I/O (I2C 시리얼인터페이스 ) - 36개의프로그램가능한매크로셀 - 4 -

5 Extention Connectors 3. USB-IK01 사용설명 3.1 USB-IK01 개념 USB-IK01 EPLD 36 Macro- Cell CON CON USB-EK01 ROM (Flash) 64K Switchs & LEDs EPLD Program Interface Dsub 25p Host(PC) RAM 32K 8 I/O (I2C) [ 그림 3-1. USB-IK01 기능블록도 ] USB-IK01은점선박스로표시된것과같이크게두부분으로분리된다 ( 전기적으로도완전분리됨 ). 먼저, EPLD(XC9536XL) 를이용한메모리및 I/O 확장부분과, EPLD 프로그램을자체적으로할수있게하는인터페이스부분이다. 따라서, 별도의 EPLD 프로그래머가필요없이하드웨어구성을바꿀수있도록하였다. [ 그림 3-2. USB-IK01 를프로그래머로사용하는모습 ] - 5 -

6 [ 그림 3-3. USB-IK01 의 EPLD 를자체프로그램연결모습 ] [ 그림 3-2] 와 [ 그림 3-3] 에서보듯이자체적으로 EPLD 를프로그램할수있고또한, 다른보드의프로그래머로사용할수도있다. 3.2 내용물확인 [ 그림 3-4. USB-IK01 주요내용물 ] (1) USB-IK01 Evaluation board (2) 25Pin Parallel 케이블 (3) 10Pin Flat 케이블 (EPLD 프로그램 ) - 6 -

7 3.3. 메모리맵 [ 그림 1-2] 에서보듯이 USB-EK01 자체의메모리는데이터및프로그램용으로동시에사용할수있는내부 8K RAM이전부이다. 하지만, [ 그림 3-5] 와같이두개의보드를연결할경우 USB-IK01에장착되어있는 RAM 32K, ROM(Flash) 64K를사용할수가있다. [ 그림 3-5. USB-IK01 와 USB-EK01 이결합된모습 ] 0xFFFF USB-IK01 Board (Note) Can be programed the location by EPLD programming and DIP sw. 0x7FFF AN2131QC Internal RAM32K CODE or XDATA FLASH 64K CODE 0x2000 0x1B40 0x0000 [ 그림 3-6. USB-IK01 와 USB-EK01 의결합시메모리맵 (EA = 0)] - 7 -

8 그리고, 칩의 EA pin 의상태에따라서 [ 그림 3-6] 과 [ 그림 3-7] 에서처럼프로그램 메모리의사용방법이틀려지는데, 여기서 EA pin 의상태는 EPLD 에서외부 DIP 스위 치입력을받아서처리할수있도록하였다. 0xFFFF USB-IK01 Board (Note) Can be programed the location by EPLD programming and DIP sw. 0x7FFF AN2131QC Internal RAM32K CODE or XDATA FLASH 64K CODE 0x2000 0x0000 [ 그림 3-7. USB-IK01 와 USB-EK01 의결합시메모리맵 (EA = 1)] EA pin 이 High Level 1 일경우외부데이터메모리영역은영향을받지않으며, AN2131 칩내부 RAM 은일반데이터메모리로사용할수있다

9 4. EPLD(XC9536XL) 프로그램 EPLD를프로그램하기위하여는아래그림과같이두개의보드를결합하고, 프린터케이블을 PC와 USB-IK01간에연결하고, 그림에서보이는파란색원안의두개의커넥터를 [ 그림 4-1] 과같이 Flat 케이블로연결한다. [ 그림 4-1. USB-IK01 의 EPLD 프로그램모습 ] 4.1 VHLD 소스설명사용한 VHDL 소스는 USB-EK01과함께제공되는 CDROM의 Hardware\ USB-IK01 폴더에서찾을수있다. 아래는 VHDL 소스의일부를발췌한것이다. 여기에서 MM은 in std_logic_vector(1 downto 0) 으로정의가되어있고, DIP switch의 3번과 4번에연결이되어있고, ON 시 0 OFF 시 1 로동작을한다. DIP switch 3번이 Bit0이다. 도면과소스를함께분석해가면서자신이원하는동작을할수있도록프로그램소스를고쳐서사용하기바란다

10 <VHDL 소스발췌 > decode_prog:process(nreset,mm) begin if ( nreset = '0') then nce <= "11"; noe <= "11"; EA <= '0'; else case MM is when "00" => -- No external memory nce <= "11"; noe <= "11"; EA <= '0'; when "01" => -- RAM is located at address 0x8000 nce(2) <= not A(15); nce(1) <= '1'; noe(2) <= npsen and PC(7); noe(1) <= '1'; EA <= '0'; when "10" => -- RAM(0x0) ROM(0x8000) nce(2) <= A(15); nce(1) <= not A(15); noe(2) <= npsen and PC(7); noe(1) <= npsen and PC(7); EA <= '0'; when "11" => -- ROM(0x0), RAM(0x8000) nce(2) <= not A(15); nce(1) <= A(15); noe(2) <= npsen and PC(7); noe(1) <= npsen and PC(7); EA <= '1'; when others => NULL; end case; end if; end process; nwe <= PC(6); USER <= (others => '0'); SM1 <= BOOT; ncon <= BOOT; -- Write enable nprog <= PA(0) when PA(1) = '0' else CLK1MHz; SPARE(1) <= CLK1MHz; nwakeup <= nint and nsw_st; PA2 <= nsw_st; PA3 <= nint; SPARE(2) <= A(14) and BKPT;

11 4.2 ISE를이용한 VHDL 컴파일 USB-IK01에사용된 EPLD는 XILINX사에서판매하는 XC9536XL을이용하였고사용한컴파일프로그램도 XILINX사의 ISE(Integrated Software Environment) 를이용하였다. ISE는 XILINX에서구입하거나평가판을 아래의그림은 ISE를실행한화면모습이다. [ 그림 4-2. ISE 실행화면 ] 자세한프로그램사용법은매뉴얼을참조하기바란다. 매뉴얼은 XILINX 웹사 이트나프로그램인스톨시에함께설치된다

12 4.3 ISE를이용한칩프로그램 ISE를이용하여 VHDL 컴파일및 Implementation이끝나게되면최종적으로생성이되는것이 JEDEC 파일이다. 이파일을 EPLD 칩에기록하여원하는기능을하도록하는데, 아래의그림은 JTAG 인터페이스 (Boundary Scan) 를이용하여프로그램하는것을보이고있다 (impact는 ISE를설치할때함께설치된다 ). [ 그림 4-3. impact 실행화면 ] 자세한프로그램사용법은매뉴얼을참조하기바란다. 매뉴얼은 XILINX 웹사이 트나프로그램인스톨시에함께설치된다

13 5. 시험 [ 그림 4-1] 과같이케이블 (RS232, USB) 을연결한다. 이때 EPLD 프로그램을하지않을경우에는프린터케이블을연결할필요는없다. 5.1 시험준비 시험에는통신프로그램 comm.exe가사용되면, 설정값은 38400bps, 8bit, No parity, 1 stop bit 이다. 먼저, 시험에필요한 DIP 스위치설정을한다. DIP 스위치의 설정내용은 [ 표 1.] 과같다. ( 자세한내용은 VHDL 소스를참조하기바람.) EPLD의내용은사용자가임의대로수정할수있기에아래의 DIP 스위치내용은 사용자프로그램에따라서변할수있음. [ 표 1.] 스위치번호 내 용 비고 1 PCF 8574의입력포트 (bit7) 에연결되어있으며 ON 시 8574의입력을읽으면 0 으로읽힘. 2 ON 시 16bit 어드레스시리얼 EEPROM을선택하도록 하여 EEPROM에서 VID, PID를읽어올수있게함. 24LC64의경우 16bit 어드레스 EEPROM임. OFF 일 경우 EZ-USB 코어에서시리얼 EEPROM이없는것으 로인식함 (24LC00 예외 ) 3 Memory Mode Bit0으로 ON 시 0 임 4 Memory Mode Bit1으로 ON 시 0 임 MM 이 00 일경우외부메모리를사용하지않음. 즉, 내부 8Kbyte RAM만을사용함. MM 이 01 일경우외부 RAM 만을사용함. MM 이 10 일경우외부 RAM과 ROM을사용함. RAM 어드레스 0x0000, ROM 어드레스 0x8000 MM 이 11 일경우외부 RAM 과 ROM을사용함. EA(External Access) 핀은 1 임, 즉프로그램을외부 ROM에서읽어옴 ROM 어드레스 0x0000, RAM 어드레스 0x

14 [ 그림 5-1. USB-IK01 외부입 / 출력 ] [ 그림 5-1] 을보게되면, 시험에사용될보드의입 / 출력을확인할수있다. 그리고, 시험방법및사용프로그램에대하여는 USB-EK01 사용자매뉴얼 의 5 장 을참조하기바람

15 5.2 PCF8574 시험시험에사용되는파일은 CDROM의 Software\Example\USB-IK01\ PCF8574 폴더에서찾을수있으며, pcf8574.hex 를내려받아시험한다. 실행을하게되면, 시리얼포트를통하여주기적으로 8574의입력값을전송한다. 전송되는내용은 COMM.exe 를이용하여확인할수있다. 여기에서 스위치 #2 를누르게되면, 값이바뀌게되는데 [ 그림 5-2] 에서보면값이 0xFB 에서 0xF9로바뀐것을알수있다. [ 그림 5-2. PCF8574 시험모습 ]

16 5.3 EPLD 시험시험에사용되는파일은 CDROM의 Software\Example\USB-IK01\EPLD 폴더에서찾을수있으며, epld.hex 를다운로드하여시험한다. 실행을하게되면, 시리얼포트를통하여주기적으로 PCF8574 및 8051 포트 A의값을읽어서전송한다. 전송되는내용은 COMM.exe 를이용하여확인할수있으며, 또한, LED 1/2가주기적으로점등한다. 여기에서 스위치 #1 이나 스위치 #2 를누르게되면, 입력되는값이바뀌게된다. [ 그림 5-3] 을보게되면확인할수있다. [ 그림 5-3. EPLD 시험모습 ]

17 5.4 RAM Test 시험에사용되는파일은 CDROM의 Software\Example\USB-IK01\ RAMTEST 폴더에서찾을수있으며, ramtest.hex 를내려받아시험한다. 실행을하게되면, 시리얼포트를통하여 스위치 #1 을누를것을요구한다. 스위치 #1 을누를경우 RAM번지 0x6000에서부터 0x7000까지 0x55값을기록하고확인한다. 다음번에다시 스위치 #1 을누를경우 RAM번지 0x6000에서부터 0x7000까지 0xAA값을기록하고확인한다. 전송되는내용은 COMM.exe 를이용하여 [ 그림 5-4] 와같이확인할수있다. [ 그림 5-4. RAM Test 시험모습 ]

18 부록 (Appendix) A. 보드커넥터 (JP1 and JP5) PIN Map USB-IK01 보드양쪽에자리잡고있는커넥터로 USB-EK01과연결할수있다. ( 참조 3.3절 ) JP1 JP5-18 -

19 <JP1 커넥터 Pin Map> PIN 번호 명칭 설 명 1 SPARE1 EPLD Spare 1 2 SPARE2 EPLD Spare 2 3 GND Signal Ground 4 GND Signal Ground 5 N.C No Connection 6 SM1 Serial ROM select 1 (short to ground for 24LC64) 7 N.C No Connection 8 N.C No Connection 9 N.C No Connection 10 N.C No Connection 11 PA General Purpose PortA 3 12 PA General Purpose PortA 2 13 PA General Purpose PortA 1 14 PA General Purpose PortA 0 15 GND Signal Ground 16 WAKEUP# Wakeup input from CPU suspend 17 SCL I2C signal clock 18 SDA I2C signal data 19 BKPT Break Point 출력 V 3.3V 전원공급 21 D6 Data bus 6 22 D7 Data bus 7 23 D4 Data bus 4 24 D5 Data bus 5 25 N.C No Connection 26 N.C No Connection 27 N.C No Connection 28 N.C No Connection 29 D2 Data bus 2 30 D3 Data bus 3 31 D0 Data bus 0 32 D1 Data bus 1 33 GND Signal Ground 34 GND Signal Ground

20 35 N.C No Connection 36 N.C No Connection 37 N.C No Connection 38 N.C No Connection V 3.3V 전원공급 V 3.3V 전원공급 <JP5 커넥터 Pin Map> PIN 번호 명 칭 설 명 1 N.C No Connection 2 N.C No Connection 3 N.C No Connection 4 RESET# Reset 출력 (Low Active) 5 N.C No Connection 6 PSEN# Program strobe Enable 7 3.3V 3.3V 전원공급 8 GND Signal Ground 9 3.3V 3.3V 전원공급 10 CLK24 CPU clock 24Mhz 11 A0 Address Bus 0 12 GND Signal Ground 13 A2 Address Bus 2 14 A1 Address Bus 1 15 A4 Address Bus 4 16 A3 Address Bus 3 17 A6 Address Bus 6 18 A5 Address Bus 5 19 EA External Access 입력 20 A7 Address Bus 7 21 A8 Address Bus 8 22 N.C No Connection 23 A10 Address Bus A9 Address Bus 9 25 GND Signal Ground 26 A11 Address Bus

21 27 N.C No Connection 28 GND Signal Ground 29 N.C No Connection 30 N.C No Connection 31 A12 Address Bus PC General Purpose PortC 3 33 A14 Address Bus A13 Address Bus N.C No Connection 36 A15 Address Bus PC General Purpose PortC 6 38 N.C No Connection 39 N.C No Connection 40 PC General Purpose PortC

22 References 1. EZ-USB Manual Technical Reference Manual V XC9500XL High-Performance CPLD Family Data Sheet 3. ISE Quick Start Tutorial 4. VHDL for PROGRAMMABLE LOGIC -- Cypress Semiconductor Corporation -- Xilinx Inc. -- Xilinx Inc. -- KEVIN SKAHILL, (Addison Wesley)

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-ROM Emulator User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-EK01 User s Manual AN2131, EZ-USB and Cypress are trademarks of Cypress Semiconductor, Keil and uvision2 are trademarks of Keil software. Windows, Windows2000, Windows NT and Windows XP are trademarks

More information

매뉴얼

매뉴얼 USB-DIO12800 User s Manual Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 PCIe-AIO10 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

목차 006/ 008/ 009/ 011/ 012/ 013/ 014/ Part 1_ 컴퓨터가 제대로 작동하지 않을 때 문제00_ 윈도우7 복구(초기화) 방법 안내 문제01_ 컴퓨터의 전원 버튼을 눌러도 아무 반응이 없어요. 문제02_ 전원을 누르면 팬(쿨러)이 돌아가는

목차 006/ 008/ 009/ 011/ 012/ 013/ 014/ Part 1_ 컴퓨터가 제대로 작동하지 않을 때 문제00_ 윈도우7 복구(초기화) 방법 안내 문제01_ 컴퓨터의 전원 버튼을 눌러도 아무 반응이 없어요. 문제02_ 전원을 누르면 팬(쿨러)이 돌아가는 컴퓨터 유지관리 Q&A www.npoit.kr 이 www.fb.com/npoitcenter 책은 컴퓨터를 사용하며 자주 발생하는 문제에 대한 설명 npoit@npoit.kr 및 해결 방법을 담고 있습니다. 컴퓨터를 070-4241-8883 관리할 때 필요한 기초 상식들도 함께 있습니다. 목차 006/ 008/ 009/ 011/ 012/ 013/ 014/ Part

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오.

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오. 메 뉴 다음 사항을 꼭 확인하세요! --------------------------------- 2p 안전을 위한 주의 사항 --------------------------------- 3p 구성품 --------------------------------- 4p 각 부분의 명칭 --------------------------------- 5p 제품의 규격

More information

RS- 232, RS485 FND Display Module NET-SFND-4-23A RS-232, RS485 FND Display Module NET-SFND-4-23A MANUAL (Rev 1.0) Net-Control http

RS- 232, RS485 FND Display Module NET-SFND-4-23A RS-232, RS485 FND Display Module NET-SFND-4-23A MANUAL (Rev 1.0) Net-Control   http RS-232, RS485 FND Display Module NET-SFND-4-23A MANUAL (Rev 1.0) - 1 - 1. 정격사양. NET-SFND-4-23A Display Module 은 RS-232, RS-485 겸용입니다. 밝기조절기능을추가하여통신명령으로밝기를조절할수있습니다. 이기능을사용하여표시부를점멸시키거나점차밝아지거나어두워지는특수효과를낼수도있습니다.

More information

PCIe-FRM22 매뉴얼

PCIe-FRM22 매뉴얼 PCIe-FRM22 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

마리오와 소닉 리우 올림픽™

마리오와 소닉 리우 올림픽™ 마리오와 소닉 리우 올림픽 1 사용하기 전에 준비하기 2 유저 콘텐츠에 대하여 3 인터넷으로 이용 가능한 기능 4 보호자 여러분께 5 amiibo란 시작하기 전에 6 게임 소개 7 게임 시작 방법 8 조작 방법 9 데이터 저장과 삭제 통신으로 더욱 즐기기 10 대전 모드 11 포켓 마라톤 12 기록 기타 13 사용 곡명과 작곡자 소개 14 플레이 정보 송신

More information

PRO1_01E [읽기 전용]

PRO1_01E [읽기 전용] PCS 7 Software NET PC WinCC HMI DP Controller Siemens AG 1999 All rights reserved File: PRO1_01E1 2 S7-200 3 S7-200: 4 S7-200: CPU 5 S7-300 6 S7-300: 7 S7-300: CPU 8 S7-400 9 S7-400: 10 S7-400: CPU (1)

More information

USB-AIO11 API

USB-AIO11 API NET-AIO11 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

lecture4(6.범용IO).hwp

lecture4(6.범용IO).hwp 제 2 부 C-언어를 사용한 마이크로컨트롤러 활용기초 66 C-언어는 수학계산을 위해 개발된 FORTRAN 같은 고급언어들과는 달 리 Unix 운영체제를 개발하면서 같이 개발된 고급언어이다. 운영체제의 특성상 C-언어는 다른 고급언어에 비해 컴퓨터의 하드웨어를 직접 제어할 수 있는 능력이 탁월하여 마이크로프로세서의 프로그램에 있어서 어셈블 리와 더불어 가장

More information

USB3-FRM01 API 매뉴얼

USB3-FRM01 API 매뉴얼 USB3-FRM01 API Programming (Rev 1.1) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper Windows Netra Blade X3-2B( Sun Netra X6270 M3 Blade) : E37790 01 2012 9 Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs,

More information

매뉴얼

매뉴얼 USB-DIO6400 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은

고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은 CAR AUDIO SYSTEM 3XKRC07 AM100MDDG 사용설명서 ATYPE 고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은 제품의

More information

내용물 시작 3 구성품 4 MDA200 기본 사항 5 액세서리 6 헤드셋 연결 7 탁상 전화기: 연결 및 통화 8 탁상 전화기(표준) 8 탁상 전화기+ HL10 거치대와 전원 공급 장치(별도 구매) 10 탁상 전화기+ EHS 케이블 12 컴퓨터: 연결 및 통화 13 컴

내용물 시작 3 구성품 4 MDA200 기본 사항 5 액세서리 6 헤드셋 연결 7 탁상 전화기: 연결 및 통화 8 탁상 전화기(표준) 8 탁상 전화기+ HL10 거치대와 전원 공급 장치(별도 구매) 10 탁상 전화기+ EHS 케이블 12 컴퓨터: 연결 및 통화 13 컴 MDA200 오디오 스위처 사용 설명서 내용물 시작 3 구성품 4 MDA200 기본 사항 5 액세서리 6 헤드셋 연결 7 탁상 전화기: 연결 및 통화 8 탁상 전화기(표준) 8 탁상 전화기+ HL10 거치대와 전원 공급 장치(별도 구매) 10 탁상 전화기+ EHS 케이블 12 컴퓨터: 연결 및 통화 13 컴퓨터 연결 및 전화 걸기 13 MDA200 LED 표시등

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

DR-M140 사용 설명서

DR-M140 사용 설명서 사용 설명서 본 스캐너를 사용하기 전에 이 설명서를 읽으십시 오. 이 설명서를 다 읽은 후에는 이후에 참조할 수 있 도록 안전한 곳에 보관하십시오. 보증과 A/S 이 제품에는 보증서가 있습니다. 보증서는 구매처에서 받을 수 있습니다. 구매한 날짜 구매처 등의 기 입을 확인한 후 내용을 정확히 읽고 잘 보관하십시오. 보증기간 보증기간은 구매한 날로부터 1년 입니다.

More information

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate ALTIBASE HDB 6.1.1.5.6 Patch Notes 목차 BUG-39240 offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG-41443 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate 한뒤, hash partition

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 TI DSP 3X Programmer (v1.3) for TMS320C31/32/33 Device ( 모델명 : TD3XProg) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - TI DSP3X Programmer (TD3XProg) 제품소개 TD3XProg

More information

라즈베리파이 프로그래밍_130912(최종).indd

라즈베리파이 프로그래밍_130912(최종).indd 파이썬으로 시작하는 라즈베리 파이 프로그래밍 Programming the Raspberry Pi Getting Started with Python Programming the Raspberry Pi: Getting Started with Python, 1st Edition. Korean Language Edition Copyright 2013 by McGraw-Hill

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

USB3-FRM13 API 매뉴얼

USB3-FRM13 API 매뉴얼 USB3-FRM13 API Programming (Rev 1.2) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

USB3-DIO01

USB3-DIO01 USB3-DIO01 API Programming (Rev 1.2) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

Integ

Integ HP Integrity HP Chipset Itanium 2(Processor 9100) HP Integrity HP, Itanium. HP Integrity Blade BL860c HP Integrity Blade BL870c HP Integrity rx2660 HP Integrity rx3600 HP Integrity rx6600 2 HP Integrity

More information

매뉴얼

매뉴얼 PCI-DIO02 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

2004 IRISPen 사용자 설명서-본문-용지크기 조정-폰트포함.PDF

2004 IRISPen 사용자 설명서-본문-용지크기 조정-폰트포함.PDF wwwirispencokr wwwirispencokr IRISPen IRIS PCR(Pen Character Recognition) 1 IRISPen? IRISPen Express IRISPen Executive IRISPen Executive IRISPen Executive IRISPen Express,,,,, IRISP en, IRISPen ExecutiveIRISPen

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

A+H/W-CH16

A+H/W-CH16 CHAPTER.,.,. Guide to Hardware: Managing, Maintaining, and Troubleshooting 3/e+ + HARDWARE Guide to Hardware 3/e HARDWARE PC PC.. PC PC. PC PC,, ( ). PC. PC PC,., PC., PC.. PC.. PC.,,. PC.,,..,. PC. (

More information

#KM560

#KM560 KM-560 KM-560-7 PARTS BOOK KM-560 KM-560-7 INFORMATION A. Parts Book Structure of Part Book Unique code by mechanism Unique name by mechanism Explode view Ref. No. : Unique identifcation number by part

More information

User Guide

User Guide 하드웨어 참조 설명서 HP RP2 소매 시스템 Copyright 2014 Hewlett-Packard Development Company, L.P. Microsoft 와 Windows 는 Microsoft 그룹의 미 국 등록 상표입니다. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보증은 제품 및 서비스와 함께

More information

LCD Monitor

LCD Monitor LCD MONITOR quick start guide 320TSn-2 ii Floor standing type) LCD Display D-Sub AAA X 2) 8 DVI KIT LAN TV Note TV MENU MENU] 9 ENTER ENTER] SOURCE SOURCE] [PC DVI HDMI MagicInfo] TV TV D.MENU D.MENU TV

More information

PRO1_02E [읽기 전용]

PRO1_02E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

H3050(aap)

H3050(aap) USB Windows 7/ Vista 2 Windows XP English 1 2 3 4 Installation A. Headset B. Transmitter C. USB charging cable D. 3.5mm to USB audio cable - Before using the headset needs to be fully charged. -Connect

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16),

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16), All In OneSTEP MBCD-13A ( 스텝모터용 1 축컨트롤러 / 드라이버일체형 ) 사용설명서 MotionBank 1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64),

More information

Microsoft PowerPoint - ch07.ppt

Microsoft PowerPoint - ch07.ppt chapter 07. 시스코라우터기본동작 한빛미디어 -1- 학습목표 시스코라우터외적, 내적구성요소 시스코라우터부팅단계 시스코라우터명령어모드 한빛미디어 -2- 시스코라우터구성요소 라우터외부구성요소 (1) [ 그림 ] 2600 라우터전면도 인터페이스카드 전원부 LED 라우터조건 한빛미디어 -3- 시스코라우터구성요소 라우터외부구성요소 (2) [ 그림 ] VTY 를이용한라우터접속

More information

Microsoft PowerPoint - 알고리즘_1주차_2차시.pptx

Microsoft PowerPoint - 알고리즘_1주차_2차시.pptx Chapter 2 Secondary Storage and System Software References: 1. M. J. Folk and B. Zoellick, File Structures, Addison-Wesley. 목차 Disks Storage as a Hierarchy Buffer Management Flash Memory 영남대학교데이터베이스연구실

More information

#KLZ-371(PB)

#KLZ-371(PB) PARTS BOOK KLZ-371 INFORMATION A. Parts Book Structure of Part Book Unique code by mechanism Unique name by mechanism Explode view Ref. No. : Unique identifcation number by part Parts No. : Unique Product

More information

ETOS Series 사용설명서

ETOS Series 사용설명서 Programmable Gateway System ETOS - DPS (Profibus DP Slave To Serial) ETOS DPS AC&T System Co., Ltd. 2005-12-12 AC&T System Copyright 2000~2004. All rights reserved. AC&T System 1 1. 1.1. ETOS-DPS 1.1.1.

More information

목차 Q-1. 데이터를 통한 음성통화가 되지 않습니다.... 4 Q-2. WiFi 연결이 안됩니다.... 4 Q-3. 인터넷 또는 네트워크 연결이 안됩니다.... 5 Q-4. 표준 부속품을 알려주시기 바랍니다.... 6 Q-5. 구입하였습니다만, 배터리는 어떻게 장착하

목차 Q-1. 데이터를 통한 음성통화가 되지 않습니다.... 4 Q-2. WiFi 연결이 안됩니다.... 4 Q-3. 인터넷 또는 네트워크 연결이 안됩니다.... 5 Q-4. 표준 부속품을 알려주시기 바랍니다.... 6 Q-5. 구입하였습니다만, 배터리는 어떻게 장착하 사용자 FAQ (URoad-LFM300) 2015. 08. 26 1 / 19 Copyright c MODACOM Co., Ltd. 목차 Q-1. 데이터를 통한 음성통화가 되지 않습니다.... 4 Q-2. WiFi 연결이 안됩니다.... 4 Q-3. 인터넷 또는 네트워크 연결이 안됩니다.... 5 Q-4. 표준 부속품을 알려주시기 바랍니다.... 6 Q-5.

More information