STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

Size: px
Start display at page:

Download "STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro"

Transcription

1

2 STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection unit, 150 DMIPS/1.25 DMIPS/MHz (Dhrystone 2.1) Memories Up to 1 Mbyte of Flash memory 512 bytes of OTP memory Up to Kbytes of SRAM Flexible static memory controller that supports Compact Flash, SRAM, PSRAM, NOR and NAND memories LCD parallel interface, 8080/6800 modes Clock, reset and supply management From 1.65 to 3.6 V application supply and I/Os POR, PDR, PVD and BOR 4 to 26 MHz crystal oscillator Internal 16 MHz factory-trimmed RC (1% accuracy at 25 C) 32 khz oscillator for RTC with calibration Internal 32 khz RC with calibration Low power Sleep, Stop and Standby modes VBAT supply for RTC, bit backup registers, and optional 4 KB backup SRAM 3 12-bit, 0.5 μs A/D converters up to 24 channels up to 6 MSPS in triple interleaved mode 2 12-bit D/A converters General-purpose DMA 16-stream DMA controller with centralized FIFOs and burst support Up to 17 timers Up to twelve 16-bit and two 32-bit timers, up to 120 MHz, each with up to 4 IC/OC/PWM or pulse counter and quadrature (incremental) encoder input Debug mode Serial wire debug (SWD) & JTAG interfaces Cortex-M3 Embedded Trace Macrocell Up to 140 I/O ports with interrupt capability: Up to 136 fast I/Os up to 60 MHz Up to V-tolerant I/Os Up to 15 communication interfaces Up to 3 I2C interfaces (SMBus/PMBus) Up to 4 USARTs and 2 UARTs (7.5 Mbit/s,ISO 7816 interface, LIN, IrDA, modem control) Up to 3 SPIs (30 Mbit/s), 2 with muxed I2S to achieve audio class accuracy via audio PLL or external PLL 2 CAN interfaces (2.0B Active) SDIO interface Advanced connectivity

3 USB 2.0 full-speed device/host/otg controller with on-chip PHY USB 2.0 high-speed/full-speed device/host/otg controller with dedicated DMA, on-chip full-speed PHY and ULPI 10/100 Ethernet MAC with dedicated DMA: supports IEEE 1588v2 hardware, MII/RMII 8- to 14-bit parallel camera interface: up to 48 Mbyte/s CRC calculation unit, 96-bit unique ID Analog true random number generator

4 STM32F2xx Part numbering

5 STM32F207VGT 기능및주변장치

6 Mango-M32F2 BOARD SPECIFICATION STM32F207VGT : Coretex-M3 기반 ST Microelectronics사의 Micro-Controller 100 Pin LQFP 1024 Kbytes of Flash memory 2 Port RS-232C Interface 1 Port USB 2.0 FS Device Interface 1 Port USB HOST FS 1 Port Ethernet 1 Port UART for GPS 1 Port UART for ZigBee 3 Indicator LEDs 2 USER Key 1 Reset Key 1 Boot Select Switch 2 X 100 HEADER for Expansion

7 Mango-M32F2 BLOCK DIAGRAM

8 Mango-M32F2 부품면설명 번호 설명 번호 설명 1 배터리커넥터 2 DC 5V 어댑터커넥터 3 랜커넥터 4 USB HOST 커넥터 5 USB DEVICE 커넥터 6 RS232 Port #3 커넥터 7 50핀확장커넥터 A 8 50핀확장커넥터 B 9 DC 5V ON/OFF 스위치 V DC/DC 11 SP3232 UART Level Converter 12 RS232 Port #1 커넥터 13 ZigBee 연결커넥터 14 J-TAG 커넥터 15 Ethernet PHY IC 16 STM32F207VGT 17 25Mhz Crystal for Ethernet PHY 18 GPS 연결커넥터 19 센서보드 IO/CAN 선택점퍼 A 20 센서보드 IO/CAN 선택점퍼 B KHz Crystal for STM MHz Crystal for STM32 23 센서보드연결커넥터 24 Boot Select Switch (BOOT0) 25 LED3 26 LED2 27 LED1 28 Reset Switch 29 KEY 1 30 KEY 2 31 Power LED 32 전원선택점퍼

9 입력전원 Mango-M32F2 는위와같이입력전원으로구성되어있습니다. 입력전원소스는 3 종류입니다. USB DEVICE 커넥터로공급되는 5V를사용하시려면, JP1 점퍼를 1,2번핀으로설정해주셔야합니다. 이때, 보드에전원이얼마나소모하는지확인합니다. USB 포트마다출력해주는전류가다르기때문입니다. DC JACK과 CONNECTOR(CON5) 로전원입력을받으려면, JP1 점퍼를 2,3번핀으로설정해주셔야합니다. DC JACK 은스위치를꼭 ON 해주어야전원이인가되며, CONNECTOR로공급되는 5V는바로인가됩니다. 배터리커넥터 배터리입력을받는커넥터입니다. 5V 입력을해주어야합니다. DC 5V 어댑터커넥터 Mango-M32F2는전원입력을 2군데서받을수있습니다. 2번 DC JACK과 5번 USB 커넥터로받을수있으며, 선택은 32번점퍼로합니다. 전류가많이먹는장치를확장커넥터에연결하였을때, DC JACK을연결하며, 보드가전류를 500mA 이하를소모하면 USB 커넥터로전원을공급받아서개발이가능합니다. 랜커넥터 Ethernet 을연결하는커넥터입니다. USB Host 커넥터

10 USB HOST 기능을하는커넥터입니다. USB 전원에 500mA 전류제한스위치 IC 를넣지않았기때문에, USB 장치를연결할때는주의 를하셔야합니다. USB Device 커넥터 USB Device 기능을하는커넥터입니다. 이커넥터로전원을공급받을수있습니다. RS232 Port #3 커넥터 STM32F2 시리얼포트 3 번과연결되어있습니다. 이포트로 ST 에서제공하는 Flash Loader Demonstrator 로 FLASH 에 Writing 이가능합니다. 동작레벨은 RS232 레벨이며, 핀맵은아래와같습니다. 50 핀확장커넥터 A 2.54mm 50핀으로구성된확장커넥터입니다. USB_5v0은 USB Device 커넥터로입력된 5V를확장보드로공급을할수있습니다. VDD_IN_5v0 는확장보드로부터 5V를입력받을수있습니다. VDD_3v3은 Mango-M32F2 보드에서생성된 3.3V를확장보드로공급합니다. 나머지핀들은네트이름과같습니다. 핀맵은아래와같습니다.

11 50 핀확장커넥터 B 2.54mm 50 핀으로구성된확장커넥터입니다. 핀맵은아래와같습니다.

12 DC 5V ON/OFF 스위치 DC JACK 과배터리커넥터로입력된전원을 ON/OFF 해주기위한스위치입니다. 3.3V DC/DC 입력된 5V 를 3.3V 로변경해주는 IC 입니다. SP3232 UART Level Converter

13 시리얼 TTL 232 레벨을 RS232 레벨로변경해주는 IC 입니다. RS232 Port #1 커넥터 STM32F2 시리얼포트 1 번과연결되어있습니다. 동작레벨은 RS232 레벨이며, 핀맵은아래와같습니다. ZigBee 연결커넥터 CRZ 의 ZigBee 모듈을연결하는커넥터입니다. 핀맵은아래와같습니다. STM32F207VGT 의시리얼포트 2 번에연결되어있으며, 전압레벨은 TTL 입니다. 이커넥터로아래와같이구성을한다면, 무선으로데이터를주고받을수있습니다.

14 J-TAG 커넥터 J-TAG 커넥터입니다. 아무래도개발하는데 J-TAG 장비가있으면편합니다. 핀맵은아래와같습니다. Ethernet PHY IC Ethernet PHY IC 입니다.

15 Ethernet 신호를 MII 인터페이스신호로변경해줍니다. STM32F207VGT 메인 IC 입니다. 25Mhz Crystal for Ethernet PHY Ethernet PHY IC 에클럭을넣어주는크리스털입니다. GPS 연결커넥터 CRZ GPS 를연결하는커넥터입니다. 핀맵은아래와같습니다. STM32F207VGT 의시리얼포트 4 번에연결되어있으며, 전압레벨은 TTL 입니다.

16 GPS Pin Description No Name In/out Remark 1 RESET NC 2 VCC IN GPS main Supply. Typ. 3.3V 3 TX0 OUT Serial Data Output 4 RX0 IN Serial Data Input 5 GND Ground 6 BOOT NC

17 Mango-M32F2 Pin Description No Name In/out Remark 1 NC 2 VDD_3_3 OUT Main Voltage Supply 3 UART5_RX IN Serial Data Input 4 UART5_TX OUT Serial Data Output 5 GND Ground 6 NC Mango-M32F2 와 GPS Module 연결방법

18 센서보드 IO/CAN 선택점퍼 A / 센서보드 IO/CAN 선택점퍼 B Mango-M32F2 도 Mango-M32 와마찬가지로센서보드를붙일수있습니다. 그러나 CAN 보드는 CAN 통신 6,7 번핀을다른센서보드들이다르게사용하고있으므로위점 퍼를이용해서변경을해주어야제대로동작합니다 KHz Crystal for STM32 STM32F207VGT 에 KHz 를공급하는크리스털입니다. 25 MHz Crystal for STM32 STM32F207VGT 에 25MHz 를공급하는크리스털입니다 센서보드연결커넥터 CRZ에서만들어진센서보드연결커넥터핀맵은아래와같습니다. 2,3번핀은 I2C 1번채널에연결되어있습니다. 3,4번핀은 ADC 4,5 번에연결되어있습니다.

19 아래와같이연결이가능합니다.

20 Boot Select Switch (BOOT0) 망고 Mango-M32F2 는아래그림과같이 3 가지 Boot 모드를지원하지만, 저희보드에서는 Main Flash Memory 와 System Memory Boot 를지원합니다. Main Flash Memory Boot 는 STM32F207VGT 의내장된 Flash 메모리로 Boot 하는것입니다. Main Flash Memory 에정상동작하는펌웨어가 writing 되어있다면그대로동작합니다. System Memory Boot 는 uart 로내장 Flash 메모리에 program 다운로드에사용됩니다. LED1 / LED2 / LED3 LED 입니다. 각 LED 핀을 LOW 로하면 LED 에불이들어옵니다. Reset Switch 리셋스위치입니다.

21 KEY 1 / KEY 2 버튼입니다. 기본적으로 HIGH 를유지하며, 버튼이눌려지면 LOW 로떨어집니다. Power LED 전원상태 LED 입니다. 전원이정상적으로공급되었다면, 빨간색 LED 가켜져야합니다. 전원선택점퍼 USB Device 커넥터의 5V 와 DC JACK 의 5V 입력을선택하는점퍼입니다. 점퍼를 1,2 번에놓으면 USB Device 5V 가공급되며, 2,3 번에놓으면 DC JACK 의 5V 가공급됩니다.

22

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW ST-STM32F 모듈매뉴얼 (Model: V01) WWW.LKEMBEDDED.CO.KR 2011 LK EMBEDDED version 1.0 페이지 1 1. 제품사진및모듈설명 그림. 모듈사진 1.1 제품소개 ST사의 32-Bit 플래시마이크로컨트롤러는임베디드어플리케이션을위해특별히디자인된최신의 ARM Cortex-M3 코어기반을하고있습니다. 32-Bit 플래시마이크로컨트롤러를이용하여학습및제품개발을핛수있는모듈입니다.

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu WISOL / SFM11R2D P/N: DATA SHEET Rev.01 WISOL 531-7, Gajang-ro,Osan-si,Gyeonggi-do Rep. of Korea http://www.wisol.co.kr CONTENTS 1. Approval Revision Record... 3 2. Scope... 4 3. Numbering of product...

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

<STM32CubeMX Guide In Korean>

<STM32CubeMX Guide In Korean> Getting started with STM32 MCU hardware development. INTRODUCTION 이문서는 STM32 시리즈를적용한 Hardware 설계시고려할전반적인내용들에대해소개하기위해작성되었습니다. 여기에는 Power supply, clock, Boot 선택, debugging 회로등에걸쳐회로설계시꼭지켜야하거나선택되어야하는내용이포함되어있습니다.

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

Product Brief Manual

Product Brief Manual Product Brief Manual ELS-6XM Motion Sensor Processing Unit Embedded and Logic Solution elogics 이로직스 Rm607-1,DigitalEmpire,#685Gasandong,Geumcheon-gu 디지털엠파이어 607-1호 ( 우 : 153-023) 서울특별시금천구가산동 685 Seoul,

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit System-on-Module(SoM) 솔루션입니다. 1 GHz ARM Cortex -A8 core의높은성능,

More information

Implementation of FreeRTOS on LM3S811

Implementation of FreeRTOS on LM3S811 minibee SEMILAB Coordinator Router End Device 로직테크 USB Dongle LM2455 Module & Extension Board RP-M100/M110/MR220/MR500 Module & Extension Board 2015-02-02 www.logictech.kr 1 Revision History Version Date

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

untitled

untitled 1 PLC 1.1 PLC 1.1.1 PLC PLC(Programmable Logic Controller),,, LSI,,. (NEMA: National Electrical Manufactrurers Association),,,,. 1.1.2 PLC PLC. FMS(Flexible Manufacturing System) PLC,. 1-1 PLC. - 5 - 1.2

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

Microsoft Word - SRA-Series Manual.doc

Microsoft Word - SRA-Series Manual.doc 사 용 설 명 서 SRA Series Professional Power Amplifier MODEL No : SRA-500, SRA-900, SRA-1300 차 례 차 례 ---------------------------------------------------------------------- 2 안전지침 / 주의사항 -----------------------------------------------------------

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

歯Cablexpert제안서.PDF

歯Cablexpert제안서.PDF : CableXpert TM TEL:02-576-0471 / E-mail : lab@dakos.net 1. (CableXpert TM :CME1100 ) (PSTN) 100,. (CableXpert TM ).,,.... : : 324-1 2 : 02-576-0471 : 02-576-0474 : (E-mail : jangpo@dakos.net) 3. 1) S/W

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

Mango-E-Toi Board Developer Manual

Mango-E-Toi Board Developer Manual Mango-E-Toi Board Developer Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

untitled

untitled Huvitz Digital Microscope HDS-5800 Dimensions unit : mm Huvitz Digital Microscope HDS-5800 HDS-MC HDS-SS50 HDS-TS50 SUPERIORITY Smart Optical Solutions for You! Huvitz Digital Microscope HDS-5800 Contents

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 -------------

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 ------------- [ CALLAS Network Player ] Owner s Manual ( 주 ) 금 잔 디 음 향 예.술.을.담.는.스.피.커.과.학 Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------

More information

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt)

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt) 마이크로칩 USB Host & Device 부트로더기능실습 한국마이크로칩서한석부장 (CAE) 2009-09-07 마이크로칩 16 비트 USB 데모보드세팅 Explorer 16 + USB PICtail Plus Daughter Board + USB PIMs Part #: DM240001 Part #: AC164131 Part #: MA240014(PIC24FJ256GB11)

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

M3005 I0002 B0001 T0001 M0001 G0003 G0011 SYSTEM I0009 W3007 R0006 W3003 I0005 www MK-Electronic de B0701 C0013 B 5-1

M3005 I0002 B0001 T0001 M0001 G0003 G0011 SYSTEM I0009 W3007 R0006 W3003 I0005 www MK-Electronic de B0701 C0013 B 5-1 M3005 I0002 B0001 T0001 M0001 G0003 G0011 SYSTEM I0009 W3007 R0006 W3003 I0005 B0701 C0013 B 5-1 ASS'Y - LCD K3001 K4001 I0003 K0001 K1001 K2001 K2002 K4002 K3002 I0013 T4000 K0002 K0100 5-2 Unit-Top T0302

More information

Microsoft Word - jEMB11_kor.docx

Microsoft Word - jEMB11_kor.docx TO : Jinyoung Contech Co., Ltd. #501, 222-12 MARIOTOWER, GURO3-DONG, GURO-KU, SEOUL, KOREA TEL : 82-2-890-6400 FAX : 82-2-890-6406 HOME PAGE : http://www.jyct.com/ Issued Date : 2011-08-23 Page : 17 Pages

More information

목차 1. 머리말 PCL 6045BL -EVK 형상 제품제원 3.1 동작제원 구성 H/W Block Diagram Connector 구성 Connector Pin 구성 6.1 PO

목차 1. 머리말 PCL 6045BL -EVK 형상 제품제원 3.1 동작제원 구성 H/W Block Diagram Connector 구성 Connector Pin 구성 6.1 PO PCL-6045BL Evaluation Kit Instruction Manual For Developing Motion Control Board by PCL 6045BL Version 1.1 NPM korea 목차 1. 머리말... 3 2. PCL 6045BL -EVK 형상... 4 3. 제품제원 3.1 동작제원... 5. 3.2 구성... 5 4. H/W

More information

이 발명을 지원한 국가연구개발사업 과제고유번호 201109990000 부처명 지식경제부 연구사업명 IT 융합 고급인력과정 지원사업 연구과제명 스마트 자동차를 위한 AUTOSAR 기반 차량 내외부 통신 플랫폼 및 응용기술 주관기관 정보통신산업진흥원 연구기간 2011.06

이 발명을 지원한 국가연구개발사업 과제고유번호 201109990000 부처명 지식경제부 연구사업명 IT 융합 고급인력과정 지원사업 연구과제명 스마트 자동차를 위한 AUTOSAR 기반 차량 내외부 통신 플랫폼 및 응용기술 주관기관 정보통신산업진흥원 연구기간 2011.06 (19) 대한민국특허청(KR) (12) 공개특허공보(A) (11) 공개번호 10-2013-0087326 (43) 공개일자 2013년08월06일 (51) 국제특허분류(Int. Cl.) H04L 12/40 (2006.01) H04L 5/22 (2006.01) (21) 출원번호 10-2012-0008610 (22) 출원일자 2012년01월27일 심사청구일자 전체

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

AN2629

AN2629 Tech Note STM32 Nucleo-64 보드 ( 전원, 커낵터 ) Introduction STM32 Nucleo 는 MCU 의최소기능만으로구성된개발보드로연결되지않은나머지핀들을사용자가자유롭게사용할수있도록확장핀커낵터로연결되어있다. STM32 Nucleo 보드의장점은복잡한회로수정없이다른보드나회로를연결하여빠른기능점검과구현을하는데있다. STM32 Nucleo

More information

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및 (19) 대한민국특허청(KR) (12) 공개특허공보(A) (11) 공개번호 10-2014-0033653 (43) 공개일자 2014년03월19일 (51) 국제특허분류(Int. Cl.) G06F 9/44 (2006.01) G06F 15/16 (2006.01) (21) 출원번호 10-2012-0099738 (22) 출원일자 2012년09월10일 심사청구일자 없음

More information

This Document can not be used ithout Samsung's authorization 5. 기구전개도 ASSY-LCD 5-2

This Document can not be used ithout Samsung's authorization 5. 기구전개도 ASSY-LCD 5-2 This Document can not be used ithout Samsung's authorization 5. 기구 전개도 SYSTEM de I0006 W3007 M3004 M3005 T0103 T3003 W3020 I0001 ec t I0002 ro ni c T0001 G0011 M4000 K- El T0010 I0009 M0001 W3101 B0001

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

EasySoC 장비구성및사양

EasySoC 장비구성및사양 EasySoC 장비구성및사양 목 차 개요 각부의명칭 (EasySoC-1M Board layout) 블록도 (EasySoC-1M Block Diagram) 제품의특징 제품의특징 (ALTERA Cyclone II ) 제품의특징 (ARM 926EJ-S) 제품의구성 제품의사양 제품의사양 (EasySoC-1M H/W Specification) 제품의사양 (EasySoC-1M

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770>

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770> Black Key Region Cr R Linear Key Region θ White Key Region Cb θ Table θ Table for Chroma Suppress 1 255 0 θc θ Table for Linear Key θs θw1 θs θw2 Radius Table R Table for Chroma Suppress 1 255 0 Rc R Table

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

歯메뉴얼v2.04.doc

歯메뉴얼v2.04.doc 1 SV - ih.. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 - - - 23 24 R S T G U V W P1 P2 N R S T G U V W P1 P2 N R S T G U V W P1 P2 N 25 26 DC REACTOR(OPTION) DB UNIT(OPTION) 3 φ 220/440 V 50/60

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

OfficeServ 솔루션 OfficeServ 솔루션 OfficeServ는 삼성전자의 기업형 IP 솔루션으로서 음성과 데이터, 유선과 무선이 융합된 미래 오피스형 솔루션입니다. OfficeServ 7400/7200 삼성전자가 다년간 쌓아 온 기간망 사업 경험 및 모바일

OfficeServ 솔루션 OfficeServ 솔루션 OfficeServ는 삼성전자의 기업형 IP 솔루션으로서 음성과 데이터, 유선과 무선이 융합된 미래 오피스형 솔루션입니다. OfficeServ 7400/7200 삼성전자가 다년간 쌓아 온 기간망 사업 경험 및 모바일 Unlimited Connectivity, Enterprise Convergence Unlimited Connectivity, Enterprise Convergence SMB IP 중소용량 IP-PBX SYSTEM Solution 네트워크사업부 Enterprise 영업팀 경기도 수원시 영통구 삼성로 129 E-mail : jh1.park@samsung.com

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

Coriolis.hwp

Coriolis.hwp MCM Series 주요특징 MaxiFlo TM (맥시플로) 코리올리스 (Coriolis) 질량유량계 MCM 시리즈는 최고의 정밀도를 자랑하며 슬러리를 포함한 액체, 혼합 액체등의 질량 유량, 밀도, 온도, 보정된 부피 유량을 측정할 수 있는 질량 유량계 이다. 단일 액체 또는 2가지 혼합액체를 측정할 수 있으며, 강한 노이즈 에도 견디는 면역성, 높은 정밀도,

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

PowerPoint Template

PowerPoint Template SOFTWARE ENGINEERING Team Practice #3 (UTP) 201114188 김종연 201114191 정재욱 201114192 정재철 201114195 홍호탁 www.themegallery.com 1 / 19 Contents - Test items - Features to be tested - Features not to be tested

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 29(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 29(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 415423. http://dx.doi.org/10.5515/kjkiees.2018.29.6.415 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

SIMATIC S7-1200

SIMATIC S7-1200 SIMATIC S7-1200 & STEP 7 Basic It s the Interplay that makes the difference 새로운차원의마이크로 PLC 컨트롤러 마이크로오토메이션그이상을! 새로운마이크로 PLC SIMATIC S7-1200, 새로운구성의 SIMATIC HMI Basic Panels, 그리고 PLC 와 HMI 개발을위한완벽한통합엔지니어링소프트웨어

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202831C1D6C2F72C2032C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202831C1D6C2F72C2032C1D6C2F729> 2주차 ATmega128의내부구조및퓨즈비트설정 Next-Generation Networks Lab. 4. ATmega128의클럭시스템과배분 시스템클럭 마이크로컨트롤러동작의기준이되는클럭의주파수 AVR은시스템클럭을내부모듈별로독립적으로배분하여제어 슬립 (Sleep) 모드를활용하여내부모듈별로클럭차단이가능하여전력소모관리 퓨즈비트를통해다양한클럭소스선택가능 클럭배분 (

More information

5" TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공

5 TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공 CT210-FPGA Platform-ARM Developer Kit 규격서 1. 특징 CT210 FPGA 플랫폼은 Samsung 의 32bit Application Processor 인 S5PV210 을기반을설계된안드로이드 / 임베디드 FPGA 플랫폼입니다. CT210 FPGA 플랫폼은삼성 PV210 기반의임베디드시스템에서 FPGA 를연결하여사용할수있도록하였습니다.

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

Microsoft Word - Dstar_PC100_quick_LX800.doc

Microsoft Word - Dstar_PC100_quick_LX800.doc TO : 문 서 명 k DATE : 서울시 구로구 구로동 222-12 마리오타워 501 TEL : 02-890-6400 FAX : 02-890-6406 발 행 일 2007. 07. 12 수 정 일 HOME PAGE : http://www.jyct.com/ PAGE 6 page Open Frame PC 사용하기 전에 고압 감전의 위험(Inverter 부)이 있으므로

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

Microsoft Word - CL5000,5500_KOR_UM_20110321_.doc

Microsoft Word - CL5000,5500_KOR_UM_20110321_.doc 2 차 례 1. 주의 사항... 8 1.1 취급주의... 8 2. Specification... 10 2.1 소개... 10 2.2 규격... 12 3. 명칭과 기능... 14 3.1 CL 5000 - P Type... 14 3.2 기본 설치... 18 3.3 표시부... 19 3.4 기능키... 20 3.5 라벨롤의 설치... 24 4. PROGRAMMING...

More information

Microsoft PowerPoint - User Manual-100 - 20150521.pptx

Microsoft PowerPoint - User Manual-100 - 20150521.pptx CIC-100 사용 설명서 (User Manual) 나의 커뮤니티, 보는 이야기 TocView [모델명 : CIC-100] 주의사항 매뉴얼의 내용은 서비스 향상을 위하여 개별 사용자의 사전 동의 또는 별도의 공지 없이 변경될 수 있습니다. 사용자의 인터넷 환경에 따라 제품 성능 및 기능의 제작 또는 사용이 불가능할 수 있습니다. 본 제품의 이용 중 장애에 의하여

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-THL100은 UART 인터페이스를통하여온도, 습도, 조도데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에쉽게적용할수있도록소형으로제작되었습니다. PC에서 OSTSen-THL100의온도,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 HBE-SM5-S4210 시스템개요 Jo, Heeseung HBE-SM5-S4210 시스템개요 HBE-SM5-S4210 은... 여러가지운영체제를지원 - 리눅스는그중에하나임 부트로더, 리눅스커널, 루트파일시스템등이제공 Application module 을제어하기위한모듈프로그램, 어플리케이션프로그램, 예제프로그램들을제공 안드로이드 / 리눅스및펌웨어실습을할수있는임베디드장비

More information