EasySoC 장비구성및사양

Size: px
Start display at page:

Download "EasySoC 장비구성및사양"

Transcription

1 EasySoC 장비구성및사양

2 목 차 개요 각부의명칭 (EasySoC-1M Board layout) 블록도 (EasySoC-1M Block Diagram) 제품의특징 제품의특징 (ALTERA Cyclone II ) 제품의특징 (ARM 926EJ-S) 제품의구성 제품의사양 제품의사양 (EasySoC-1M H/W Specification) 제품의사양 (EasySoC-1M S/W Specification) 설정 I/O핀구성 2

3 개요 EasySoC 는대학에서쉽게 SoC 설계를위하여 및 ARM926 코어를이용하여설계테마를구성하였습니다. 설계를 VHDL/Verilog HDL 로 에서먼저설계한다음동일한설계를 ARM 에서제어할수있도록구현하였습니다. 사용자가 에서로직을구현하기쉬운 EasySoC 개발환경제공합니다. ALTERA Quartus-II 설계환경 1 백만게이트 Altera Cyclone II 및빠른처리속도 Verilog HDL/ VHDL Easy IP v1.0 을그래픽라이브러리화하여시스템구성을쉽게설계가능 예제에서연결하여 IP 의재사용및 AMBA 버스연결에대한이해습득 ARM926EJ Core 를지원합니다. ARM926EJ Core 사용 ARM926( 최대코어속도 266MHz) SoC 프로세서, AHB2.0 Bus 지원, SoC 프로세서, RTOS 탑재가능 AMBA Based IP 개발을위한 Wrapper 및 Register 제공 SoC 하드웨어검증을위해 MULTI-ICE 와 ADS 디버거를사용하여하드웨어살리기 SoC 소프트웨어설계를위한 ADS 펌웨어 / 컴파일러 / 디버거사용 AMBA AHB 버스설계를쉽게하도록 휴인스에서제공한 EasyIP v1.0 을사용하여교육할수있도록하였으며, 다양한설계테마를위한주변장치를지원합니다. 3

4 각부의명칭 (EasySoC-1M Board layout) ARM926EJ-S UART ARM UART JTAG ByteBlaster-II ARM JTAG ARM USB Host ARM USB Device Power S/W 5V DC Adaptor Text-LCD FND Step motor LEDs CIS/DMB 64pin User I/O ARM Ethernet USB Debug PS2 VGA TV In/Out DOT MATRIX SRAM Audio 1M Gates ALTERA Cyclone-II EP2C50FG TFT-LCD 4

5 블록도 (EasySoC-1M Block Diagram) 기초제어 Multi Media Memory AD/DA PC Interface 5

6 제품의특징 모든예제는 /SoC 설계를위한 VHDL/Verilog HDL/C-Language 의세가지요소로구성 사용자가로직을구현하기쉬운 Easy SoC 개발환경제공 ALTERA Quartus II 설계환경 1 백만게이트 Altera Cyclone II 및빠른처리속도 VHDL/Veriolg HDL IP 를그래픽라이브러리화하여시스템구성하여쉽게설계가능 예제에서연결하여 IP 의재사용및 AMBA 버스연결에대한이해습득 ARM926EJ Core 지원 ARM926EJ Core 사용 ARM9, 226MHz 속도 SoC 프로세서, AHB2.0 Bus 지원, SoC 프로세서, RTOS 탑재가능 ARM9 프로세서를위한 Wrapper 및 Register 를제공 SoC 설계검증을위한하드웨어살리기 ( 디버거 / 펌웨어활용 ) 고급설계를위한임베디드리눅스및디바이스드라이버설계활용 다양한주변장치지원 멀티미디어입력멀티미디어출력메모리통신및인터페이스제어및기타 TV Decoder, CIS/DMB Mic In/ Line In TV Encoder VGA/TFT-LCD Speaker SRAM(1MBits) ADC / DAC UART, USB, PS2 IrDA Step Motor Dot Matrix, FND S/W, Text-LCD 6

7 제품의특징 (ALTERA Cyclone II ) Manufactured on 300-mm wafers using TSMC's 90-nm offer 60 percent higher performance and half the power consumption High-density architecture with 4,608 to 68,416 Les M4K embedded memory blocks Up to 1.1 Mbits of RAM available without reducing available logic 4,096 memory bits per block (4,608 bits per block including 512 parity bits) Variable port configurations of 1, 2, 4, 8, 9, 16, 18, 32,and 36 True dual-port (one read and one write, two reads, or two writes) operation for 1, 2, 4, 8, 9, 16, and 18 modes Byte enables for data input masking during writes Up to 260-MHz operation Embedded multipliers Flexible clock management circuitry Hierarchical clock network for up to MHz performance Device configuration Fast serial configuration allows configuration times less than 100 ms 개발환경 : Quartus-II 7.x(windows) Device Logic Element M4K RAM Blocks Total RAM Bits. Embedded 18x18 Multipliers PLLs Max. User I/O Package size Speed EP2C50 50, , FBGA

8 제품의특징 (ARM 926EJ-S) ARM926EJ-S - fmax 266 MHz, 32 KI - 16 KD cache, 8 KI - KD TCM, ETM9 and JTAG interfaces 8 channels internal DMA high speed accelerator function 112 dedicated general purpose I/Os(AMBA) Multilayer AMBA 2.0 compliant Bus with fmax 133 MHz Programmable internal clock generator with enhanced PLL function, specially optimized for E.M.I. Reduction 16 KB single port SRAM embedded Dynamic RAM interface: 16 bit DDR, 32 / 16 bit SDRAM SPI interface connecting serial ROM and Flash devices 2 USB 2.0 Host independent ports with integrated PHYs USB 2.0 Device with integrated PHY Ethernet MAC 10/100 with MII management interface 3 independent UARTs up to 115 Kbps (Software Flow Control mode) I2C Master mode - Fast and Slow speed 6 General Purpose I/Os ADC 8 bits, 230 Ksps, 16 analog input channels Real Time Clock / WatchDog / 4 General Purpose Timers Package: PBGA R (23x23x1.81 mm) 8

9 제품의구성 기본구성 EasySoC 프린터케이블 ByteBlaster-II 5V/4A 아답타 Serial 케이블 RJ-45 (Ethernet 케이블 ) 옵션 CIS-2M Module DMB Module ADS / Multi-ICE 9

10 제품의사양 (EasySoC-1M H/W Specification) Item CPU CPU Clock DDRAM FLASH USB Host USB CLIENT Ethernet UART Audio Codec I2C Speaker Display ARM related Specification ARM926EJ-S / AMBA 2.0 compliant (MAX 133MHz) Core MAX. 266MHz 64MB DDRAM (16BIT, 133) MAX 128MB 16MB (Serial Flash) MAX 64MB Two USB 2.0 Host One USB 2.0 Client Interface One 10M/100Mbps Controller (STE100) Three SPEArHEAD UART / One UART WM8711 stereo codec, Mic port, Headphone port, Speaker Connector I2C Port Connector 1W Speaker 내장 4.3" Color TFT LCD (480x272) 10

11 제품의사양 (EasySoC-1M H/W Specification) Item VGA Debugging Port SRAM Motor KEY PAD,LED DMB/CIS2M AD/DA TV Input Output USER Ext,Con 60PIN (SIDE) Power Cyclone II 100 만게이트 FBGA PORT 16Million Colors related Specification Byteblaster-II / JTAG : 10-Pin JTAG() 20-Pin Header for Multi-ICE(ARM Debugger) USB(PC) to GPIO() Interface 1MB (512KB X 2EA.) STEP Motor SPEArHEAD : 6 GPIO(LED) : 9Push switch, 8LEDs 1 Slot 지상파 DMB (ITU-656 Format) module connector 2M Color CIS Sensor module connector 12-BIT, 20MHZ SAMPLING A/D CONVERTER 12-BIT, 125 MSPS TXDAC D/A CONVERTER TV 신호입력 ITU-656 format Input / Output I/O AC220V(Input) DC5V 4A(Output) Adaptor 11

12 제품의사양 (EasySoC-1M S/W Specification) Item Compiler Specification GNU C Compiler - Linux "C" Compiler ARM ADS/RVDS C Compiler, Debugger, Assembler Multi ICE/RV-ICE ARM Emulator (Option) 12

13 설정 SW18 : ARM DIP S/W (Default : 모두 Down) SW19 : DIP S/W SW20 : (UP) Ext. Connector / (Down :Default) 주변장치전환스위치 13

14 I/O 핀구성 ( 전원 /ARM JTAG, JTAG, ByteBlaster-II) 전원 DC Jack : 5V / 3A 이상아답타연결 전원스위치 : 아래 ON. 위 OFF JTAG 에만다운로드하고전원이꺼지면다운로드이미지가지워진다. Serial-Flash 에쓸때보다속도는빠르다 ByteBlaster-II Serial-Flash 에기록을하는방식으로 Active Serial 모드에서사용한다. 기록된이미지는전원이꺼지더라도지워지지않음 전원을껏다가켜야만다운로드이미지가동작됨 ARM JTAG Multi-ICE 등의 ICE 장비를연결할수있는커넥터 14

15 SRAM pin Number pin Number SRAM_ADDR[0] L19 SRAM_DATA[0] D23 SRAM_ADDR[1] L20 SRAM_DATA[1] D25 SRAM_ADDR[2] L21 SRAM_DATA[2] D26 SRAM_ADDR[3] L24 SRAM_DATA[3] E22 SRAM_ADDR[4] L25 SRAM_DATA[4] E23 SRAM_ADDR[5] M19 SRAM_DATA[5] E24 SRAM_ADDR[6] M20 SRAM_DATA[6] E25 SRAM_ADDR[7] J23 SRAM_DATA[7] E26 SRAM_ADDR[8] J24 SRAM_DATA[8] F23 SRAM_ADDR[9] J25 SRAM_DATA[9] F24 SRAM_ADDR[10] J26 SRAM_DATA[10] F25 SRAM_ADDR[11] K19 SRAM_DATA[11] F26 SRAM_ADDR[12] K21 SRAM_DATA[12] G21 SRAM_ADDR[13] K22 SRAM_DATA[13] G22 SRAM_ADDR[14] K23 SRAM_DATA[14] G23 SRAM_ADDR[15] K24 SRAM_DATA[15] G24 SRAM_ADDR[16] K25 SRAM_DATA[16] G25 SRAM_ADDR[17] K26 SRAM_DATA[17] G26 15

16 SRAM pin Number pin Number SRAM_ADDR2[0] W23 SRAM_DATA[18] H21 SRAM_ADDR2[1] W24 SRAM_DATA[19] H23 SRAM_ADDR2[2] W25 SRAM_DATA[20] H24 SRAM_ADDR2[3] W26 SRAM_DATA[21] H25 SRAM_ADDR2[4] Y21 SRAM_DATA[22] H26 SRAM_ADDR2[5] Y22 SRAM_DATA[23] J20 SRAM_ADDR2[6] Y23 SRAM_DATA[24] J21 SRAM_ADDR2[7] Y24 SRAM_DATA[25] M25 SRAM_ADDR2[8] Y25 SRAM_DATA[26] N18 SRAM_ADDR2[9] Y26 SRAM_DATA[27] N20 SRAM_ADDR2[10] AA23 SRAM_DATA[28] N21 SRAM_ADDR2[11] AA24 SRAM_DATA[29] N23 SRAM_ADDR2[12] AA25 SRAM_DATA[30] N24 SRAM_ADDR2[13] AA26 SRAM_DATA[31] P18 SRAM_ADDR2[14] AB23 SRAM_LB1 M22 SRAM_ADDR2[15] AB24 SRAM_LB2 V17 SRAM_ADDR2[16] AB25 SRAM_OE V23 SRAM_ADDR2[17] AB26 SRAM_OE2 U26 SRAM_WE V24 SRAM_UB1 M23 SRAM_WE2 V25 SRAM_UB2 M24 SRAM_CE M21 16

17 USB I/F UD[0] AE9 URD AE7 UD[1] AE10 URXF AE6 UD[2] AE11 UTXE AE5 UD[3] AE12 UWR AE8 UD[4] AE13 UD[5] AF4 UD[6] AF5 UD[7] AF6 17

18 VGA Out VGA_BUS_DD[0] AD2 VGA_BUS_DD[10] AA3 VGA_BUS_DD[1] AC3 VGA_BUS_DD[11] AA2 VGA_BUS_DD[2] AC2 VGA_BUS_DD[12] AA1 VGA_BUS_DD[3] AC1 VGA_BUS_DD[13] Y5 VGA_BUS_DD[4] AB4 VGA_BUS_DD[14] Y4 VGA_BUS_DD[5] AB3 VGA_BUS_DD[15] Y3 VGA_BUS_DD[6] AB2 VGA_BUS_DD[16] Y2 VGA_BUS_DD[7] AB1 VGA_BUS_DD[17] Y1 VGA_BUS_DD[8] AA5 VGA_BUS_DD[18] W4 VGA_BUS_DD[9] AA4 VGA_BUS_DD[19] W3 VGA_DEN P3 VGA_BUS_DD[20] W2 VGA_FCLK AE2 VGA_BUS_DD[21] W1 VGA_LCLK AE3 VGA_BUS_DD[22] V7 VGA_PCLK U25 VGA_BUS_DD[23] V6 VGA_PWM P4 LLC M3 18

19 TV In/Out CVBS_DD[0] P23 CVBS_HSYNC T23 CVBS_DD[1] P24 CVBS_VSYNC T22 CVBS_DD[2] R19 CVBS_FIELD T25 CVBS_DD[3] R20 CVBS_nRESET T24 CVBS_DD[4] R24 NTVDEC_PDN U24 CVBS_DD[5] R25 PCLK_1 W21 CVBS_DD[6] T19 CVBS_DD[7] T20 19

20 Audio AUDIO_ADCLRCK AUDIO_BCLK AUDIO_DACDAT AUDIO_XCK K2 J8 K1 K3 20

21 LED LED[0] T3 LED[4] U1 LED[1] T6 LED[5] U2 LED[2] T7 LED[6] U3 LED[3] T8 LED[7] U4 21

22 Step Motor MOTOR_A AA10 MOTOR_NA AA11 MOTOR_B AA12 MOTOR_NB AB8 22

23 FND (7 segment) FND_COM[0] AB20 FND_DATA[0] AA14 FND_COM[1] AB21 FND_DATA[1] AA15 FND_COM[2] AC14 FND_DATA[2] AA16 FND_COM[3] AC15 FND_DATA[3] AA17 FND_COM[4] AC17 FND_DATA[4] AA18 FND_COM[5] AC18 FND_DATA[5] AA20 FND_COM[6] AC19 FND_DATA[6] AB15 FND_COM[7] AC20 FND_DATA[7] AB18 23

24 Text-LCD TEXT_LCD_DATA[0] AB10 TEXT_LCD_EN AA9 TEXT_LCD_DATA[1] AB12 TEXT_LCD_LE Y11 TEXT_LCD_DATA[2] AC5 TEXT_LCD_RW Y12 TEXT_LCD_DATA[3] TEXT_LCD_DATA[4] TEXT_LCD_DATA[5] TEXT_LCD_DATA[6] TEXT_LCD_DATA[7] AC6 AC8 AC9 AC10 AC11 24

25 DOT Matrix Pin Number Pin Number DOT1_COM0 V13 DOT2_COM0 AE15 DOT1_COM1 V14 DOT2_COM1 AE16 DOT1_COM2 W8 DOT2_COM2 AE17 DOT1_COM3 W11 DOT2_COM3 AE18 DOT1_COM4 W12 DOT2_COM4 AE19 DOT1_COM5 W10 DOT2_COM5 AE20 DOT1_COM6 Y10 DOT2_COM6 AE21 DOT1_DATA0 AF7 DOT2_DATA0 AD7 DOT1_DATA1 AF8 DOT2_DATA1 AD8 DOT1_DATA2 AF9 DOT2_DATA2 AD10 DOT1_DATA3 AF10 DOT2_DATA3 AD11 DOT1_DATA4 AF13 DOT2_DATA4 AD12 25

26 Clock EXT_CLOCK0 (CLK1) N1 EXT_CLOCK1 (CLK2) P2 26

27 PS2 PS2_CLK J7 PS2_DAT J6 27

28 User Switch PSW0 AC21 PSW4 AD17 PSW1 AC22 PSW5 AD19 PSW2 AD15 PSW6 AD21 PSW3 AD16 PSW7 AD22 PSW8 AD23 28

29 DIP Switch (SW19) DIP_SW0 R6 DIP_SW2 R8 DIP_SW1 R7 DIP_SW3 T2 29

30 Reset (SW4) _RESET P6 30

31 IrDA IRDA_MODE IRDA_RXD IRDA_TXD J4 J3 J2 31

32 DAC DAC_CLK W16 DAC_D6 H2 DAC_D0 G3 DAC_D7 H1 DAC_D1 G4 DAC_D8 H6 DAC_D2 G5 DAC_D9 J1 DAC_D3 G6 DAC_D10 N9 DAC_D4 H4 DAC_D11 P9 DAC_D5 H3 32

33 ADC ADC_D0 N25 ADC_CLK W17 ADC_D1 N26 ADC_MODE_SEL K6 ADC_D2 P25 ADC_MSBI K5 ADC_D3 P26 ADC_OE K4 ADC_D4 B13 ADC_OVR L2 ADC_D5 A13 QPD K8 ADC_D6 C13 STPD K7 ADC_D7 ADC_D8 ADC_D9 ADC_D10 ADC_D11 D13 AE14 AF14 AD13 AC13 33

34 TFT-LCD REDDATA[2] AC23 BLUEDATA[2] AF21 REDDATA[3] AC24 BLUEDATA[3] AF22 REDDATA[4] AC25 BLUEDATA[4] AF23 REDDATA[5] AC26 BLUEDATA[5] AD4 REDDATA[6] AD24 BLUEDATA[6] AD5 REDDATA[7] AD25 BLUEDATA[7] AD6 GREENDATA[2] AE22 LCD_BKL_ON M2 GREENDATA[3] AE23 LCD_CLK L6 GREENDATA[4] AF17 LCD_HSYNC L3 GREENDATA[5] AF18 LCD_VSYNC L7 GREENDATA[6] GREENDATA[7] AF19 AF20 34

35 TFT-LCD Touch screen SSPRXD U20 TSMX Y16 SSPSCLK Y13 TSMY U22 SSPSFRM AA13 TSPX Y15 SSPTXD Y14 TSPY U23 NPIRQ U21 35

36 CIS/DMB PD[0] U5 DAC_BCLK R3 PD[1] U6 DAC_DATA R4 PD[2] U7 RESETB R5 PD[3] V1 DAC_MCLK G1 PD[4] V2 PCLK V26 PD[5] V3 DAC_LRCK G2 PD[6] V4 PD[7] V5 36

5" TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공

5 TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공 CT210-FPGA Platform-ARM Developer Kit 규격서 1. 특징 CT210 FPGA 플랫폼은 Samsung 의 32bit Application Processor 인 S5PV210 을기반을설계된안드로이드 / 임베디드 FPGA 플랫폼입니다. CT210 FPGA 플랫폼은삼성 PV210 기반의임베디드시스템에서 FPGA 를연결하여사용할수있도록하였습니다.

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 -------------

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 ------------- [ CALLAS Network Player ] Owner s Manual ( 주 ) 금 잔 디 음 향 예.술.을.담.는.스.피.커.과.학 Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit System-on-Module(SoM) 솔루션입니다. 1 GHz ARM Cortex -A8 core의높은성능,

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

Microsoft PowerPoint - User Manual-100 - 20150521.pptx

Microsoft PowerPoint - User Manual-100 - 20150521.pptx CIC-100 사용 설명서 (User Manual) 나의 커뮤니티, 보는 이야기 TocView [모델명 : CIC-100] 주의사항 매뉴얼의 내용은 서비스 향상을 위하여 개별 사용자의 사전 동의 또는 별도의 공지 없이 변경될 수 있습니다. 사용자의 인터넷 환경에 따라 제품 성능 및 기능의 제작 또는 사용이 불가능할 수 있습니다. 본 제품의 이용 중 장애에 의하여

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

KEY01 PIN_J3 KEY02 PIN_M6 KEY03 PIN_M4 KEY04 PIN_M3 KEY05 PIN_M2 KEY06 PIN_M5 KEY07 PIN_L7 KEY08 PIN_H1 KEY09 PIN_J2 KEY10 PIN_J1 KEY11 PIN_L6 KEY12 P

KEY01 PIN_J3 KEY02 PIN_M6 KEY03 PIN_M4 KEY04 PIN_M3 KEY05 PIN_M2 KEY06 PIN_M5 KEY07 PIN_L7 KEY08 PIN_H1 KEY09 PIN_J2 KEY10 PIN_J1 KEY11 PIN_L6 KEY12 P DEVICE Combo II-DLD-Base ALTERA Module CLOCK(FPGA) USER_Clock PIN_B11 CLOCK FPGA_CLK1 FPGA_CLK2 PIN_AB11 PIN_AB12 RESETn(FPGA) nreset PIN_E4 LED LED_D1 LED_D2 LED_D3 LED_D4 LED_D5 LED_D6 LED_D7 LED_D8

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

User Guide

User Guide 하드웨어 참조 설명서 HP RP2 소매 시스템 Copyright 2014 Hewlett-Packard Development Company, L.P. Microsoft 와 Windows 는 Microsoft 그룹의 미 국 등록 상표입니다. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보증은 제품 및 서비스와 함께

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

ARM01

ARM01 0 1 Chapter 1.1 1.2 1.3 1.4 1.5 ARM System Developer s guide 32, ARM., ARM,,,. ARM 1985, ARM1, 2001 20 ARM. ARM,., ARM,., ARM ARM7TDMI, 120 Dhrystone MIPS 1),. ARM7TDMI. ARM, RISC(Reduced Instruction Set

More information

untitled

untitled EZ-TFT700(T) : EZ-TFT700(T) : Rev.000 Rev No. Page 2007/08/03 Rev.000 Rev.000. 2007/12/12 Rev.001 1.6 Allstech,,. EZ-TFT700(T). Allstech EZ-TFT700(T),,. EZ-TFT700(T) Allstech. < > EZ-TFT Information(13h)

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

SW테스트베드 장비 리스트

SW테스트베드 장비 리스트 SW테스트베드 장비 리스트(04년) 번호 장비명 수량 용도 제품 사양 Bluetooth를 3.CM OBD SCAN ELM37 0 지원하는 차량 ECU 초 미니 안드로이드폰 용 OBD 스캐너 스캐너 OBD 분배기, OBD 연장케이블 Chip : Broadcm BCM83 Soc 라즈베리파이 MODELB+ ARM 기반 컴퓨팅 보드 Core architecture

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료 오리온 알토는 Windows 7을 권장합니다. DESKTOP PC 이 기기는 가정용(B급)으로 전자파적합기기로서 주로 가정에서 사용하는 것을 목적으로 하며, 모든 지역에서 사용할 수 있습니다. * 제품 연결 및 작동 등 올바른 사용을 위해서 이 설명서를 주의 깊게 읽어 주시기 바랍니다. 또한, 향후 사용을 위해서 매뉴얼을 보관하여 주십시오. * 본 이미지는

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

Siemens

Siemens SIEMENS () 2004 7 Updated 2004 DEC 09 1. 4 1.1 4 1.2 4 2. 5 2.1 5 2.2 6 2.3 6 2.4 7 3. 8 3.1 50/60 Hz DIP 8 4. 9 4.1 420 9 4.2 420 9 4.3 (CB) 10 5. / () 11 5.1 11 5.2 : P0003 12 6. 13 6.1 13 6.2 15 6.2.1

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 HBE-SM5-S4210 시스템개요 Jo, Heeseung HBE-SM5-S4210 시스템개요 HBE-SM5-S4210 은... 여러가지운영체제를지원 - 리눅스는그중에하나임 부트로더, 리눅스커널, 루트파일시스템등이제공 Application module 을제어하기위한모듈프로그램, 어플리케이션프로그램, 예제프로그램들을제공 안드로이드 / 리눅스및펌웨어실습을할수있는임베디드장비

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB Revision 1.0 Date 11th Nov. 2013 Description Established. Page Page 1 of 9 1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

2

2 2 3 4 5 6 7 8 9 10 11 60.27(2.37) 490.50(19.31) 256.00 (10.07) 165.00 111.38 (4.38) 9.00 (0.35) 688.00(27.08) 753.00(29.64) 51.94 (2.04) CONSOLE 24CH 32CH 40CH 48CH OVERALL WIDTH mm (inches) 1271.45(50.1)

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

<BACEBDBAC5CD20BAEAB7CEBCC52D A2DC3D6C1BE2D312D E6169>

<BACEBDBAC5CD20BAEAB7CEBCC52D A2DC3D6C1BE2D312D E6169> DOOCH PUMP Intelligent pressure boosting system 5Hz BOOSTER PUMP SYSTEM Water supply system Pressure boosting system Irrigation system Water treatment system Industrial plants 두크펌프 www.doochpump.com CONTENTS

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

OfficeServ 솔루션 OfficeServ 솔루션 OfficeServ는 삼성전자의 기업형 IP 솔루션으로서 음성과 데이터, 유선과 무선이 융합된 미래 오피스형 솔루션입니다. OfficeServ 7400/7200 삼성전자가 다년간 쌓아 온 기간망 사업 경험 및 모바일

OfficeServ 솔루션 OfficeServ 솔루션 OfficeServ는 삼성전자의 기업형 IP 솔루션으로서 음성과 데이터, 유선과 무선이 융합된 미래 오피스형 솔루션입니다. OfficeServ 7400/7200 삼성전자가 다년간 쌓아 온 기간망 사업 경험 및 모바일 Unlimited Connectivity, Enterprise Convergence Unlimited Connectivity, Enterprise Convergence SMB IP 중소용량 IP-PBX SYSTEM Solution 네트워크사업부 Enterprise 영업팀 경기도 수원시 영통구 삼성로 129 E-mail : jh1.park@samsung.com

More information

1217 WebTrafMon II

1217 WebTrafMon II (1/28) (2/28) (10 Mbps ) Video, Audio. (3/28) 10 ~ 15 ( : telnet, ftp ),, (4/28) UDP/TCP (5/28) centralized environment packet header information analysis network traffic data, capture presentation network

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

FTTH 기술발표

FTTH 기술발표 2 3 xdsl /UTP FTTH / 2002 2005 2010 2 Mbps 6 Mbps 100Mbps * 10 Mbps 45Mbps 155Mbps FTTO / FTTD / Digital (DBS) 53Mbps/4km LMDS ADSL : Asymmetric Digital Subscriber Line HDSL : High speed Digital Subscriber

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

Integ

Integ HP Integrity HP Chipset Itanium 2(Processor 9100) HP Integrity HP, Itanium. HP Integrity Blade BL860c HP Integrity Blade BL870c HP Integrity rx2660 HP Integrity rx3600 HP Integrity rx6600 2 HP Integrity

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

. "" "",.... :...,,....,.. :..,,,..,,...,.... 2

.  ,.... :...,,....,.. :..,,,..,,...,.... 2 RD-5405 /.. . "" "",.... :...,,....,.. :..,,,..,,...,.... 2 ..,,..,.. (,,,, )......,...,., ( ),,,,.,. (, )..,...... BD/DVD CD TV, VCR,........ (+, -).,,..... 3 ... 2... 3....3... 5... 9... 10...11...11...

More information

1. 회사소개 및 연혁 - 회사소개 회사소개 회사연혁 대표이사: 한종열 관계사 설립일 : 03. 11. 05 자본금 : 11.5억원 인 원 : 18명 에스오넷 미도리야전기코리 아 미도리야전기(일본) 2008 2007 Cisco Premier Partner 취득 Cisco Physical Security ATP 취득(진행) 서울시 강남구 도심방범CCTV관제센터

More information

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX 20062 () wwwexellencom sales@exellencom () 1 FMX 1 11 5M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX D E (one

More information

untitled

untitled Huvitz Digital Microscope HDS-5800 Dimensions unit : mm Huvitz Digital Microscope HDS-5800 HDS-MC HDS-SS50 HDS-TS50 SUPERIORITY Smart Optical Solutions for You! Huvitz Digital Microscope HDS-5800 Contents

More information

Microsoft Word - SRA-Series Manual.doc

Microsoft Word - SRA-Series Manual.doc 사 용 설 명 서 SRA Series Professional Power Amplifier MODEL No : SRA-500, SRA-900, SRA-1300 차 례 차 례 ---------------------------------------------------------------------- 2 안전지침 / 주의사항 -----------------------------------------------------------

More information

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN)

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN) DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT2201-002A (MN) 2 3 [ ] CLASS 1 LASER PRODUCT 4 1 2 Language AV Input R-CAM Interrupt Panel Color Preout

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

untitled

untitled 1 PLC 1.1 PLC 1.1.1 PLC PLC(Programmable Logic Controller),,, LSI,,. (NEMA: National Electrical Manufactrurers Association),,,,. 1.1.2 PLC PLC. FMS(Flexible Manufacturing System) PLC,. 1-1 PLC. - 5 - 1.2

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

삼성전자는 Windows 를 권장합니다. PC 소프트웨어 PC 솔루션 삼성 삼성전자만의 편리하고 다양한 소프트웨어를 통해 초보자도 보다 쉽고 빠르게 이용 가능합니다. Easy Settings 삼성 패스트 스타트 Easy File Share (PC to PC) (삼성 컨

삼성전자는 Windows 를 권장합니다. PC 소프트웨어 PC 솔루션 삼성 삼성전자만의 편리하고 다양한 소프트웨어를 통해 초보자도 보다 쉽고 빠르게 이용 가능합니다. Easy Settings 삼성 패스트 스타트 Easy File Share (PC to PC) (삼성 컨 삼성전자는 Windows 를 권장합니다. PC 소프트웨어 PC 솔루션 삼성 삼성전자만의 편리하고 다양한 소프트웨어를 통해 초보자도 보다 쉽고 빠르게 이용 가능합니다. Easy Settings 삼성 패스트 스타트 Easy File Share (PC to PC) (삼성 컨트롤센터 대체) 전원버튼을 누르거나 덮개를 열면 몇초 내에 작업 시작 무선으로 PC간 (최대

More information

넥싸이트리플렛최종

넥싸이트리플렛최종 A/S 넥싸이트가국내에서직접 A/S 가가능한마더보드를출시합니다 in KOREA 경기도안양시동안구관양동 1591-10 대고빌딩 11 층 TEL 031.436.8400 FAX 031.436.8401 www.nexite.co.kr 국내에서직접 A/S 가가능한마더보드탄생 철저한검증프로세스를거친고품질의보드공급 안정성을최우선으로고려한보드설계 국내대기업에서인증된보드검증프로세스를적용하여대기업보드와동일한품질보장

More information

G2011WDT-Manual-LG(CCNF-Ver02).xls

G2011WDT-Manual-LG(CCNF-Ver02).xls 이 기기는 가정용으로 전자파 적합 등록을 한 기기로써 주거지역에는 물론 모든 지역에서 사용할 수 있습니다. 사 용 설 명 서 20.1" TFT LCD TV+Monitor Model : G2011WDT 금미전자 안전을 위한 주의사항 안전을 위한 주의사항은 제품의 안전하고 올바른 사용과 사고나 위험을 사전에 막기 위한 것이므로 반드시 지켜 주시기 바랍니다. 모니터

More information

solution map_....

solution map_.... SOLUTION BROCHURE RELIABLE STORAGE SOLUTIONS ETERNUS FOR RELIABILITY AND AVAILABILITY PROTECT YOUR DATA AND SUPPORT BUSINESS FLEXIBILITY WITH FUJITSU STORAGE SOLUTIONS kr.fujitsu.com INDEX 1. Storage System

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

- 이 문서는 삼성전자의 기술 자산으로 승인자만이 사용할 수 있습니다 Part Picture Description 5. R emove the memory by pushing the fixed-tap out and Remove the WLAN Antenna. 6. INS

- 이 문서는 삼성전자의 기술 자산으로 승인자만이 사용할 수 있습니다 Part Picture Description 5. R emove the memory by pushing the fixed-tap out and Remove the WLAN Antenna. 6. INS [Caution] Attention to red sentence 3-1. Disassembly and Reassembly R520/ 1 2 1 1. As shown in picture, adhere Knob to the end closely into the arrow direction(1), then push the battery up (2). 2. Picture

More information

歯Cablexpert제안서.PDF

歯Cablexpert제안서.PDF : CableXpert TM TEL:02-576-0471 / E-mail : lab@dakos.net 1. (CableXpert TM :CME1100 ) (PSTN) 100,. (CableXpert TM ).,,.... : : 324-1 2 : 02-576-0471 : 02-576-0474 : (E-mail : jangpo@dakos.net) 3. 1) S/W

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt ctober 2006 2006 Q4 AMD 데스크탑 프로세서 소개 2006 / 11 4분기 새 소식! 이제 본격적인 AM2 시즌! 소켓 939와 소켓754는 일부재고제품으로운영후단종 Quad-core, DDR2 메모리, 가상화기술 지원- 미래형 플랫폼 최고 성능의 명예를 이어가는 FX-70, -72 & -74 출시 4X4 Platform, 2-Processor

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

EmbeddedSoC_1주차.PDF

EmbeddedSoC_1주차.PDF 1 H/W 2 Example) PC is NOT an embedded system. 3 RCW Mirus 4 TRON OS Real-time Operating System MS WinCE 5 Must provide correct results at required time deadline For examples Security system that checks

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

User Guide

User Guide 사용 설명서 2014, 2015 HP Development Company, L.P. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보 증은 제품 및 서비스와 함께 동봉된 보증서에 명시되어 있습니다. 본 설명서에는 어떠한 추 가 보증 내용도 들어 있지 않습니다. HP 는 본 설명서의 기술상 또는 편집상 오류나 누락에

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

Microsoft Word - jEMB11_kor.docx

Microsoft Word - jEMB11_kor.docx TO : Jinyoung Contech Co., Ltd. #501, 222-12 MARIOTOWER, GURO3-DONG, GURO-KU, SEOUL, KOREA TEL : 82-2-890-6400 FAX : 82-2-890-6406 HOME PAGE : http://www.jyct.com/ Issued Date : 2011-08-23 Page : 17 Pages

More information

Press Arbitration Commission 62

Press Arbitration Commission 62 제 2 부 언론관련판결 사례 제1장 명예훼손 사례 제2장 재산권 침해 사례 제3장 기타 인격권 침해 사례 제4장 형사 사례 제5장 헌법재판소 결정 사례 편집자 주 - 사건관계인의 인격권을 보호하기 위해 필요한 경우 사건관계인의 이름, 소속회사, 주 소, 차량번호 등을 비실명 익명처리하고 필요한 경우 최소한의 범위내에서 판결문의 일부를 수정 또는 삭제함을 알려드립니다.

More information

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성 i-movix 소개 2005 년설립 ( 벨기에, 몽스 ), 방송카메라제작 2005년 Sprintcam Live System 개발 2007년 Sprintcam Live V2 2009년 Sprintcam Live V3 HD 2009년 Sprintcam Vvs HD 2011년 Super Slow Motion X10 2013년 Extreme + Super Slow

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

Microsoft Word - Dstar_PC100_quick_LX800.doc

Microsoft Word - Dstar_PC100_quick_LX800.doc TO : 문 서 명 k DATE : 서울시 구로구 구로동 222-12 마리오타워 501 TEL : 02-890-6400 FAX : 02-890-6406 발 행 일 2007. 07. 12 수 정 일 HOME PAGE : http://www.jyct.com/ PAGE 6 page Open Frame PC 사용하기 전에 고압 감전의 위험(Inverter 부)이 있으므로

More information

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3 열차운행정보 승무원 확인시스템 구축 제 안 요 청 서 2014.6. 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3.시운전 및 하자보증 10

More information