목차 1. 머리말 PCL 6045BL -EVK 형상 제품제원 3.1 동작제원 구성 H/W Block Diagram Connector 구성 Connector Pin 구성 6.1 PO

Size: px
Start display at page:

Download "목차 1. 머리말 PCL 6045BL -EVK 형상 제품제원 3.1 동작제원 구성 H/W Block Diagram Connector 구성 Connector Pin 구성 6.1 PO"

Transcription

1 PCL-6045BL Evaluation Kit Instruction Manual For Developing Motion Control Board by PCL 6045BL Version 1.1 NPM korea

2 목차 1. 머리말 PCL 6045BL -EVK 형상 제품제원 3.1 동작제원 구성 H/W Block Diagram Connector 구성 Connector Pin 구성 6.1 POWER M_POWER MPG TB SW SV1 ~ SV P P P JUMPER (J1 ~ J16) CPU-CONNECTOR Connection Sample 7.1 P1 Connector +EL, -EL, ORG, SD P1 Connector +DR, -DR, CLR, LTC, EMG, MPG P2 Connector P3, P4, P5, P6 (INPUT) P3 Connector P3, P4, P5, P6 (OUTPUT) LED Display CPU BOARD 제원 9.1 CPU CPU BOARD 구성 Block Diagram Download Firmware Serial Communication (RSC-232) 11.1 기본 Protocol PC <-> EvKit 송수신예 PC 에서시리얼포트설정법 NPM KOREA

3 1. 머리말 PCL 6045BL 모션 LSI 칩을사용해서서보모터및, 스테핑모터의제어보드개발시도움을줄수있는 KIT 입니다. 당사에서제공하는 ARM9 계열의 CPU 보드와같이사용시시리얼통신을사용하여, PC 제어및 Stand Alone 방식으로제어가가능합니다. PC 와연결시미니 USB 케이블을사용하여 COM 포트로연결이되고, 제어판에서간단한설정을통해서 PC 와시리얼통신연결설정을할수있습니다. S 축가감속, 위치결정제어, 직선보간및원호보간, 펄스입력방식, MPG 입력, 공용입출력포트등을통하여 PCL 6045BL 칩이가지고있는모든기능을사용할수있도록구성되어있습니다. 주 ) 본제품은 Evaluation Kit 로써 PCL 6045BL 칩을사용한제품개발에참고용으로만사용될수 있습니다. 상용제품으로써사용시 NPMK 는어떠한책임도지지않습니다. 3 NPM KOREA

4 2. PCL6045BL-EVK 형상 TB1 SW1 SV1 SV2 SV3 SV4 P1 POWER1 <PCL6045BL-EV> ( 가로 210mm x 세로 107mm) MPG1 M_POWER1 J1~16 P3 P2 <PCL6045BL-GPIO> ( 가로 115mm x 세로 107) 4 NPM KOREA

5 실물사진 사진 1. Main Board 사진 2. CPU BOARD 5 NPM KOREA

6 사진 3. I/O BOARD 6 NPM KOREA

7 3. 제품제원 3.1 동작제원허용전원 25V, 1A, 동작온도 0 ~ 50 도, 크기가로 210mm x 세로 107mm 3.2 구성 CPU 보드 PCL6045BL 메인보드 I/O 보드미니 USB 케이블매뉴얼 CD 7 NPM KOREA

8 4. H/W Block Diagram PC RS232 to USB CPU Module USB USRT1 Cortex M4 (STM32F407) Addr/Data Bus, Control BASE Module Servo 4ax Pulse Encder Sensor 4ax PCL6045BL P/H/N Sync I/O, MPG LED GPIO 24V Input GPIO Module IN GPIO LED OUT 8 NPM KOREA

9 5. Connector 구성 명칭 설명 POWER1 M_POWER1 24V 제어전원입력단자 24V 서보 IO 및 MPG1 전원입력단자 전원인가시 SV1~SV4, MPG1 단자를통해전원이출력되어 연결된서보 IO 및 MPG 구동을가능하게합니다. MPG1 TB1 SW1 SV1~SV4 P1 MPG 결선단자 CSTA, CSTP 신호단자 PCS0~PCS3(PCSx, PCSy, PCSz, PCSu) 단자서보드라이브및스테핑드라이브연결단자 Home, +Limit, -Limit, SD, +DR, -DR, CLR, LTC, EMG 입력단자 LMT_COM 에전원을공급하면 ORG, +Limit, -Limit, SD 입력회로가동작합니다. M_COM 에전원을공급하면 +DR, -DR, CLR, LTC, MPG, EMG 입력회로가동작합니다. P2 GPIO 입력단자 각축의 P3, P4, P5, P6 를입력으로설정하고점퍼를 INPUT 으 로설정하여야합니다. P3 GPIO 출력단자 각축의 P3, P4, P5, P6 를출력으로설정하고점퍼를 OUTPUT 으로설정하여야합니다. J1~J16 GPIO 입력및출력설정단자 9 NPM KOREA

10 6. Connector Pin 구성 6.1 POWER1 전원입력단자 번호 설명 1 +24V 2 GND 3 FG 6.2 M_POWER1 2 차전원단자 번호 설명 1 EX+24V 2 NC 3 EX GND M_POWER1 에공급되는전원은 SV0~SV1 의서보 IO (ON, RESET, ALARM, INPOSITION) 및 MPG1에공급되는절연된 2차전원으로제어전원과분리하여결선할것을권장합니다. 6.3 MPG1 Magnetic Pulser Generator 연결단자 번호 설명 1 EX+24V M_POWER1 의 EX+24V 가출력됩니다. 2 MPG A 3 MPG B 4 EX GND 24V 오픈컬렉터출력의 MPG를결선합니다. 10 NPM KOREA

11 6.4 TB1 동시시작및동시정지시외부신호출력및입력 번호 설명 1 CSTA 2 CSTP 3 GND 4 CSTA 5 CSTP 6.5 SW1 번호 설명 1 PCS0 2 PCS1 3 PCS2 4 PCS3 PCS (Position Control Signal) 위치제어신호입력단자, 목표위치 Override시사용하며동작간신호입력시 PRMV에입력된위치로이동한다., 보간동작시사용불가능 11 NPM KOREA

12 6.6 SV1 ~ SV4 모터드라이버의 1~4축간 Evaluation Board의연결은아래와같습니다. 번호 설명 번호 설명 1-14 CCW- (Sign-) 2 GND 15 CW+ (Pulse+) 3 EZ+ (Encoder) 16 CW- (Pulse-) 4 EZ- (Encoder) 17-5 EB+ (Encoder) 18-6 EB- (Encoder) 19 Servo Drive On Output (P0) 7 EA+ (Encoder) 20 Servo Drive Alarm Input 8 EA- (Encoder) 21 Servo Drive Reset Output (P1) 9-22 Servo Drive Inposition Input SV RDY (P2) PCLR EX GND 13 CCW+ (Sign+) 26 EX +24V 12 NPM KOREA

13 6.7 P1 Limit, Home, 등의각축별전용입출력연결은아래와같습니다. 번호 설명 번호 설명 1 +LIMIT DR 1 2 -LIMIT DR 1 3 HOME 0 23 CLR 1 4 SD 0 24 LTC 1 5 +LIMIT DR 2 6 -LIMIT DR 2 7 HOME 1 27 CLR 2 8 SD 1 28 LTC 2 9 +LIMIT DR LIMIT DR 3 11 HOME 2 31 CLR 3 12 SD 2 32 LTC LIMIT 3 33 EMG 14 -LIMIT HOME SD DR 0 37 LMT COM 18 - DR 0 38 LMT COM 19 CLR 0 39 M COM 20 LTC 0 40 M COM 13 NPM KOREA

14 6.8 P2 General Input 설정은아래와같습니다. 번호 설명 번호 설명 1 P3 0 입력 11 P5 2 입력 2 P4 0 입력 12 P6 2 입력 3 P5 0 입력 13 P3 3 입력 4 P6 0 입력 14 P4 3 입력 5 P3 1 입력 15 P5 3 입력 6 P4 1 입력 16 P6 3 입력 7 P5 1 입력 17-8 P6 1 입력 18-9 P3 2 입력 19 IN COM 10 P4 2 입력 20 IN COM 6.9 P3 General Output 설정은아래와같습니다. 번호 설명 번호 설명 1 P3 0 출력 11 P5 2 출력 2 P4 0 출력 12 P6 2 출력 3 P5 0 출력 13 P3 3 출력 4 P6 0 출력 14 P4 3 출력 5 P3 1 출력 15 P5 3 출력 6 P4 1 출력 16 P6 3 출력 7 P5 1 출력 17-8 P6 1 출력 18-9 P3 2 출력 19 GND_EXT 10 P4 2 출력 20 GND_EXT 14 NPM KOREA

15 6.10 JUMPER(J1 ~ J16) 입출력선택을위한 jumper 번호 설명 번호 설명 J1 P3 0 설정 J9 P5 0 설정 J2 P3 1 설정 J10 P5 1 설정 J3 P3 2 설정 J11 P5 2 설정 J4 P3 3 설정 J12 P5 3 설정 J5 P4 0 설정 J13 P6 0 설정 J6 P4 1 설정 J14 P6 1 설정 J7 P4 2 설정 J15 P6 2 설정 J8 P4 3 설정 J16 P6 3 설정 2핀점퍼를사용해 P3~P7 GPIO를각각 OUTPUT 또는 INPUT 회로로연결되게합니다 CPU 커넥터번호 설명 번호 설명 26 /6045_INT 61 D3 27,28 GND 62 D4 29,30 5V 63 D5 33 /RD 64 D6 34 /WR 65 D7 35 /6045_WAIT 66 D8 37 /CS1 67 D9 41 A0 68 D10 42 A1 69 D11 43 A2 70 D12 44 A3 71 D13 58 D0 72 D14 59 D1 73 D15 60 D2 74 /RST 15 NPM KOREA

16 7. Connection Sample 7.1 P1 Connector +EL, -EL, ORG, SD 입력저항 5.6K ohm 입력저항 5.6K ohm LMT_COM(+) +24V LMT_COM(-) GND GND +24V +Limit +Limit Home Home -Limit -Limit SD SD 7.2 P1 Connector +DR, -DR, CLR, LTC, EMG, MPG1 입력저항 5.6K ohm 입력저항 5.6K ohm M_COM(+) +24V M_COM(-) GND GND +24V DR DR CLR,LTC CLR,LTC MPG A/B MPG A/B EMG EMG MPG1단자의전원을이용해외부의 MPG 장치를구동하려면 M_POWER1 의전원을연결하여야합니다. 16 NPM KOREA

17 7.3 P2 Connector P3, P4, P5, P6 (INPUT) 입력저항 5.6K ohm 입력저항 5.6K ohm IN_COM(+) +24V IN_COM(-) GND GND +24V P3 P3 P4 P4 P5 P5 P6 P6 J1~J16 을 INPUT 으로설정하고, 프로그램에서포트를입력으로설정합니다. ( 프로그램에서포트를출력으로설정하고, 결선을입력으로연결하지않도록주의 ) 7.4 P3 Connector P3, P4, P5, P6 (OUTPUT) P3, P4, P5, P6 부하 P3, P4, P5, P6 부하 OUT 부하 +24V GND_EXT GND J1~J16 을 OUTPUT 으로설정하고, 프로그램에서포트를출력으로설정합니다. 17 NPM KOREA

18 8. LED Display LED BUSY 설명 PCL6045 BUSY 출력 LTC PCL6045 LTC 입력 (P1 커넥터 ) CLR PCL6045 CLR 입력 (P1 커넥터 ) -DR PCL6045 -DR 입력 (P1 커넥터 ) +DR PCL6045 +DR 입력 (P1 커넥터 ) SD PCL6045 SD 입력 (P1 커넥터 ) HOME PCL6045 ORG 입력 (P1 커넥터 ) -LMT PCL6045 -EL 입력 (P1 커넥터 ) +LMT PCL6045 +EL 입력 (P1 커넥터 ) SRDY PCL6045 P2 입력 (SV 커넥터 ) SINP PCL6045 INP 입력 (SV 커넥터 ) ARM PCL6045 ALARM 입력 (SV 커넥터 ) ( 커넥터결선 Open 시점등 ) SON PCL6045 P0 출력 (SV 커넥터 ) SRST PCL6045 P1 출력 (SV 커넥터 ) ERC PCL6045 ERC 출력 (SV 커넥터 ) EMG PCL6045 CEMG 입력 (P1 커넥터 ) STP PCL6045 STP 입출력 (TB1 커넥터 ) STA PCL6045 STA 입출력 (TB1 커넥터 ) 18 NPM KOREA

19 9. CPU BOARD 제원 (Cortex M4) 9.1 CPU 종류 ST Microelectronics 사 STM32F407IG Cortex M4 Core 32Bit 사용 Kbytes Flash Memory - 192Kbytes SRAM - 시스템클럭 25Mhz, 내부동작 120Mhz 9.2 CPU BOARD 구성 - USB : USB Mini B, 232 to UBS 시리얼통신커넥터 Baud Rate 지원 - SW1 : 테스트용스위치기본탑재펌웨어동작시험용 (P) : Test Run mode (R) : PCL6045 Reset mode (Center) : Communication mode - SW2 : 부팅모드점퍼쇼트상태에서전원투입시부팅모드로설정되어프로그램을다운로드할수있습니다. - P1 : J Tag 에뮬레이터연결포트 - 크기 760 X 350 mm 9.3 Block Diagram USB SW1 P1 SW2 Cortex M4 (STM32F407) 19 NPM KOREA

20 10. Download Firmware 에서 STSW-MCU005STM32 and STM8 Flash loader demonstrator (UM0462) 을다운로드하여설치한후펌웨어 (~.bin 파일 ) 을다운로드하는방법입니다. 1. CPU 모듈의 SW2 단자에점퍼를장착한후전원을넣으면부팅모드가됩니다. 2. 다운로더프로그램을실행하여기본설정에서 COM 포트를확인합니다. 3. STM32F4_1024K 를선택한후다운로드합니다. 20 NPM KOREA

21 11. Serial Communication (RSC-232) 11.1 기본 Protocol n DA SA FC LN Addr_L Addr_H Data_L Data_H Data1_L Data1_H Check ** 각단위는바이트기준 ** DA : Dest Address ( PC = A0h, EvKit = F0h) ** SA : Source Address ( PC = A0h, EvKit = F0h) ** FC : Function Code : --- 송신 : 21h Read, 31h Write --- 수신 : 송신 FC + 80h ** LN : Check 까지의 Data Length (** 주기 **) 수신시3번의 LN 가 1 이면 Fail ** Addr HL : Addr H 축번지 Addr L Write 시 00h 0 축 00h COMW 01h 1 축 01h OTPW 02h 2 축 02h BUFW0 03h 3 축 03h BUFW1 Addr H 축번지 Addr L Read 시 00h 0 축 00h MSTSW 01h 1 축 01h SSTSW 02h 2 축 02h BUFW0 03h 3 축 03h BUFW1 ** Data HL : Read or Write data ** Check : 0 번부터 Check field 전까지의 XOR byte 21 NPM KOREA

22 11.2 PC <-> EvKit 송수신예 1) COMW 에 Write 하기 ** 송신 : PC-> EvKit n F0 A COMW Data Check ** 수신 : PC<- EvKit n A0 F0 B COMW Data Check 2) COMW ~ BUFW1 에 Write 하기 ** 송신 : PC-> EvKit n F0 A0 31 0A COMW OTPW BUFW0 BUFW1 Check ** 수신 : PC<- EvKit n F0 A0 B1 0A COMW OTPW BUFW0 BUFW1 Check 3) MSTSW Read 하기 ** 송신 : PC-> EvKit n F0 A Check ** 수신 : PC<- EvKit n A0 F0 A MSTSW Data Check 4) MSTSW ~ BUFW1 Read 하기 ** 송신 : PC-> EvKit n F0 A Check ** 수신 : PC<- EvKit n F0 A0 A1 0A MSTSW SSTSW BUFW0 BUFW1 Check 22 NPM KOREA

23 11.3 PC에시리얼포트설정법 PC에서연결시 COM10 이상의번호로선택시연결상문제가발생할수도있습니다. 따라서 COM10이하의포트로재할당하여사용하시기바랍니다. 포트할당법은아래와같습니다. 1. 시작 -> 설정 -> 제어판순으로이동하여시스템아이콘을클릭하여아래와같이시스템등록정보화면으로이동 23 NPM KOREA

24 2. 장치관리자버튼을클릭하여장치관리자를연다. 3. 포트 (COM 및 LPT) 항목에서 USB Serial Port 를선택하여속성창을연다 24 NPM KOREA

25 4. 포트설정탭으로이동하여고급버튼클릭 5. 고급설정화면에서할당된포트를 COM1~COM9 사이의번호로할당 USB 가상포트로설정되며일부 PC 에서정상동작이되지않을경우아래사이트로이동하여 FTDI 드라이버를 OS 에맞게다운로드받은후설치하시면됩니다 NPM KOREA

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

Microsoft Word - SMB-63-2_KR_.doc

Microsoft Word - SMB-63-2_KR_.doc 보충 설명서 압소덱스 AX9000TS/TH-U3 (PROFIBUS-DP 사양) SMB-63K-2 머리글 이번에 당사의 압소덱스를 선정해 주셔서 대단히 감사합니다. 압소덱스는 일반 산업용 조립 기계나 검사 기계 등의 간헐 작동 턴테이블 등을 유연하고 정밀도 높게 구동하기 위해 개발된 다이렉트 드라이브 인덱 스 유닛입니다. 본 설명서는 압소덱스 AX9000TS/TH(PROFIBUS-DP

More information

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1 SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 100) 1 Contents 1 INTRODUCTION 2 PROTOCOL FRAME OUTLINE 3 FUNCTION FIELD 4 DATA FIELD 5 CRC CHECK 6 FUNCTION EXAM 7 EXCEPTION RESPONSE 8 I/O STATUS

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16),

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16), All In OneSTEP MBCD-13A ( 스텝모터용 1 축컨트롤러 / 드라이버일체형 ) 사용설명서 MotionBank 1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64),

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

Mango-E-Toi Board Developer Manual

Mango-E-Toi Board Developer Manual Mango-E-Toi Board Developer Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

Microsoft Word - Bluetooth User Manual_V1.00_KOR.doc

Microsoft Word - Bluetooth User Manual_V1.00_KOR.doc Bluetooth User Manual (RIF-BT10) Contents 1. 제품구성 -------------------------------------------------------- 2 2. 제품 Spec -------------------------------------------------------- 3 3. System 구성 ------------------------------------------------------

More information

0.1-6

0.1-6 HP-19037 1 EMP400 2 3 POWER EMP400 4 5 6 7 ALARM CN2 8 9 CN3 CN1 10 24V DC CN4 TB1 11 12 Copyright ORIENTAL MOTOR CO., LTD. 2001 2 1 2 3 4 5 1.1...1-2 1.2... 1-2 2.1... 2-2 2.2... 2-4 3.1... 3-2 3.2...

More information

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Product Brief Manual

Product Brief Manual Product Brief Manual ELS-6XM Motion Sensor Processing Unit Embedded and Logic Solution elogics 이로직스 Rm607-1,DigitalEmpire,#685Gasandong,Geumcheon-gu 디지털엠파이어 607-1호 ( 우 : 153-023) 서울특별시금천구가산동 685 Seoul,

More information

RS- 232, RS485 FND Display Module NET-SFND-4-23A RS-232, RS485 FND Display Module NET-SFND-4-23A MANUAL (Rev 1.0) Net-Control http

RS- 232, RS485 FND Display Module NET-SFND-4-23A RS-232, RS485 FND Display Module NET-SFND-4-23A MANUAL (Rev 1.0) Net-Control   http RS-232, RS485 FND Display Module NET-SFND-4-23A MANUAL (Rev 1.0) - 1 - 1. 정격사양. NET-SFND-4-23A Display Module 은 RS-232, RS-485 겸용입니다. 밝기조절기능을추가하여통신명령으로밝기를조절할수있습니다. 이기능을사용하여표시부를점멸시키거나점차밝아지거나어두워지는특수효과를낼수도있습니다.

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

untitled

untitled CAN BUS RS232 Line CAN H/W FIFO RS232 FIFO CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter PROTOCOL Converter CAN2RS232 Converter Block Diagram > +- syntax

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

PowerPoint Presentation

PowerPoint Presentation Korea Tech Conference 2005 년 5 월 14 일, 서울 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 1 Parallel port 를이용한가전제품 제어 임효준 LG 전자 imhyo@lge.com 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 2

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

歯메뉴얼v2.04.doc

歯메뉴얼v2.04.doc 1 SV - ih.. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 - - - 23 24 R S T G U V W P1 P2 N R S T G U V W P1 P2 N R S T G U V W P1 P2 N 25 26 DC REACTOR(OPTION) DB UNIT(OPTION) 3 φ 220/440 V 50/60

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

목차 1. 매뉴얼안내 사용환경 권장사양 Virtual COM for USB Driver 지원모델 소프트웨어설치및삭제 설치 삭제 Configuration Tool

목차 1. 매뉴얼안내 사용환경 권장사양 Virtual COM for USB Driver 지원모델 소프트웨어설치및삭제 설치 삭제 Configuration Tool 소프트웨어사용설명서 Virtual COM for USB Driver / Configuration Tool Rev. 1.08 SRP-270 / SRP-275 SRP-275II / SRP-280 SRP-350 / SRP-350II SRP-350IIK SRP-350plus / 352plus SRP-350plusII / 352plusII SRP-370 / SRP-372

More information

(specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements) 11 (location selection) 12 (storage bin) 12 (i

(specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements) 11 (location selection) 12 (storage bin) 12 (i SERVICE MANUAL N200M / N300M / N500M ( : R22) e-mail : jhyun00@koreacom homepage : http://wwwicematiccokr (specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements)

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

제품소개 MODBUS Tester 는 MODBUS 프로토콜을사용하는산업장비테스트및점검하기위해 PC 를휴대및설치할필요없이쉽고빠르게장비와연결하여원하는작업을진행할수있도록휴대성을강조한 MODBUS 프로토콜테스트장치입니다. MODBUS Tester 에는 3 가지의기능이지원되며,

제품소개 MODBUS Tester 는 MODBUS 프로토콜을사용하는산업장비테스트및점검하기위해 PC 를휴대및설치할필요없이쉽고빠르게장비와연결하여원하는작업을진행할수있도록휴대성을강조한 MODBUS 프로토콜테스트장치입니다. MODBUS Tester 에는 3 가지의기능이지원되며, MODBUS Tester ( 사용자메뉴얼 ) RealSYS V1.02 1 제품소개 MODBUS Tester 는 MODBUS 프로토콜을사용하는산업장비테스트및점검하기위해 PC 를휴대및설치할필요없이쉽고빠르게장비와연결하여원하는작업을진행할수있도록휴대성을강조한 MODBUS 프로토콜테스트장치입니다. MODBUS Tester 에는 3 가지의기능이지원되며, Master 모드기능을통해각종장비의데이터정보를읽어오거나제어및데이터쓰기를할수있으며,

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

NERO_M128_V10.opj

NERO_M128_V10.opj SW 0 R 0R ISP Port REF 0.uF PE P R 0K 0.uF R 0R 0.uF JP HEER/X 0.uF X pf PF PF PF PF PF PF PF REF TK TMS TO TI PE PE PE PE PE PE PE P0 P P P P P P P Y MHz X pf JTG Port IR_FREQ IR_OUT 0 0 0 TK TO TMS TI

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3 열차운행정보 승무원 확인시스템 구축 제 안 요 청 서 2014.6. 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3.시운전 및 하자보증 10

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

SMV Vending Machine Implementation and Verification 김성민 정혁준 손영석

SMV Vending Machine Implementation and Verification 김성민 정혁준 손영석 SMV Vending Machine Implementation and Verification 201321124 김성민 201472412 정혁준 201472262 손영석 2015.05.04 Contents Review 지적사항 개선사항 Review Review sell_denied start coin {1, 5, 10, 50, 100} coin Ready Input_

More information

untitled

untitled EZ-TFT700(T) : EZ-TFT700(T) : Rev.000 Rev No. Page 2007/08/03 Rev.000 Rev.000. 2007/12/12 Rev.001 1.6 Allstech,,. EZ-TFT700(T). Allstech EZ-TFT700(T),,. EZ-TFT700(T) Allstech. < > EZ-TFT Information(13h)

More information

USBISPV3.0(071112).hwp

USBISPV3.0(071112).hwp USBISP V3.0 User Manual AVRMALL http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 AVRMALL All Rights Reserved. USBISP V3.0 User Manual Page 2/14 Contents 1. Introduction 3 2. USB DRIVER

More information

°ø±â¾Ð±â±â

°ø±â¾Ð±â±â 20, 30, 40 20, 30, 40 1 2 3 4 5 6 7 8 9 10 3.1 6.3 9.4 12.6 15.7 18.8 22.0 25.1 28.3 31.4 2.4 4.7 7.1 9.4 11.8 14.1 16.5 18.8 21.2 23.6 7.1 14.1 21.2 28.3 35.3 42.4 49.5 56.5 63.6 70.7 5.9 11.9 17.8 23.7

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

Implementation of FreeRTOS on LM3S811

Implementation of FreeRTOS on LM3S811 minibee SEMILAB Coordinator Router End Device 로직테크 USB Dongle LM2455 Module & Extension Board RP-M100/M110/MR220/MR500 Module & Extension Board 2015-02-02 www.logictech.kr 1 Revision History Version Date

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

03_원격제어반_IDAC-2W

03_원격제어반_IDAC-2W IDAC-2W (Intelligent Distributed Automatic Controller) 1. 개요 IDAC-2W 는 HVAC 의공기조화기, 냉온수열원장비, BC 의저소음휀및기타설비장비를제어하기위한제어기기이다. LCD( Liquid Crystal Display) 가장착된이제어기기는장비의다양한제어기능및데이터통신기능을가지며, Key- Pad 를이용하여장비의운전및설정할수있다.

More information

AVSHH100B10 IM.~20.

AVSHH100B10 IM.~20. 30cm 30cm 50cm 30cm ø ø 800 932.0 385 1270 1154 416 440 5mm A R H 90 O K1 K2 K3 K4 SW03 K5 K6 K7 K8 SW04 K5 K6 K7 K8 SW04 K5 K6 K7 K8 SW04 전기배선 작업 계속 전원연결 구성도 1. 단상 전원연결 16쪽 1-1 시스템 구성도 참조 단상 AC220V

More information

Microsoft PowerPoint - User Manual-100 - 20150521.pptx

Microsoft PowerPoint - User Manual-100 - 20150521.pptx CIC-100 사용 설명서 (User Manual) 나의 커뮤니티, 보는 이야기 TocView [모델명 : CIC-100] 주의사항 매뉴얼의 내용은 서비스 향상을 위하여 개별 사용자의 사전 동의 또는 별도의 공지 없이 변경될 수 있습니다. 사용자의 인터넷 환경에 따라 제품 성능 및 기능의 제작 또는 사용이 불가능할 수 있습니다. 본 제품의 이용 중 장애에 의하여

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

XDS100S V3 Entry-level JTAG Emulator. Revision XDS100S V3 ( 사용매뉴얼 ) Rev TEL , FAX , .

XDS100S V3 Entry-level JTAG Emulator. Revision XDS100S V3 ( 사용매뉴얼 ) Rev TEL , FAX ,  . Revision 12.01.31 XDS100S V3 ( 사용매뉴얼 ) Rev. 1.0.1 [1] page *Revision History 날짜 내용 2011. 12. 13. - Rev. 1.0 초판완성 2012. 01. 31. - Rev. 1.0.1 연결 Tip 추가 [2] page 목차 XDS100S V3... 1 1 제품구성... 4 2 XDS100S V3의특징...

More information

Nordic Chipset BLE Test Application Note

Nordic Chipset BLE Test Application Note Nordic Chipset BLE Test Application Note 20151218 차례 차례........................................................................... ii 1. Nordic nrf52 Series 제품테스트방법...............................................

More information

(Table of Contents) 2 (Specifications) 3 ~ 10 (Introduction) 11 (Storage Bins) 11 (Legs) 11 (Important Operating Requirements) 11 (Location Selection)

(Table of Contents) 2 (Specifications) 3 ~ 10 (Introduction) 11 (Storage Bins) 11 (Legs) 11 (Important Operating Requirements) 11 (Location Selection) SERVICE MANUAL (Table of Contents) 2 (Specifications) 3 ~ 10 (Introduction) 11 (Storage Bins) 11 (Legs) 11 (Important Operating Requirements) 11 (Location Selection) 12 (Storage Bins) 12 (Ice Machine)

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

개요

개요 Application Note (003) 시리얼인터페이스 (RS232/RS422/RS485) Version 1.0 솔내시스템주식회사 1. 개요 는 RS232, RS422, RS485등 3개의시리얼인터페이스를지원합니다. 사용자는 의설정용유틸리티인 ezconfig를이용해서 3개의인터페이스중에서하나를선택하여설정할수있습니다. 1.1. RS232 Ground를기준으로한전압을이용해서통신하는형태입니다.

More information

Microsoft Word - CL5000,5500_KOR_UM_20110321_.doc

Microsoft Word - CL5000,5500_KOR_UM_20110321_.doc 2 차 례 1. 주의 사항... 8 1.1 취급주의... 8 2. Specification... 10 2.1 소개... 10 2.2 규격... 12 3. 명칭과 기능... 14 3.1 CL 5000 - P Type... 14 3.2 기본 설치... 18 3.3 표시부... 19 3.4 기능키... 20 3.5 라벨롤의 설치... 24 4. PROGRAMMING...

More information

Microsoft Word - USB복사기.doc

Microsoft Word - USB복사기.doc Version: SD/USB 80130 Content Index 1. Introduction 1.1 제품개요------------------------------------------------------------P.02 1.2 모델별 제품사양-------------------------------------------------------P.04 2. Function

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

50-FB23-24_BES_V_ k1_ b

50-FB23-24_BES_V_ k1_ b Terminal CPX CPX-FB23, CPX-FB23-24 CC-Link 1411b [8042132] CPX-FB23, CPX-FB23-24 P.BE-CPX-FB23-24-KO CC-Link, Mitsubishi TORX ( ). :.. :.,... :. 1... 2 Festo P.BE-CPX-FB23-24-KO 1411b CPX-FB23, CPX-FB23-24

More information

歯기구학

歯기구학 1 1.1,,.,. (solid mechanics)., (kinematics), (statics), (kinetics). ( d y n a m i c s ).,,. ( m e c h a n i s m ). ( l i n k a g e ) ( 1.1 ), (pin joint) (revolute joint) (prismatic joint) ( 1.2 ) (open

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

ATS-M100007W-1

ATS-M100007W-1 ATS-M1000DA07W-1 < 이면은비어두었음 > autobasetouch Smart ATS-M1000DA07W-1 사용설명서 1. 하드웨어사양 5 2. 각부명칭및용도 6 4. 외형치수 8 5. PANEL CUTOUT 8 6. 입출력커넥터 9 7. AUTOBASE SCADA S/W 10 7.1 AutoBase SCADA 설치 10 7.2 ActiveSync

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 BOOTLOADER Jo, Heeseung 부트로더컴파일 부트로더소스복사및압축해제 부트로더소스는웹페이지에서다운로드 /working 디렉터리로이동한후, wget으로다운로드 이후작업은모두 /working 디렉터리에서진행 root@ubuntu:# cp /media/sm5-linux-111031/source/platform/uboot-s4210.tar.bz2 /working

More information

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료 오리온 알토는 Windows 7을 권장합니다. DESKTOP PC 이 기기는 가정용(B급)으로 전자파적합기기로서 주로 가정에서 사용하는 것을 목적으로 하며, 모든 지역에서 사용할 수 있습니다. * 제품 연결 및 작동 등 올바른 사용을 위해서 이 설명서를 주의 깊게 읽어 주시기 바랍니다. 또한, 향후 사용을 위해서 매뉴얼을 보관하여 주십시오. * 본 이미지는

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (H

1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (H 신제품 안내 [HD-SDI 전송장치] 1. SeeEyes HD-SDI 전송장치 개요 개요 HD-SDI 전송 솔루션 신기술 적용을 통한 고성능 / 경제적 CCTV 시스템 구축 Power over Coax 기능을 포함한 HD-SDI 전송 솔루션 저렴한 동축케이블을 이용하여 HD-SDI 신호를 원거리 전송 (HD : / Full HD: 130m) 다양한 방식으로

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

OPCTalk for Hitachi Ethernet 1 2. Path. DCOMwindow NT/2000 network server. Winsock update win95. . . 3 Excel CSV. Update Background Thread Client Command Queue Size Client Dynamic Scan Block Block

More information