매뉴얼

Size: px
Start display at page:

Download "매뉴얼"

Transcription

1 USB-AIO10 User s Manual Windows, Windows2000, Windows NT, Windows XP, Windows 7 and Windows CE are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document as their own property. Information furnished by DAQ system is believed to be accurate and reliable. However, no responsibility is assumed by DAQ system for its use, nor for any infringements of patents or other rights of third parties which may result from its use. No license is granted by implication or otherwise under any patent or copyrights of DAQ system. The information in this document is subject to change without notice and no part of this document may be copied or reproduced without the prior written consent. Copyrights 2017 DAQ System Co., LTD. All rights reserved

2 UPDATE HISTORY (Rev 0.1) (Rev 0.2) p.10 그림 4-1, 교체, 4.1 리셋스위치추가 p 장추가 40mA 전류모드삭제 (Rev 1.0) RS-232 인터페이스데이터전송기능추가 (Rev 1.0a) 표 4-3 DOUT_COM, 표 4-4 DIN_COM 비고항 POWER 삭제 (Rev 1.0b) p.27 ADC_GetSingleData() 추가 (Rev 1.0c) p.5 표 4-1 수정 (Rev 1.0d) p.5 표 4-1 기타 항추가 -2-

3 목 차 1. 소개 1.1 기능 1.2 내부블럭도 2. 제품특성 3. 장치설치 3.1 내용물확인 3.2 설치과정 4. 하드웨어장치 4.1 리셋스위치 (SW1) 4.2 USB 커넥터 (CN1) 4.3 전원선택커넥터 (J1) 4.4 외부전원커넥터 (J2) 4.5 RS-232 커넥터 (J16) 4.6 디지털입ㆍ출력회로 4.7 출력신호커넥터 (J9) 4.8 입력신호커넥터 (J3) -3-

4 4.9 아날로그신호선택커넥터 아날로그입력모드선택 아날로그출력모드선택 5. 샘플프로그램 5.1 USB 인터페이스샘플프로그램 5.1 RS-232 인터페이스샘플프로그램 References ( 주의 ) 보드와외부의신호입출력장치는공통 (Frame) 접지를반드시연결하여보드및주변장치를보호한다. 보드운용은안전한위치, 정리된환경에서실시한다. 보드전원연결전외부연결을완성하고, 정격전원을인가하여기능을실행한다

5 1. 소개 USB-AIO10 보드는아날로그입 출력, 디지털입 출력기능을지원하는보드로써, USB 2.0 High Speed, RS-232 통신인터페이스를지원한다. 아날로그신호에대해전압, 전류입출력모드를지원하고, 차폐형디지털인터페이스이다. 기본적으로 5VDC 외부전원을사용하며, USB 버스전원을사용할수있다. 1.1 기능 - 4-채널 16-비트아날로그입력 - 4-채널 16-비트아날로그출력 - 아날로그전압 / 전류모드지원 - 차폐형 24-비트디지털입력, 출력 - USB 2.0 High Speed 인터페이스 - RS-232 인터페이스 1.2 내부블럭도 Analog IN 4-Channel AIN Signal Condition ADC DAC AOUT Signal Converter Analog OUT 4-Channel Digital IN 24-Channel DIN Isolator FPGA DOUT Isolator Digital OUT 24-Channel USB Interface USB Controller Serial Transceiver RS Channel [ 그림 1-1. Internal block diagram] -5-

6 2. 제품특성 [ 표 2-1. 특성 ] 항목특성외부인터페이스커넥터 USB B-type 커넥터아날로그 / 디지털입출력용 D-SUB 커넥터 RS-232 D-SUB 커넥터 5VDC DC-JACK 전원커넥터아날로그입력 (AD) 채널 : 4 개최대입력범위 : 0~+5V, 0~20mA 해상도 (Resolution) : 16-bit, Sigma-Delta ADC 최대샘플링 : 32,768 Sample/sec 전압, 전류모드설정방식아날로그출력 (DA) 채널 : 4 개최대출력범위 : 0~+5V, 0~20mA 해상도 (Resolution) : 16-bit DAC 최대설정시간 : 10usec 전압, 전류모드설정방식디지털입력채널 : 입력 24 개입력신호레벨 : 12/24VDC Isolated 무극성입력디지털출력채널 : 출력 24 개출력신호레벨 : 12/24VDC Isolated 유극성출력데이터인터페이스 USB 2.0 High Speed(480Mbps) RS ,200bps 8-bit data, 1-bit parity 보드크기 151x110mm( 커넥터제외 ) 동작온도범위동작습도범위 ( 비응축상태 ) 동작전원 5VDC±5%, 200~400mA 지원소프트웨어 Kernel mode WDM Driver/User mode DLL OS 지원 Windows 2K/XP/7 32-bit 기본구성품 USB-AIO10 보드, USB A-B 케이블, 설치 CD( 드라이버 / 매뉴얼 / 샘플소스포함 ) 관련제품 Base-DB37 확장보드 ( 별매 ) 기타 USB 전원을사용하도록출고되며, 안정된아날로그입, 출력을위해외부전원공급기 (5VDC, 500mA이상 ) 사용이필요합니다

7 3. 장치설치 3.1 내용물확인보드설치에앞서포장내용물이이상이없는가를확인한다. [ 제품내용물 ] - USB-AIO10 보드 - USB(A-B) 케이블 - 설치 CD ( 드라이버 / 매뉴얼 /API/ 샘플소스등등 ) 3.2 설치 (Installation) PC에보드를설치하기위하여는다음과같은순서에따라서실시한다. USB의경우 Hot Plug 및 Plug & Play 장치이므로보드설치를위하여특별히고려할내용은없다. 보드의사용환경은 Windows 2000 SP4 이상, Windows XP SP1 이상, Windows 7에서사용되어야한다. (1) 먼저박스를개봉하여 USB-AIO10 제품을안정된위치에놓는다. (2) 제공하는 USB A-B 케이블을이용하여장비와 PC간을연결한다. 케이블을연결하게되면 Windows 운영체제에서자동으로검색하여맞는드라이버를설치할수있도록안내할것이다. (3) 드라이버설치는다음과같은순서에의하여실행한다. 특별한설명이없을경우 Windows 7을기준으로설명한다

8 (4) 만약새로운장치가발견되면, 운영체제 (Windows 7) 에서는장치에맞는드라이버를설치할것을요구한다. 위그림에서드라이버를설치하기위하여 컴퓨터에서드라이버소프트웨어찾아보기 (R) 를선택후다음버튼을누르면아래와같은드라이버검색화면이나타난다. 위의그림에서드라이버가포함되어있는 CD의 Driver 폴더를찾아보기버튼을눌러서사용하는운영체제의 32/64비트를지정해준후 다음 버튼을누른다. 드라이버폴더에는드라이버설치에필요한 usb_aio10.inf, usb_aio10.sys 및 usb_aio10.cat 파일이포함되어있다

9 (5) 검색된보드에적당한드라이버가있을경우 이드라이버소프트웨어를설치합니다. 를 눌러설치를시작한다. (6) 정상적으로설치가완료되면다음그림과같은메시지윈도우가나타난다

10 (7) 설치가완료되면, 바로 USB-AIO10 보드를사용할수있는데, 사용하기전에다시한번정상적으로드라이버가설치되었는지다음과같은방법으로확인한다. 내컴퓨터 -> 속성 -> 하드웨어 -> 장치관리자화면에서범용직렬버스컨트롤러 -> DAQ System Analog Input/Output Board 이 ( 가 ) 설치가되었는가를확인한다. 상기그림은 USB-AIO10 보드가 PC 에정상적으로설치된화면을보이고있다

11 4. 하드웨어장치 PC 또는기타운용장비에서장비를인터페이스하기위한보드커넥터에대하여설명한다. SW1 CN1 J2 J1 J3 J9 J16 [ 그림 4-1. 배치도 ] 4.1 리셋스위치 (SW1) 보드초기화스위치로 USB 재연결, 기능동작초기화가이루어진다. 4.2 USB 커넥터 (CN1) B- 타입 USB 커넥터로서 PC 에연결되어데이터송수신이이루어진다. [ 표 4-1. CN1 커넥터 Pin-Out] 2 1 USB B type Connector 3 4 [ 그림 4-2. CN1 커넥터 (Front View)] 번호명칭설명비고 1 VCC USB 전원 +5V 2 D- USB 신호 Minus(Negative) 3 D+ USB 신호 Plus(Positive) 4 GND USB 전원 GND

12 4.3 전원선택커넥터 (J1) 보드의사용전원을선택하는점퍼커넥터이다. 점퍼 ON 시 USB 전원, OFF 시에는 J2 커넥터로부터입력되는 5VDC 외부전원을보드동작전원으로사용한다. USB 전원과외부전원이동시에입력되지않도록주의한다. 4.4 외부전원커넥터 (J2) 외부전원 (+5Vdc) 입력을위한 DC-JACK 커넥터로, 1 번이 + 극이다. 1 J2 DC-005, 내 Ø2] [ 그림 4-3. J2 외부전원커넥터 (Front View)] 4.5 RS-232 커넥터 (J16) RS-232 인터페이스데이터전송 D-SUB 커넥터이다. 지원형식은 115,200bps, 8- bit Data, 1-bit Stop, Flow Control NONE 이다 J16 [DSUB-9P-RA] [ 그림 4-4. J16 모니터커넥터 (Front View)] [ 표 4-2. J16 커넥터 Pin-Out] 번호 명칭 설명 비고 1 N.C. No Connection 2 RxD Receive Data RS232C Level 3 TxD Transmit Data RS232C Level 4 N.C. No Connection 5 DGND Digital Ground Board Ground 6 N.C. No Connection 7 N.C. No Connection 8 N.C. No Connection 9 N.C. No Connection

13 4.6 디지털입 출력회로디지털신호는그림 3-5와같이포토커플러에의해차폐된다. 입력신호는 DIN_COM 입력공통핀과 24개 DIN 신호로구성되어양단 12V/24V 전압입력으로적정한전류가흐르도록되어있다. 출력신호는 DOUTx 신호는포토커플러 TR의 Cathode 신호에, 공통신호인 DOUT_COM 신호는 Emitter에연결된다. 12V/24V 입력전압에 20mA 이하전류를제어한다. +3.3V DINx DIN_COM 2.4K BINx DIN Isolation +3.3V DOUT0 BOUTx DOUT_COM DOUT Isolation [ 그림 4-5. Digital IO 회로 ] 4.7 출력신호커넥터 (J9) 아날로그, 디지털신호출력커넥터이다 J9 [DSUB-37P-RA] [ 그림 4-6. J9 출력신호커넥터 (Front View)] [ 표 4-3. J9 커넥터 Pin-Out] 번호 명칭 설명 비고 1 DOUT_COM 출력공통신호 2 DOUT1 Digital Out 1 O 3 DOUT3 Digital Out 3 O 4 DOUT5 Digital Out 5 O

14 5 DOUT7 Digital Out 7 O 6 DOUT9 Digital Out 9 O 7 DOUT11 Digital Out 11 O 8 DOUT13 Digital Out 13 O 9 DOUT15 Digital Out 15 O 10 DOUT17 Digital Out 17 O 11 DOUT19 Digital Out 19 O 12 DOUT21 Digital Out 21 O 13 DOUT23 Digital Out 23 O 14 AGND Analog Ground Power 15 AOUT0_N Analog Output 0, Negative O 16 AOUT1_N Analog Output 1, Negative O 17 AOUT2_N Analog Output 2, Negative O 18 AOUT3_N Analog Output 3, Negative O 19 AGND Analog Ground Power 20 DOUT0 Digital Out 0 O 21 DOUT2 Digital Out 2 O 22 DOUT4 Digital Out 4 O 23 DOUT6 Digital Out 6 O 24 DOUT8 Digital Out 8 O 25 DOUT10 Digital Out 10 O 26 DOUT12 Digital Out 12 O 27 DOUT14 Digital Out 14 O 28 DOUT16 Digital Out 16 O 29 DOUT18 Digital Out 18 O 30 DOUT20 Digital Out 20 O 31 DOUT22 Digital Out 22 O 32 DGND Digital Ground Power 33 AOUT0_P Analog Output 0, Positive O 34 AOUT1_P Analog Output 1, Positive O 35 AOUT2_P Analog Output 2, Positive O 36 AOUT3_P Analog Output 3, Positive O 37 +5V +5V 전원 Power

15 4.8 입력신호커넥터 (J3) 아날로그, 디지털신호입력커넥터이다 J3 [DSUB-37S-RA] [ 그림 4-7. J3 입력신호커넥터 (Front View)] [ 표 4-4. J3 커넥터 Pin-Out] 번호 명칭 설명 비고 1 DIN_COM 입력공통신호 2 DIN1 Digital In 1 I 3 DIN3 Digital In 3 I 4 DIN5 Digital In 5 I 5 DIN7 Digital In 7 I 6 DIN9 Digital In 9 I 7 DIN11 Digital In 11 I 8 DIN13 Digital In 13 I 9 DIN15 Digital In 15 I 10 DIN17 Digital In 17 I 11 DIN19 Digital In 19 I 12 DIN21 Digital In 21 I 13 DIN23 Digital In 23 I 14 AGND Analog Ground Power 15 AIN0_N Analog Input 0, Negative I 16 AIN1_N Analog Input 1, Negative I 17 AIN2_N Analog Input 2, Negative I 18 AIN3_N Analog Input 3, Negative I 19 AGND Analog Ground Power 20 DIN0 Digital In 0 I 21 DIN2 Digital In 2 I 22 DIN4 Digital In 4 I 23 DIN6 Digital In 6 I 24 DIN8 Digital In 8 I 25 DIN10 Digital In 10 I

16 26 DIN12 Digital In 12 I 27 DIN14 Digital In 14 I 28 DIN16 Digital In 16 I 29 DIN18 Digital In 18 I 30 DIN20 Digital In 20 I 31 DIN22 Digital In 22 I 32 DGND Digital Ground Power 33 AIN0_P Analog Input 0, Positive I 34 AIN1_P Analog Input 1, Positive I 35 AIN2_P Analog Input 2, Positive I 36 AIN3_P Analog Input 3, Positive I 37 +5V +5V 전원 Power 4.9 아날로그신호선택커넥터 아날로그입출력신호의전압 / 전류모드선택용점퍼커넥터에대한설명이다. 입출 력모두핀 1-2 번이연결되면전압모드, 핀 3-4 번이연결되면전류모드가선택된다 HEADER PIN 2x2,2.54mm 1-2 ON : 3-4 ON : [ 그림 4-8. 모드선택점퍼커넥터 (Top View)] 아날로그입력모드선택 아날로그입력신호전압 / 전류모드선택용점퍼커넥터의위치는그림 4-9 와같으 며커넥터와해당채널번호를표시하였다. 초기에는전압모드로설정되어있다. J4 AIN0 J6 AIN2 J5 AIN1 J8 AIN3 [ 그림 4-9. 아날로그입력모드선택커넥터 ]

17 4.9.2 아날로그출력모드선택 아날로그출력신호전압 / 전류모드선택용점퍼커넥터의위치는그림 4-10 과같 으며커넥터와해당채널번호를표시하였다. 초기에는전압모드로설정되어있다. J10-AOUT0 J11-AOUT1 J15-AOUT2 J18-AOUT3 [ 그림 아날로그입력모드선택커넥터 ]

18 5. 샘플프로그램 USB-AIO10의여러기능을쉽게접근할수있도록라이브러리 (API) 를구현하고, 이를적용한샘플 ( 시험 ) 프로그램을사용자에게제공한다. 프로그래머가어플리케이션개발에쉽게적용할수있도록샘플프로그램을설명한다. 샘플프로그램은 USB, RS-232 인터페이스용으로각각제공하며, Visual C++ 6.0으로작성되었다. 5.1 USB 인터페이스샘플프로그램 USB 케이블을통해 USB-AIO10 과시스템과연결되어야한다. 전원은 USB 전원또는외부 전원을사용할수있다 [ 그림 5-1. USB 인터페이스샘플프로그램 ] [ 표 5-1. 샘플프로그램기능설명 ] 번호 명칭 설명 1 Open USB-AIO10 디바이스사용을시작한다. USB 디바이스로열리면 RS-232 데이터전송은중지된다. 2 Close USB 디바이스사용을중지한다. RS-232 인터페이스기능을사용할수있다. 3 Start 연속적인아날로그입력데이터수집을시작한다. 4 Stop 연속적인데이터수집을중지한다. 5 Set 선택된샘플링레이트를설정한다. 6 WR Pointer 보드로부터읽어저장된라이브러리의버퍼포인터를표시한다. 데이터수는 0x 개이다

19 7 RD Pointer 어플리케이션에서읽는라이브러리버퍼포인터를표시한다. 8 View Scale 19항그래프에표시되는레벨을감쇄시키는값을기입한다. 아날로그입력값의범위는 0~65,535(5V/20mA) 으로그래프에표시하기에는값의범위가크기때문에이를감쇄하여표시한다. 9 Offset 그래프레벨을이동시키기위한값을기입한다. 10 Set 8, 9항에기입된값을아날로그입력데이터표시에적용한다. 11 Voltage(CH1) 아날로그입력채널 (CH1) 데이터의전압레벨로변환된값을표시한다 채널별수집데이터를표시한다. 13 Single Read 현재의아날로그입력데이터를한번읽는다. 연속데이터수집기능이중지된상태에서사용한다. 14 CH1 아날로그출력값을기입하고, 그값을 CH1에설정한다. 출력값은 0~65535(5V/20mA) 범위이다. 15 CH2 아날로그출력값을기입하고, 그값을 CH2에설정한다. 16 CH3 아날로그출력값을기입하고, 그값을 CH3에설정한다. 17 CH4 아날로그출력값을기입하고, 그값을 CH4에설정한다. 18 ALL 아날로그출력값을기입하고, 그값을모든채널에설정한다. 19 Set 디지털출력값을설정한다. 20 Get 디지털입력값을확인한다. 21 Graph 아날로그입력값을 Scale, Offset로계산하여표시한다

20 5.2 RS-232 인터페이스샘플프로그램 프로그램에서 USB 인터페이스사용이중지되어야하며, RS-232 케이블이시스템 COM 포 트와 USB-AIO10 보드가연결되어야한다. 전원은외부전원또는 USB 전원을사용할수있다 [ 그림 5-2. RS-232 인터페이스샘플프로그램 ] [ 표 5-2. RS-232 샘플프로그램기능설명 ] 번호 명칭 설명 1 COM1 사용할 RS-232 포트번호를선택한다. 2 OPEN USB-AIO10 인터페이스용 RS-232 포트를개방한다. 3 CLOSE 인터페이스 RS-232 포트를닫는다. 4 Channel 아날로그출력채널을선택한다. 5 - 아날로그출력데이터값을입력한다. 6 Set 아날로그출력데이터값을보드에설정한다. 7 Get 버튼을누르면보드로부터현재아날로그데이터를읽어표시한다. 8 Set 좌측디지털출력값을보드에설정한다. 9 Get 현재디지털입력을읽어좌측에표시한다

21 References 1. USB 2.0 System Architecture -- Don Anderson, USB SIG ( 2. Universal Serial Bus Specification -- Compaq/Intel/Microsoft/NEC/MindShare Inc. (Addison Wesley) 3. AN201 How to build application using APIs -- DAQ system 4. AN342 USB-AIO10 API VER DAQ system

NET-AIO12 매뉴얼

NET-AIO12 매뉴얼 NET-AIO12 User s Manual Windows, Windows2000, Windows NT, Windows XP, Windows 7 and Windows CE are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

매뉴얼

매뉴얼 USB-DIO12800 User s Manual Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

매뉴얼

매뉴얼 USB-DIO6400 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

USB-AIO11 API

USB-AIO11 API NET-AIO11 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-ROM Emulator User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 PCIe-AIO10 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

매뉴얼

매뉴얼 PCI-DIO02 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

매뉴얼

매뉴얼 PCI-AIO05 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

PCIe-FRM22 매뉴얼

PCIe-FRM22 매뉴얼 PCIe-FRM22 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

USB3-FRM01 API 매뉴얼

USB3-FRM01 API 매뉴얼 USB3-FRM01 API Programming (Rev 1.1) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

USB3-FRM13_B 매뉴얼

USB3-FRM13_B 매뉴얼 USB3-FRM13_B User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

USB3-FRM10 매뉴얼

USB3-FRM10 매뉴얼 USB3-FRM10 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

Microsoft Word - SMB-63-2_KR_.doc

Microsoft Word - SMB-63-2_KR_.doc 보충 설명서 압소덱스 AX9000TS/TH-U3 (PROFIBUS-DP 사양) SMB-63K-2 머리글 이번에 당사의 압소덱스를 선정해 주셔서 대단히 감사합니다. 압소덱스는 일반 산업용 조립 기계나 검사 기계 등의 간헐 작동 턴테이블 등을 유연하고 정밀도 높게 구동하기 위해 개발된 다이렉트 드라이브 인덱 스 유닛입니다. 본 설명서는 압소덱스 AX9000TS/TH(PROFIBUS-DP

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

USB3-DIO01

USB3-DIO01 USB3-DIO01 API Programming (Rev 1.2) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

마리오와 소닉 리우 올림픽™

마리오와 소닉 리우 올림픽™ 마리오와 소닉 리우 올림픽 1 사용하기 전에 준비하기 2 유저 콘텐츠에 대하여 3 인터넷으로 이용 가능한 기능 4 보호자 여러분께 5 amiibo란 시작하기 전에 6 게임 소개 7 게임 시작 방법 8 조작 방법 9 데이터 저장과 삭제 통신으로 더욱 즐기기 10 대전 모드 11 포켓 마라톤 12 기록 기타 13 사용 곡명과 작곡자 소개 14 플레이 정보 송신

More information

디지털TV솔루션 브로셔

디지털TV솔루션 브로셔 개요 [ADC] [DDC] [DAC] [VSC] 영상 / 음성 변환및압축 Ethernet Stream 전송및전시 저장및재생 입력 - SD 급영상동시 4CH - 디지털영상동시 2CH - Analog Audio 동시 2CH 영상 : H.264 압축 음성 : PCM 16bit HW 방식 Encoding 지원 Gigabit 이더넷전송 / 수신 낮은지연시간 ( 최대

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

Siemens

Siemens SIEMENS () 2004 7 Updated 2004 DEC 09 1. 4 1.1 4 1.2 4 2. 5 2.1 5 2.2 6 2.3 6 2.4 7 3. 8 3.1 50/60 Hz DIP 8 4. 9 4.1 420 9 4.2 420 9 4.3 (CB) 10 5. / () 11 5.1 11 5.2 : P0003 12 6. 13 6.1 13 6.2 15 6.2.1

More information

USB3-FRM13 API 매뉴얼

USB3-FRM13 API 매뉴얼 USB3-FRM13 API Programming (Rev 1.2) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 cpci-frm11 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

USB3-FRM13 매뉴얼

USB3-FRM13 매뉴얼 USB3-FRM13 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-PIR100은 UART 인터페이스를통하여인체모션감지 (PIR) 데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. ( PIR: Pyroelectric

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

03_원격제어반_IDAC-2W

03_원격제어반_IDAC-2W IDAC-2W (Intelligent Distributed Automatic Controller) 1. 개요 IDAC-2W 는 HVAC 의공기조화기, 냉온수열원장비, BC 의저소음휀및기타설비장비를제어하기위한제어기기이다. LCD( Liquid Crystal Display) 가장착된이제어기기는장비의다양한제어기능및데이터통신기능을가지며, Key- Pad 를이용하여장비의운전및설정할수있다.

More information

COMFILE_VOL13_20140204.cdr

COMFILE_VOL13_20140204.cdr "다양한 산업현장에서 쓰이고 있는 컴파일 제품" 데이터 수집 데이터 수집용 필드 I/O 제품 "모드포트" 필드 I/O 전력 모니터링 로봇 제어 태양광 발전 트랙커 제어 CUPC-P80 CT1721C CB405 포장기 화력발전소-화력 감지 시스템 녹방지장치(용존산소제거장치) CT1721C CB280, CLCD-216 CUWIN3500 일회용 용기 성형기 항온항습기

More information

(MHT-SB112\273\347\276\347\274\255.hwp)

(MHT-SB112\273\347\276\347\274\255.hwp) 무한테크 Digital I/O Board MHT-SB112 경기도의왕시고천동 290-2 대영골든밸리 902 호 http:// Tel : 031-450 - 6737 Fax : 031-450 - 6738 Email : info@moohantechbiz 차례 1 사용되는용도및특징 2 구성요소 3 인터페이스구성 4 아날로그입력 5 통신프로토콜 6 딥스위치설정 7 PCB

More information

PICe-FRM26_B 매뉴얼

PICe-FRM26_B 매뉴얼 PCIe-FRM26_B User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

Hardware Manual TSP100

Hardware Manual TSP100 Trademark acknowledgments TSP: Star Micronics., Ltd. Notice All rights reserved. Reproduction of any part of this manual in any form whatsoever, without STAR s express permission is forbidden. The contents

More information

MF3010 MF Driver Installation Guide

MF3010 MF Driver Installation Guide 한국어 MF 드라이버설치설명서 사용자소프트웨어 CD-ROM................................................ 1.................................................................... 1..............................................................................

More information

Microsoft Word - src.doc

Microsoft Word - src.doc IPTV 서비스탐색및콘텐츠가이드 RI 시스템운용매뉴얼 목차 1. 서버설정방법... 5 1.1. 서비스탐색서버설정... 5 1.2. 컨텐츠가이드서버설정... 6 2. 서버운용방법... 7 2.1. 서비스탐색서버운용... 7 2.1.1. 서비스가이드서버실행... 7 2.1.2. 서비스가이드정보확인... 8 2.1.3. 서비스가이드정보추가... 9 2.1.4. 서비스가이드정보삭제...

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

목 차 1. 드라이버 설치...3 1.1 설치환경...3 1.2 드라이버 설치 시 주의사항...3 1.3 USB 드라이버 파일...3 1.4 Windows XP에서 설치...4 1.5 Windows Vista / Windows 7에서 설치...7 1.6 Windows

목 차 1. 드라이버 설치...3 1.1 설치환경...3 1.2 드라이버 설치 시 주의사항...3 1.3 USB 드라이버 파일...3 1.4 Windows XP에서 설치...4 1.5 Windows Vista / Windows 7에서 설치...7 1.6 Windows 삼성SDS 하이패스 USB 드라이버 설치 매뉴얼 삼성SDS(주) 목 차 1. 드라이버 설치...3 1.1 설치환경...3 1.2 드라이버 설치 시 주의사항...3 1.3 USB 드라이버 파일...3 1.4 Windows XP에서 설치...4 1.5 Windows Vista / Windows 7에서 설치...7 1.6 Windows 8에서 설치...9 2. 드라이버

More information

ꊉꊒ ꊉꊓ ꊉꊔ ꊉꊕ ꊉꊖ ꊉꊗ ꊉꊘ ꊱ ꊲ ꊳ ꊴ ꏗ ꏗ ꏗ ꏗ ꏘ ꠑꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꁚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚ ꠛ ꠚꠚꠚꠚꠙ ꠐ ꠐ ꠝ ꠑꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꁚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚ ꠝ ꠛ ꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠙ ꠝ ꠝ ꠝ ꠝ ꠑꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꁚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚ

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은

고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은 CAR AUDIO SYSTEM 3XKRC07 AM100MDDG 사용설명서 ATYPE 고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은 제품의

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-THL100은 UART 인터페이스를통하여온도, 습도, 조도데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에쉽게적용할수있도록소형으로제작되었습니다. PC에서 OSTSen-THL100의온도,

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 PCIe-FRM4 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

0922 Monitor22...._kor_1

0922 Monitor22...._kor_1 본 사용설명서는 사용자가 언제라도 볼 수 있는 장소에 보관하십시오. TV튜너의 내장으로, 모니터 기능외에 TV로도 사용할 수 있는 모니터입니다. 좁은 공간도 효율적으로 이용할 수 있는 Slim하고 Simple한 디자인. 인체공학적인 디자인으로 사용 편리성 제고. 와이드형 TFT LCD 패널의 채용으로 넓은 화면의 구현. 최대 해상도 680 x 050(WSXGA+)지원.

More information

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver 1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-MOS100은 UART 인터페이스를통하여토양수분데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. PC에서는 OSTSen-MOS100에서제공하는토양수분데이터를

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

ATS-M100007W-1

ATS-M100007W-1 ATS-M1000DA07W-1 < 이면은비어두었음 > autobasetouch Smart ATS-M1000DA07W-1 사용설명서 1. 하드웨어사양 5 2. 각부명칭및용도 6 4. 외형치수 8 5. PANEL CUTOUT 8 6. 입출력커넥터 9 7. AUTOBASE SCADA S/W 10 7.1 AutoBase SCADA 설치 10 7.2 ActiveSync

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-IK01 User s Manual AN2131, EZ-USB and Cypress are trademarks of Cypress Semiconductor, Keil and uvision2 are trademarks of Keil software. Windows, Windows2000, Windows NT and Windows XP are trademarks

More information

Title Here

Title Here INNOWATCH V3.0.4 IPLAYBACK 설치매뉴얼 작성일 : 2015/04/20 최근업데이트 : 2016/06/27 Software Version : 3.0.4 문서관리 수정내역 일자작업자버전수정내용 2015/05/14 김창희양식수정 2016/05/20 김진규 N/A Preinstall 내용수정, 문서양식변경 검토자 이름 이영상 지위 기술본부이사 Distribution

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

개요

개요 Application Note (003) 시리얼인터페이스 (RS232/RS422/RS485) Version 1.0 솔내시스템주식회사 1. 개요 는 RS232, RS422, RS485등 3개의시리얼인터페이스를지원합니다. 사용자는 의설정용유틸리티인 ezconfig를이용해서 3개의인터페이스중에서하나를선택하여설정할수있습니다. 1.1. RS232 Ground를기준으로한전압을이용해서통신하는형태입니다.

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

Microsoft PowerPoint - 조달 제안서_20120731 [호환 모드]

Microsoft PowerPoint - 조달 제안서_20120731 [호환 모드] www.uhitech.co.kr Total Visual Solution Company Uhwan I-Tech, Inc. Copyrightc 2011 Uhwan I-Tech, Inc. All rights reserved 주소 : 서울특별시 강남구 역삼동 733-19 Tel : 02) 555-8868 Fax : 02) 555-8878 담당: 추성욱차장 전화 :

More information

Microsoft Word - LKP-RTD 사용자 설명서

Microsoft Word - LKP-RTD 사용자 설명서 LKP-RTD 보드 사용자설명서 Version Information H/W Version : Version 1.0 소속 : ( 주 ) 엘케이일레븐연구소주소 : 성남시중원구상대원동 190-1 SKn테크노파크메가센터 1306호전화 : 031-776-4120 / FAX : 031-766-4119 목차 1. 개요... 5 2. 사양... 6 3. 블록도... 7 4.

More information

NET-FRM01 매뉴얼

NET-FRM01 매뉴얼 NET-FRM01 User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

. "" "",.... :...,,....,.. :..,,,..,,...,.... 2

.  ,.... :...,,....,.. :..,,,..,,...,.... 2 RD-5405 /.. . "" "",.... :...,,....,.. :..,,,..,,...,.... 2 ..,,..,.. (,,,, )......,...,., ( ),,,,.,. (, )..,...... BD/DVD CD TV, VCR,........ (+, -).,,..... 3 ... 2... 3....3... 5... 9... 10...11...11...

More information

DWCOM15/17_manual

DWCOM15/17_manual TFT-LCD MONITOR High resolution DWCOM15/17 DIGITAL WINDOW COMMUNICATION DIGITAL WINDOW COMMUNICATION 2 2 3 5 7 7 7 6 (Class B) Microsoft, Windows and Windows NT Microsoft VESA, DPMS and DDC Video Electronic

More information

MF5900 Series MF Driver Installation Guide

MF5900 Series MF Driver Installation Guide 한국어 MF 드라이버설치설명서 사용자소프트웨어 CD-ROM................................................ 1.................................................................... 1..............................................................................

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 RecurDyn 의 Co-simulation 와 하드웨어인터페이스적용 2016.11.16 User day 김진수, 서준원 펑션베이솔루션그룹 Index 1. Co-simulation 이란? Interface 방식 Co-simulation 개념 2. RecurDyn 과 Co-simulation 이가능한분야별소프트웨어 Dynamics과 Control 1) RecurDyn

More information

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper Windows Netra Blade X3-2B( Sun Netra X6270 M3 Blade) : E37790 01 2012 9 Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs,

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

DAQ

DAQ Introduction to DAQ -Labview associated- DAQ 시스템 아날로그신호를컴퓨터로입력받아디지털로변환하고, 이변환된데이터를사용자의요구에맞게분석하는시스템 하드웨어의구성메인시스템 (Main System) 데이터수집보드 (DAQ Board) 입력센서 (Input Sensor) 신호조절모듈 (Signal Conditioning Module)

More information

먼저 읽어 보세요! 주 의 : 화재의 위험을 줄이려면, 본 장비를 비 혹은 습기에 노출하지 않도록 하시기 바랍니다. 화재의 위험을 줄이려면, 본 장비를 모든 종류의 액체로부터 멀리하시기 바랍니다. 액체가 흐르거나 튈 염려가 없는 장소에 보관하시고, 장비 위에 어떤 종류

먼저 읽어 보세요! 주 의 : 화재의 위험을 줄이려면, 본 장비를 비 혹은 습기에 노출하지 않도록 하시기 바랍니다. 화재의 위험을 줄이려면, 본 장비를 모든 종류의 액체로부터 멀리하시기 바랍니다. 액체가 흐르거나 튈 염려가 없는 장소에 보관하시고, 장비 위에 어떤 종류 사용 설명서 메모리 카드 드라이브 Model No. AU-XPD1 한 국 어 본 제품을 사용하기 전에, 이 설명서를 주의깊게 읽어 보시고, 만약을 위해 보관하시기 바랍니다. SS0714KT0 -PS Printed in Korea VQT5L02 먼저 읽어 보세요! 주 의 : 화재의 위험을 줄이려면, 본 장비를 비 혹은 습기에 노출하지 않도록 하시기 바랍니다.

More information

XJ-A142_XJ-A147_XJ-A242_XJ-A247_XJ-A252_XJ-A257_XJ-M141_XJ-M146_XJ-M151_XJ-M156_XJ-M241_XJ-M246_XJ-M251_XJ-M256

XJ-A142_XJ-A147_XJ-A242_XJ-A247_XJ-A252_XJ-A257_XJ-M141_XJ-M146_XJ-M151_XJ-M156_XJ-M241_XJ-M246_XJ-M251_XJ-M256 데이터 프로젝터 XJ-A 시리즈 XJ-A142/XJ-A147* XJ-A242/XJ-A247* XJ-A252/XJ-A257* XJ-M 시리즈 XJ-M141/XJ-M146* XJ-M151/XJ-M156* XJ-M241/XJ-M246* XJ-M251/XJ-M256* *USB 모델 KO 사용설명서 본 설명서에서 XJ-A 시리즈 및 XJ-M 시리즈 는 위에 나열된 특정

More information

Implementation of FreeRTOS on LM3S811

Implementation of FreeRTOS on LM3S811 minibee SEMILAB Coordinator Router End Device 로직테크 USB Dongle LM2455 Module & Extension Board RP-M100/M110/MR220/MR500 Module & Extension Board 2015-02-02 www.logictech.kr 1 Revision History Version Date

More information

PT01-000537A-SCB-6000-KOREAN-.indb

PT01-000537A-SCB-6000-KOREAN-.indb HD-SDI SCB-6000 www.samsungcctv.co.kr FOR KOREA UNIT STANDARD ONLY HD-SDI Copyright 2011 Samsung Techwin Co., Ltd. All rights reserved. Trademark Restriction Disclaimer Warranty,. ...., AC DC AC, DC.,,....,,...,.,..

More information

PCIe-FRM16_B 매뉴얼

PCIe-FRM16_B 매뉴얼 PCIe-FRM6_B User s Manual Windows, Windows000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this document

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft PowerPoint - LoopDisplay Manual Ver0.3.1ULDB

Microsoft PowerPoint - LoopDisplay Manual Ver0.3.1ULDB 4Digit LED 사용설명서 (2Wire LED Current Loop Indicator) 본제품은 DIN43650 커넥터를사용한압력계의 4 ~ 20mA 2 선식전류를받아서전류또는압력의형태로표시하는장치입니다.( 단일로사용될수없습니다 ) 4 ~ 20mA 의전류또는설정된범위내에서압력으로표시가가능합니다. 소수점이하자리수를 0 에서 3 까지설정할수있고교정이가능합니다.

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0 TMS0F85 초소형메모리모듈매뉴얼 TMS0F85 초소형메모리모듈제품매뉴얼 V.5. Tel. 0-78-8 Fax. 0-706-8 E-mail. dsptools@syncworks.co.kr [] page TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 09. 0. 9. - Rev..5 09. 0. 09. - Rev..5., 부트모드선택회로설명추가,

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

untitled

untitled R&S Power Viewer Plus For NRP Sensor 1.... 3 2....5 3....6 4. R&S NRP...7 -.7 - PC..7 - R&S NRP-Z4...8 - R&S NRP-Z3... 8 5. Rohde & Schwarz 10 6. R&S Power Viewer Plus.. 11 6.1...12 6.2....13 - File Menu...

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

인디쓔피-IOM핸돜벁닄큐1014pdf, page 59 @ Preflight ( IOM핸돜벁닄큐__1014 )

인디쓔피-IOM핸돜벁닄큐1014pdf, page 59 @ Preflight ( IOM핸돜벁닄큐__1014 ) Publisher: International Organization for Migration 17, route des Morillons 1211 Geneva 19 Switzerland Tel: +41.22.717 91 11 Fax: +41.22.798 61 50 E-mail: hq@iom.int Internet: http://www.iom.int ISBN 978

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information