10. 메모리 목표 메모리의개요 Random Access Memory (RAM) Read Only Memory (ROM) 프로그램가능한 ROM (PROM) 비휘발성입 / 출력메모리 메모리패키지 대용량저장장치 1

Size: px
Start display at page:

Download "10. 메모리 목표 메모리의개요 Random Access Memory (RAM) Read Only Memory (ROM) 프로그램가능한 ROM (PROM) 비휘발성입 / 출력메모리 메모리패키지 대용량저장장치 1"

Transcription

1 . 메모리 목표 메모리의개요 Random Access Memory (RAM) Read Only Memory (ROM) 프로그램가능한 ROM (PROM) 비휘발성입 / 출력메모리 메모리패키지 대용량저장장치

2 반도체메모리의세가지특성 밀도 : Density - 메모리가수용할수있는데이터의양 ( 비 ) 휘발성 : (Non-) Volatility - 전원이제거되었을때의정보저장능력 판독 / 기록기능 : Read/write capability - 메모리를업데이트하는능력 반도체메모리의분류 : DRAM (Dynamic Random-Access Memory) SRAM (Static Random-Access Memory) ROM (Read-Only Memory) EPROM (Electrically Programmable ROM) EEPROM (Electrically Erasable PROM) 플래시메모리 (Flash Memory) FRAM ( 강유전체 RAM) MRAM ( 자기저항 RAM) 2

3 메모리의구조 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되는데이터의통로. n 비트로된 MAR 은최대 2 n 개 (~2 n -) 의메모리번지를표시. MAR 입력주소 (n 비트 ) 메모리 2 n 개의워드워드당 m 비트 입력 MBR 출력 데이터 (m 비트 ) 읽기제어신호 쓰기제어신호 2. 메모리의동작 q 메모리읽기 (read) 동작 선택된워드의주소를 MAR 로전송한다. 2 읽기제어입력을동작시킨다. 메모리주소 ~23 메모리주소 ~23 MAR MAR MBR MBR 읽기동작전 읽기동작후 3

4 q 메모리쓰기 (write) 동작 지정된메모리의번지를 MAR 로전송한다. 2 저장하려는데이터비트를 MBR 로전송한다. 3 쓰기제어신호를동작시킨다. 메모리주소 ~23 메모리주소 ~23 MAR MAR MBR MBR 쓰기동작전 쓰기동작후 3. 메모리분류 반도체메모리 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM Fuse-link PROM EPROM EEPROM 반도체메모리의분류 4

5 접근방법에의한분류 v RAM(Random Access Memory) : 접근시간이어느위치나동일하게걸리는메모리형태 v SAM(Sequential Access Memory) : 원하는위치에도달하는데일정한시간이경과되는형태이므로접근시간은위치에따라서다르다. 기록기능에의한분류 v RWM(Read and Write Memory) : 기록과판독두가지를모두수행할수있는메모리 (RAM 은 RWM 메모리를의미 ). v ROM(Read Only Memory) : 판독만가능한메모리 Mask ROM(MROM) : 제조시정보가기록 PROM(Programmable ROM) : 제조후사용자가기록할수있는 ROM Fuse-link PROM : 한번만기록이가능 EPROM(Erasable PROM) : 자외선을쪼여서그내용을지운후에다시기록이가능 EEPROM(Electrically Erasable PROM) : 전기적으로내용을지우고다시기록이가능 기억방식에의한분류 v 정적 RAM(Static RAM : SRAM) : SRAM 은주로 2 진정보를저장하는내부 Flip-flop 으로구성되며, 저장된정보는전원이공급되는동안에보존. SRAM 은사용하기가쉽고일기와쓰기 Cycle 이더짧은특징이있다. v 동적 RAM(Dynamic RAM : DRAM) : 2 진정보를충전기에공급되는전하의형태로보관. DRAM 은전력소비가적고단일메모리칩내에더많은정보를저장할수있으며, refresh 회로가필요하다. 휘발성 / 비휘발성메모리 v 휘발성 (volatile) 메모리 : 일정한시간이지나거나전원이꺼지면기록된내용이지워지는메모리형태. RAM 은모두외부에서공급되는전력에의해정보를저장하기때문에휘발성메모리에해당. v 비휘발성 (non-volatile) 메모리 : 전원이차단되어도기록된정보가계속유지. 자기코아나자기디스크메모리가해당. 디지털컴퓨터가동작하는데필요한프로그램을저장하는데사용 5

6 컴퓨터에서의메모리 v 주기억장치 ( main memory) : 중앙처리장치 (CPU: central processing unit) 에의해현재실행되고있는프로그램과데이터를저장 v 보조기억장치 (mass storage) : 이외에다른경우에사용을목적으로프로그램과데이터를저장하며, 대용량임. v 주소버스와제어버스는단방향이지만데이터버스는양방향이다. RAM ROM 입력장치출력장치 데이터버스 CPU 주소버스 제어버스 컴퓨터시스템블록도 ROM 의구성 v ROM 은 AND 게이트와 OR 게이트로구성된조합논리회로 v AND 게이트는디코더를구성한다. v OR 게이트는디코더의출력인최소항들을합하는데사용되며, OR 게이트의수는 ROM 의출력선의수와같다. ROM n 개의입력선 디코더 메모리배열 2 n 워드 m비트 m 개의출력선 6

7 v 번지입력은 5 비트이며디코더로부터선택되는최소항은입력의 5 비트와등가인 진수로표시되는최소항이다. v 디코더의 32 개출력은각각의 OR 게이트의퓨즈를통해연결된다. v 그림에는 OR 게이트의입력에는실제로 32 4=28 개의내부퓨즈가있다. 최소항 주소입력 A A 2 A 디코더 2 3 A A 2 A 디코더 퓨즈링크 F F 2 F 3 F 4 F 3 F 2 F F 32 4 ROM 의내부논리구조 v ROM 은 2 진데이터를표시하기위해각주소에해당하는워드의내용을나타내는진리표를사용한다. v 진리표에서입력은주소에해당하고, 출력은주소에대한워드의내용이다. [Example] 입력 출력 A 4 A 2 A F 3 F 2 F F A A 2 A 디코더 F 3 F 2 F F 7

8 2. ROM 의종류 마스크 ROM v 제조과정에서제작자에의해마지막조립과정에서프로그래밍되며, ROM 에프로그램된것은절대변경할수없다. PROM v 사용자가특별한프로그램장치를이용하여프로그램을할수있으며, 일단프로그램을하면퓨즈의연결형태가그대로유지되며, 변경할수없다. EPROM v 퓨즈가절단되어도모든퓨즈들이절단되지않은초기상태로복원할수있는 ROM 이다. 복원하는과정은일정시간자외선을쪼이면된다. EEPROM v EPROM과같으나, 지우는 PROM이다. 복원과정에서자외선대신에전기신호를사용하여 ROM 을사용한조합논리회로의구현 구현예 F ( A, B) = F ( A, B) = 2 å å m (, 2, 3) m(, 2) A B 2 4 디코더 A B 2 4 디코더 F 2 F F 2 F AND-OR 게이트의 ROM AND-OR-NOT 게이트의 ROM 8

9 RAM SRAM의메모리셀구조와동작 S=일때 = 이면래치에저장된데이터비트가데이터출력단자를 통하여출력 S=일때 = 이면데이터입력단자에있던데이터비트가래치로 전송되어저장. 선택입력 (S) 선택입력 (S) 데이터입력 R Q 데이터출력 데이터입력 Binary Cell 데이터출력 S SRAM 의메모리셀구조 SRAM 의기본구조 v (binary cell) : 개의메모리셀을표시 v 인에이블입력이논리 이면 2 개의번지입력값에따라 4 개의워드중하나가선택된다. 데이터입력 D A 주 소입력 A D 2 4 디코더 D 2 D 3 E 4 3 정적 RAM 의기본구조 데이터출력 9

10 주소입력 데이터입력 A A 2 A 4 A 5 A 6 A 7 D D D 2 D 3 SRAM WRITE READ EN O O O 2 O 3 데이터출력 SRAM 의외부구조 D 3 D 2 D D SRAM 의기본구조 입력버퍼 A 행디코더 입력데이터선택 A 2 A 4 A Memory Cell Array A 6 A 출력열디코더 출력버퍼 O 3 O 2 O O

11 동적 RAM(DRAM) DRAM 의메모리셀구조와동작 () Write v = : 입력버퍼는 Enable, 출력버퍼는 Disable. v 메모리셀에논리 을저장하기위해서는 D in =로하고, 행 (row) 입력이논리 이면트랜지스터는 ON상태가되며, 콘덴서에는양 (+) 의전압이충전. v 논리 을저장하기위해서는 D in =으로하면축전기는충전되지않는다. v 축전기에논리 이저장되어있는경우는축전기는방전. 열입력재충전버퍼 재충전입력행입력 D out D in 출력버퍼 입력버퍼 콘덴서 DRAM 의메모리셀구조 (2) Read v : = 출력버퍼는 Enable, 입력버퍼는 Disable. v 행 (row) 입력이논리 이면트랜지스터는 ON상태가되며, 축전기는비트선 (bit line) 을통하여출력버퍼에연결 v 저장된데이터는출력 (D out ) 을통하여외부로출력 (3) 재충전 (Refresh) v, = 행 (row) 입력 =, 재충전 (refresh) 입력 =로하면트랜지스터가 ON이되어축전기는비트선에연결 v 출력버퍼는 Enable되고, 저장된데이터비트는재충전입력이논리 이되어 Enable되므로재충전버퍼에다시입력

12 DRAM의기본구조 () 주소입력의멀티플렉싱 4비트의주소입력은 7비트의열 (column) 과 7비트의행 (row) 으로나누어진다. 먼저 RAS 신호입력에의해 7비트의행주소가입력되어행주소래치에저장되고, 그다음에 CAS 신호입력에의해 7비트의열주소가입력되어열주소래치에저장된다. RAS /A 7 D in 주소 ~A 6 A 7 ~ RAS A /A 8 A 2 /A 9 / A 4 /A A 5 /A 2 A 6 / 행주소래치 행주소디코더 Memory Cell Array D out CAS 열주소래치 행주소디코더 28 CAS (2) 메모리재충전회로 v 메모리의재충전동작은모든메모리셀이행입력을통하여재충전이될때까지순차적으로각각의메모리셀들을재충전한다. 이것을버스트 (burst) 재충전이라고하며, 2~4 ms마다반복한다. 재충전이되는동안에는데이터를메모리로부터읽기 (read) 와쓰기 (write) 를할수없다. v 모든재충전을한번에하지않고읽기와쓰기동작사이에행의재충전동작을분배해서수행할수있으며, 이경우에도재충전은 2~4 ms마다반복해야한다. 2

13 메모리확장 워드길이확장 v (chip select) 는 RAM을선택하는입력 v : 선택된 RAM 칩의읽기 (read) 와쓰기 (write) 동작을제어 v 출력의 표시는 3 상태 (tri-state) 출력을표시 v =이면 RAM 칩은선택되지않고출력은 Hi-Z 상태가된다. v =이고 = 이면주소에의해선택된 8비트의데이터가출력선을통하여출력 ~ 두개의 6 4 RAM 을 6 8 RAM 으로확장 D A A 2 A A RAM 6 4 RAM O ~ ~ D 7 O 7 워드용량확장 v 6 4 RAM 2개를사용하여 32 4 RAM을구성하는경우 v 32개의서로다른주소가존재하므로주소버스의길이는 5. v A 4 =이면, A 4 A 2 A =~ v A 4 =이면, A 4 A 2 A =~ ~ A 4 A A 2 A A RAM 6 4 RAM O O O 2 O 3 D ~ D 3 2 개의 6 4 RAM 을이용하여 32 4 RAM 으로확장 3

14 K 8 RAM 4 개를사용하여 4K 8 RAM 을구성하여라. EN A ~A 9 D ~D 디코더 3 2 RAM K 8 data addr RW RAM K 8 data addr 24~247 RW RAM K 8 data addr RW ~23 248~37 RAM K 8 data addr 372~495 RW 8 D ~D 7 프로그램가능논리장치 (PLD) v PLD(Programmable Logic Device) 는주로 AND 게이트와 OR 게이트의배열 (array) 구조를갖는 IC 이며각게이트입력에퓨즈링크 (fuse-link) 가연결되어있다. 사용자가적당한곳의퓨즈링크를전자적으로끊음으로써 AND-OR 즉적의합 (sum of product) 의형식으로된조합논리함수를실현할수있다. A B C A B C AND Gate Array 퓨즈링크 OR Gate Array Y Y 2 Y 3 Y Y 2 Y 3 PLD 의퓨즈링크 PLD 의개략도 4

15 PLD 종류 v PROM(Programmable ROM) PROM 은디코더의역할을하는고정 AND 배열과프로그램이가능한 OR 배열로구성되어있다. PROM 은주로주소지정메모리로사용되며고정된 AND 게이트의제약때문에논리소자로는사용하지않는다. v PLA(Programmable Logic Array) AND 입력과 OR 입력양쪽을다프로그램할수있어서가장융통성있게프로그램할수있다. 그러나동작속도와집적도가좀저하된다. v PLE(Programmable Logic Element) AND 입력은고정되고 OR 입력만을프로그램할수있는 PLD. v PAL(Programmable Array Logic) AND 입력만을프로그램할수있고 OR 입력은고정되어있으며, 현재가장널리쓰이고있다. v GAL(Generic Array Logic) GAL 은여러가지 PLD 중가장최근에개발된소자다. PAL 과마찬가지로프로그램이가능한 AND 배열과고정 OR 배열및출력논리로구성되어있으나 GAL 은다시프로그램할수있고또한출력논리도프로그램이가능하다는두가지점에서 PAL 과차이가있다.. PLA I 2 I I OR Array AND Array 3 입력 -3 출력의 PLA 의구조 O 2 O O 5

16 2. PLE v AND 게이트입력은고정되고 OR 게이트입력만프로그램 v PLA에비해서프로그래밍상에제한이있게된다. PLE는 PROM과유사. 2비트 2진수가산기의진리표 최소항 m m m 2 m 3 m 4 m 5 m 6 m 7 m 8 m 9 m m m 2 m 3 m 4 m 5 입력출력 B A B S S C B A B S S = C = å å å = m m (3, 4, 5, 6, 8, 9,,5) (, 2, 5, 6, 9,,3,4) m(7,,2,3,4,5) X m m m 2 m 3 m 4 m 5 m 6 m 7 m 8 m 9 m m m 2 m 3 m 4 m 5 S S C 6

17 3. PAL v OR 게이트입력은고정되고 AND 게이트입력만프로그램 v PLA 에비해서프로그래밍상에제한이있지만현재가장많이쓰이는 PLD 이다. Example Y = AD Y = AD + A + D Y = AD + + BD + CD 2 Y = AD Y = AD + A + D Y = AD + + BD + CD A B C D AND Array (Programmable) x x x x x x x x OR Array( 고정 ) Y Y X Y 2 7

18 4. GAL v GAL(Generic Array Logic) 은반복적으로프로그램이가능한 AND 배열이고정 OR 배열에연결된구조를갖고있기때문에 PAL 과마찬가지로어떠한 SOP 형태의논리식도구현할수있다. v 반복적으로프로그램이가능한배열은행과열로된도체의격자로서각교차점은 PAL 의퓨즈와는달리 셀로구성되어있다. A A B B F GAL 의기본적인 배열구조 Example : 아래논리함수를 GAL로구현 F = AB + AB + AB A A B B on off off off off off on off off on off off off off on off F off on off off off off off on 8

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 -

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Chapter 2 메모리와프로그램논리장치 반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Ø 접근방법에의한분류 v RAM(Random Access Memory) : 접근시간이어느위치나동일하게걸리는메모리형태

More information

Microsoft PowerPoint - 제12장.ppt [호환 모드]

Microsoft PowerPoint - 제12장.ppt [호환 모드] Chapter 2 메모리와프로그램논리장치 . 메모리의구조. 메모리개요 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되는데이터의통로. MAR 입력주소 (n 비트 ) 메모리 2

More information

. 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되

. 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되 Chapter 2 메모리와프로그램논리장치 . 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되는데이터의통로. 메모리 MAR 입력주소 (n 비트

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

<C1A4BAB8C3B3B8AE5FBBEABEF7B1E2BBE75FC7CAB1E25F E687770>

<C1A4BAB8C3B3B8AE5FBBEABEF7B1E2BBE75FC7CAB1E25F E687770> 5 기억장치 5.1 기억장치의개요 (1) 기억장치의분류 0403 기억장치의분류오답 자기잉크문자읽어내기장치 (2) 기억장치계층구조 (3) 기억장치의특성을결정하는요소 1) 접근시간 (Access time) 9906 0103 1 정보를기억장치에기억시키거나읽어내는명령을한후부터실제로정보를기억또는읽기시작할때까지소요되는시간 2 접근시간공식 0409 접근시간 = 탐색시간

More information

PowerPoint Presentation

PowerPoint Presentation Computer Science Suan Lee - Computer Science - 03 컴퓨터구조 1 03 컴퓨터구조 - Computer Science - 03 컴퓨터구조 2 목차 1. 컴퓨터시스템의구성 2. 중앙처리장치 3. 기억장치 4. 입출력장치 - Computer Science - 03 컴퓨터구조 3 컴퓨터시스템의구성 하드웨어 : 컴퓨터를구성하는기계적장치

More information

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는 (19)대한민국특허청(KR) (12) 공개특허공보(A) (51) Int. Cl. H04N 5/44 (2006.01) H04N 7/08 (2006.01) (11) 공개번호 (43) 공개일자 10-2007-0071942 2007년07월04일 (21) 출원번호 10-2005-0135804 (22) 출원일자 2005년12월30일 심사청구일자 없음 (71) 출원인 주식회사

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

2. 기억장치의구성 ROM Mask ROM PROM EPROM EEPROM RAM SRAM DRAM 보조기억장치 자기 Disk 자기 Tape 자기 Drum 광디스크 3. (Main Memory) 컴퓨터내부에존재하는내부기억장치 ROM과 RAM으로구성 CPU에의해참조되는장

2. 기억장치의구성 ROM Mask ROM PROM EPROM EEPROM RAM SRAM DRAM 보조기억장치 자기 Disk 자기 Tape 자기 Drum 광디스크 3. (Main Memory) 컴퓨터내부에존재하는내부기억장치 ROM과 RAM으로구성 CPU에의해참조되는장 1. 기억장치의분류 접근방법에따른분류순차접근기억장치 (SASD : Sequential Access Storage Device) 기억된데이터에접근할때순차적검색을하는기억장치자기테이프가대표적인 SASD 장치임. 직접접근기억장치 (DASD : Direct Access Storage Device) 기억된데이터에접근할때순서에관계없이즉시접근이가능한기억장치자기디스크, 반도체기억장치가이에속함.

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 마이크로컨트롟러기초 (#514112 ) #3. 컴퓨터구조기초 핚림대학교젂자공학과이선우 주요학습내용 컴퓨터시스템구조기초 디지털논리회로관련용어, 장치기초 컴퓨터시스템구조관련기초내용 참고문헌 논리회로이롞, 실습, 시뮬레이션, 임석구, 홍경호, 핚빛미디어, 2007 알기쉽게해설핚컴퓨터구조완성, 조경산저, 이핚출판사 2 Typical digital signal TTL

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조 - Part2- 제 2 장다차원배열이란무엇인가 학습목차 2.1 다차원배열이란 2. 2 2 차원배열의주소와값의참조 2.1 다차원배열이란 2.1 다차원배열이란 (1/14) 다차원배열 : 2 차원이상의배열을의미 1 차원배열과다차원배열의비교 1 차원배열 int array [12] 행 2 차원배열 int array [4][3] 행 열 3 차원배열 int array [2][2][3]

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt 고속 Row Cycle 동작이가능한 VPM (Virtual Pipelined Memory) 구조에 대한연구 1998. 12. 28. 윤치원 1 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제

More information

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6397E3131B0AD20B9AEC1A62BC1A4B4E42E687770>

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6397E3131B0AD20B9AEC1A62BC1A4B4E42E687770> P.146 기 출 문 제 0109 0209 0503 1. 마이크로동작 (Micro - operation) 에대한정의로서옳은것은? 가. 컴퓨터의빠른계산동작나. 2진수계산에쓰이는동작다. 플립플롭내에서기억되는동작라. 레지스터에저장된데이터에의해서이루어지는동작 9906 0010 0403 0409 0603 2. 중앙처리장치에서마이크로동작의실행이순서적으로발생할수있도록역할을담당하는것은?

More information

마이크로프로세서 개요

마이크로프로세서 개요 1 주 개요 메카트로닉스공학부 조철우 8051 Pin Layout 8051 3 Atmel 89C2051 4 강의의개요 컴퓨터및의기원과동작원리를학습 마이크로컨트롤러를배우기위한기초원리 마이크로컨트롤러를활용하기위한도구사용법 마이크로컨트롤러를활용하기위한기초시스템설계및프로그래밍 History of Computer 컴퓨터의역사. 최초의컴퓨터 - 1946년 ENIAC,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및 (19) 대한민국특허청(KR) (12) 공개특허공보(A) (11) 공개번호 10-2014-0033653 (43) 공개일자 2014년03월19일 (51) 국제특허분류(Int. Cl.) G06F 9/44 (2006.01) G06F 15/16 (2006.01) (21) 출원번호 10-2012-0099738 (22) 출원일자 2012년09월10일 심사청구일자 없음

More information

메모리, 케이스, 파워서플라이 메모리의역할 Why Random Access Memory? 휘발성 vs. 비휘발성메모리 RAM의종류와규격 RAM 의규격보기와선택 케이스의종류 케이스의선택 파워서플라이의종류 파워서플라이의커넥터와메인보드연결부 파워서플라이의선택

메모리, 케이스, 파워서플라이 메모리의역할 Why Random Access Memory? 휘발성 vs. 비휘발성메모리 RAM의종류와규격 RAM 의규격보기와선택 케이스의종류 케이스의선택 파워서플라이의종류 파워서플라이의커넥터와메인보드연결부 파워서플라이의선택 PC 실습 @ IT 학부 -세번째강의 : 메모리, 케이스, 파워서플라이 - 메모리, 케이스, 파워서플라이 메모리의역할 Why Random Access Memory? 휘발성 vs. 비휘발성메모리 RAM의종류와규격 RAM 의규격보기와선택 케이스의종류 케이스의선택 파워서플라이의종류 파워서플라이의커넥터와메인보드연결부 파워서플라이의선택 메모리의역할 CPU가가까운미래에

More information

설계란 무엇인가?

설계란 무엇인가? 금오공과대학교 C++ 프로그래밍 jhhwang@kumoh.ac.kr 컴퓨터공학과 황준하 5 강. 배열, 포인터, 참조목차 배열 포인터 C++ 메모리구조 주소연산자 포인터 포인터연산 배열과포인터 메모리동적할당 문자열 참조 1 /20 5 강. 배열, 포인터, 참조배열 배열 같은타입의변수여러개를하나의변수명으로처리 int Ary[10]; 총 10 개의변수 : Ary[0]~Ary[9]

More information

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Microprocessor) 1. 마이크로프로세서란? 1 작은실리콘칩위에트랜지스터를수천만개집적한소자 2 마이크로 [μ] 는매우작은크기, 프로세서는처리기혹은 CPU를뜻하므로

More information

기억장치의종류와특징 - 주기억장치와보조기억장치, 캐쉬기억장치 - 기억장치는주로 RAM이사용 - 보조기억장치는하드디스크, CD-ROM, 테이프, 플로피디스크, DVD,USB, SSD - 주기억장치는보조기억장치와달리접근속도가매우빠름 - 보조기억장치는주기억장치보다용량이크고비

기억장치의종류와특징 - 주기억장치와보조기억장치, 캐쉬기억장치 - 기억장치는주로 RAM이사용 - 보조기억장치는하드디스크, CD-ROM, 테이프, 플로피디스크, DVD,USB, SSD - 주기억장치는보조기억장치와달리접근속도가매우빠름 - 보조기억장치는주기억장치보다용량이크고비 3 주차 3 차시기억장치및속도와성능 학습목표 1. 기억장치의종류를구분할수있다. 2. 속도와성능에대해설명할수있다. 학습내용 1 : 기억장치 1. 기억장치의개념 기억장치의기능 - 프로그램, 처리할데이터, 처리된결과등을저장하는장치임 * 기능 : -컴퓨터에서사용하는모든프로그램이나데이터를기억시켜두고필요할때에이용함 * 분류 : -기억장치는처리속도와사용속도, 용도, 용량에따라주기억장치,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

3차시.ppt

3차시.ppt [ 정보처리기능사필기] 1 과목 - 전자계산기일반 1 / 10 정보처리기능사 ( 필기 ) 1 과목. 전자계산기일반컴퓨터시스템의구성 2 1. 컴퓨터의기본구성 컴퓨터의기본구성 컴퓨터 = + (Hardware) 컴퓨터기계 (Software 를움직여주는프로그램 [ 정보처리기능사필기] 1 과목 - 전자계산기일반 2 / 10 2. (Hardware) -Hardware

More information

슬라이드 1

슬라이드 1 -Part3- 제 4 장동적메모리할당과가변인 자 학습목차 4.1 동적메모리할당 4.1 동적메모리할당 4.1 동적메모리할당 배울내용 1 프로세스의메모리공간 2 동적메모리할당의필요성 4.1 동적메모리할당 (1/6) 프로세스의메모리구조 코드영역 : 프로그램실행코드, 함수들이저장되는영역 스택영역 : 매개변수, 지역변수, 중괄호 ( 블록 ) 내부에정의된변수들이저장되는영역

More information

⑵ 2 중앙처리장치의 이름에 따라 286, 386, 486, 586(펜티엄) 등으로 개인용 컴퓨터가 분류 된다. 중앙처리장치의 구성 www.ebsi.co.kr 레지스터 연산장치 내부 데이터 버스 기억장치에서 읽어 들인 값이나 사용할 값, 계산된 결과를 임시로 저장하는

⑵ 2 중앙처리장치의 이름에 따라 286, 386, 486, 586(펜티엄) 등으로 개인용 컴퓨터가 분류 된다. 중앙처리장치의 구성 www.ebsi.co.kr 레지스터 연산장치 내부 데이터 버스 기억장치에서 읽어 들인 값이나 사용할 값, 계산된 결과를 임시로 저장하는 05 중앙처리장치와 기억장치 컴퓨터의 5대기능 입력 기능 출력 기능 제어 기능 연산 기능 기억 기능 중앙처리장치(CPU) (Central Processing Unit) 인터페이스(Ìnterface) 접속기, 컴퓨터가 입출력 장치 와 접속하여 동작하기 위한 물 리적인 연결과 소프트웨어적인 연결을 말한다. 1. 하드웨어의 개요 ⑴ 하드웨어 ⑵ 하드웨어(`Hardware)는

More information

PC 실습

PC 실습 PC 실습 @ IT 학부 메모리, 케이스, 파워서플라이 1 차례 메모리의역할 Why Random Access Memory? 휘발성 vs. 비휘발성메모리 RAM의종류와규격 RAM의규격보기와선택 케이스의종류 케이스의선택 파워서플라이의종류 파워서플라이의커넥터와연결 파워서플라이의선택 2 메모리의역할 CPU가가까운미래에 (= 곧 ) 사용할데이터 ( 프로그램포함 ) 를저장해두는곳

More information

<C1A4BAB8C3B3B8AE5FBBEABEF7B1E2BBE75FC7CAB1E25F E687770>

<C1A4BAB8C3B3B8AE5FBBEABEF7B1E2BBE75FC7CAB1E25F E687770> 9 마이크로컴퓨터내에는동작에항상필요한모니터프로그램이있으며, 이러한모니터프로그램이기억되기에적당한장소임 www.gisa79.com 10 ROM 칩에필요한신호 0603 주소 읽기신호 칩선택신호 2) RAM 1 Random Access Memory 2 자유롭게읽고쓸수있는기억장치 3 RAM의종류 0405 0703 0109 0205 0505 구분 DRAM 0705 SRAM

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

03차시학습내용(하드웨어1)

03차시학습내용(하드웨어1) 컴퓨터활용능력 1 급 ( 필기 ) 1 과목. 컴퓨터일반하드웨어 1 중앙처리장치 1. 중앙처리장치의정의와구성 중앙처리장치 (CPU : Central Processing Unit) - 중앙처리장치는사람의뇌와같이컴퓨터에부착된모든장치의동작을제어하고, 명령을실행하는장치입니다. - 중앙처리장치는제어장치, 연산장치, 주로구성된다. - 레지스터는 CPU 내부에서처리할명령어나연산의중간값을일시적으로기억하는임시기억장소입니다.(

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

untitled

untitled 1 PLC 1.1 PLC 1.1.1 PLC PLC(Programmable Logic Controller),,, LSI,,. (NEMA: National Electrical Manufactrurers Association),,,,. 1.1.2 PLC PLC. FMS(Flexible Manufacturing System) PLC,. 1-1 PLC. - 5 - 1.2

More information

Introduction to Computer Science

Introduction to Computer Science 컴퓨터공학개론 3 장컴퓨터구조 학습목표 컴퓨터의동작을이해하는것이왜필요한지배운다. CPU 는무엇이고, 어떻게동작하는지배운다. 디지털논리회로가어떻게동작하는지배운다. 기본적인부울 (Boolean) 연산에대해배운다. 기본적인논리게이트가어떻게동작하는지, 또이것이복잡한컴퓨터회로를구축하는데어떻게사용되는지이해한다. 2 학습목표 ( 계속 ) 폰노이만 (Von Neumann)

More information

슬라이드 1

슬라이드 1 프로그램내장방식 저장프로그램 (Stored Program) 방식 폰노이만이고안 메모리에자료와프로그램이함께저장 중앙처리장치 (CPU) 메모리에서필요한자료를이용 저장된명령어를순차적 (Sequential) 으로실행 4 명령어형식 명령어 (instruction) 는연산부분 (operation part) 과피연산부분 (operand part) 으로구성 연산부분은명령어가수행해야할기능을의미하는코드

More information

PC 실습

PC 실습 PC 실습 @ IT 학부 메모리, 케이스, 파워서플라이 1 차례 메모리의역할 Why Random Access Memory? 휘발성 vs. 비휘발성메모리 RAM의종류와규격 RAM의규격보기와선택 케이스의종류 케이스의선택 파워서플라이의종류 파워서플라이의커넥터와연결 파워서플라이의선택 2 메모리의역할 CPU가가까운미래에 (= 곧 ) 사용할데이터 ( 프로그램포함 ) 를저장해두는곳

More information

Microsoft Word - Experiment 5.docx

Microsoft Word - Experiment 5.docx Experiment 5. Use of Generic Array Logic Abstract 본실험에서는임의의복잡한회로를구현하기위한방법으로수업시간에배운 Programmable Logic Device(PLD) 를직접프로그램하여사용해보도록한다. 첫째로, 본실험에서는한번프로그램되면퓨즈를끊는방향으로만수정할수있는 Programmable Array Logic을대신하여, 재생가능한

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

Microsoft PowerPoint - DSD04_fpga1.pptx

Microsoft PowerPoint - DSD04_fpga1.pptx 한국기술교육대학교 장영조 본슬라이드의내용은 http://www.altera.com 을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. FPGA 개념 2. FPGA 구조 3. FPGA 컨피겨레이션 4. FPGA 메모리설계 한국기술교육대학교전기전자통신공학부 3 FPGA (Field Programmable Gate Array)? 사용자가현장에서직접프로그램가능한소자

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

Microsoft PowerPoint - o8.pptx

Microsoft PowerPoint - o8.pptx 메모리보호 (Memory Protection) 메모리보호를위해 page table entry에 protection bit와 valid bit 추가 Protection bits read-write / read-only / executable-only 정의 page 단위의 memory protection 제공 Valid bit (or valid-invalid bit)

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

<C1A4BAB8C3B3B8AE5FB1E2BBE75FC7CAB1E25F E687770>

<C1A4BAB8C3B3B8AE5FB1E2BBE75FC7CAB1E25F E687770> 9 마이크로컴퓨터내에는동작에항상필요한모니터프로그램이있으며, 이러한모니터프로그램이기억되기에적당한장소임 10 ROM 칩에필요한신호 0603 주소 읽기신호 칩선택신호 2) RAM 1 Random Access Memory 2 자유롭게읽고쓸수있는기억장치 3 RAM의종류 0405 0703 0109 0205 0505 구분 DRAM 0705 SRAM (Dynamic RAM,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

ARM01

ARM01 0 1 Chapter 1.1 1.2 1.3 1.4 1.5 ARM System Developer s guide 32, ARM., ARM,,,. ARM 1985, ARM1, 2001 20 ARM. ARM,., ARM,., ARM ARM7TDMI, 120 Dhrystone MIPS 1),. ARM7TDMI. ARM, RISC(Reduced Instruction Set

More information

학습목차 r 컴퓨터본체에서 CPU 의위치살펴보기 r CPU 의성능 r CPU 의기능 r CPU 의조직 r 레지스터의조직 r 명령어사이클 r 명령어파이프라이닝 컴퓨터구조 2 9. CPU 조직과기능

학습목차 r 컴퓨터본체에서 CPU 의위치살펴보기 r CPU 의성능 r CPU 의기능 r CPU 의조직 r 레지스터의조직 r 명령어사이클 r 명령어파이프라이닝 컴퓨터구조 2 9. CPU 조직과기능 컴퓨터구조 제 9 강 중앙처리장치의조직과기능 학습목차 r 컴퓨터본체에서 CPU 의위치살펴보기 r CPU 의성능 r CPU 의기능 r CPU 의조직 r 레지스터의조직 r 명령어사이클 r 명령어파이프라이닝 컴퓨터구조 2 9. CPU 조직과기능 학습목표 rcpu 의성능을향상시키는요인들을알아본다. rcpu 의기본적인기능을이해한다. rcpu 는 ALU, 제어장치, 레지스터집합,

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

Sample File

Sample File 워드프로세서필기 1 과목. 워드프로세싱용어및기능워드프로세서의구성 -2 5. 주기억장치 (1) ROM(Read Only Memory) 1) 기억된내용을읽을수만있는기억장치로일반적으로쓰기는불가능하다. 2) 전원이꺼져도기억된내용이지워지지않는비휘발성메모리이다. 3) 주로입 / 출력시스템 (BIOS), 글자폰트, 자가진단프로그램 (POST : Power On Self

More information

6장.indd

6장.indd Computer Architecture C H A P TE R 06 CO NT EN TS 보조저장장치 6.1 자기 디스크 6.2 RAID 6.3 플래시 메모리와 SSD 6.4 광 저장장치 Computer Architecture CHAPTER 06 보조저장장치 이 장에서는 외부 기억장치에 해당하는 보조저장장치들에 대하여 설명하고 자 한다. 그들 중에서 시스템의

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

삼성955_965_09

삼성955_965_09 판매원-삼성전자주식회사 본 사 : 경기도 수원시 영통구 매탄 3동 416번지 제조원 : (주)아이젠 삼성 디지털 비데 순간온수 세정기 사용설명서 본 제품은 국내(대한민국)용 입니다. 전원, 전압이 다른 해외에서는 품질을 보증하지 않습니다. (FOR KOREA UNIT STANDARD ONLY) 이 사용설명서에는 제품보증서가 포함되어 있습니다. 분실되지 않도록

More information

정보보안 개론과 실습:네트워크

정보보안 개론과 실습:네트워크 ` 마이크로프로세서설계및실습 2 주차강의자료 2/31 Contents 학습목표 다양한 AVR 패밀리와소자특징을알아보고, 제어환경에따라 AVR 소자를선택하여개발할수있는융통성을이해할수있다. ATmega128 내부구조에서특수레지스터, 범용레지스터의역할을이해할수있다. ATmega128 내부에포함된명령어인출과실행절차를알아보고, 명령어유형을통해동작을이해할수있다. 내용

More information

Monitoring Report 2008-14_SSD 시장동향.hwp

Monitoring Report 2008-14_SSD 시장동향.hwp IT 부품 Monitoring Report 08-14 SSD(Solid State Drive)시장의 개화 SSD(Solid State Drive)시장의 개화 1. SSD(Solid State Drive)의 개념 SSD(Solid State Drive)는 비휘발성인 NAND Flash Memory와 제어 역할을 하는 Controller가 결합해 만들어지는 차세대

More information

리뉴얼 xtremI 최종 softcopy

리뉴얼 xtremI 최종 softcopy SSD를 100% 이해한 CONTENTS SSD? 03 04 05 06 07 08 09 10 11 12 13 15 14 17 18 18 19 03 SSD SSD? Solid State Drive(SSD) NAND NAND DRAM SSD [ 1. SSD ] CPU( )RAM Cache Memory Firmware GB RAM Cache Memory Memory

More information

프로그램카운터 (Program Counter) 명령레지스터 (Instruction Register) 누산기 (AC: Accumulator) 상태레지스터 (Status Register) PSWR(Program Status Word Register) 메모리주소레지스터 (M

프로그램카운터 (Program Counter) 명령레지스터 (Instruction Register) 누산기 (AC: Accumulator) 상태레지스터 (Status Register) PSWR(Program Status Word Register) 메모리주소레지스터 (M 중앙처리장치 (CPU: Central Process Unit) 1) 제어장치 (Control Unit) 컴퓨터시스템의모든장치들에게동작을지시하고제어하는장치로주기억장치에서읽어온명령어를해독하고해당장치에게제어신호를보낸다. 구성회로 : 부호기, 명령해독기, 번지해독기 구성레지스터 : PC( 프로그램카운터 ), IR( 명령어레지스터 ) 부호기 ( 제어신호발생기 ) 해독한명령어에따라서해당장치로보낼제어신호를생성하는회로

More information

7장 조합 논리 회로

7장 조합 논리 회로 7 장조합논리회로 7. 조합논리회로해석 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 2 n 개의입력 2 진조합이가능 입력 조합논리회로 출력 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후, 논리회로의동작을해석. 조합회로해석과정 입 / 출력에대한변수의수와변수명을결정한다. n 개의입력변수에대해 2 n 개의 2 진조합에대한각게이트의출력부울함수를표시한다.

More information

제5장 PLD의 이해와 실습

제5장 PLD의 이해와 실습 제 5 장 PLD 의이해와실습 실험의목표 - 프로그래머블논리소자인 PAL 과 PLA, EPROM, CPLD 등에대하여이해한다. - MAX PLUS II를이용하여 CPLD 프로그램하는방법을배운다. - CPLD 굽는법에대하여익힌다. - VHDL 간단한표현과문법에대하여소개를한다. 실험도움자료 1. PLD(Programmable Logic Device) PLD는사용자가필요로하는논리기능을직접

More information

학습목차 r 개념으로살펴보는 CPU 속의제어장치 r 마이크로연산 r 제어장치의동작 r 마이크로프로그램을이용한제어 컴퓨터구조 제어장치

학습목차 r 개념으로살펴보는 CPU 속의제어장치 r 마이크로연산 r 제어장치의동작 r 마이크로프로그램을이용한제어 컴퓨터구조 제어장치 컴퓨터구조 제 12 강제어장치 학습목차 r 개념으로살펴보는 CPU 속의제어장치 r 마이크로연산 r 제어장치의동작 r 마이크로프로그램을이용한제어 컴퓨터구조 2 12. 제어장치 학습목표 r 프로세스내의제어장치의기능과역할을공부한다. r 마이크로연산의표현을공부하다. r제어장치의구성과마이크로연산을공부한다. r제어장치의구현방법을알아본다. r 마이크로프로그램을이용한제어장치의구조와동작을공부한다.

More information

한국기술교육대학교장영조

한국기술교육대학교장영조 한국기술교육대학교장영조 본슬라이드의내용은 http://www.altera.com 을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. FPGA 개념 2. FPGA 구조 3. FPGA 컨피겨레이션 4. FPGA 메모리설계 한국기술교육대학교전기전자통신공학부 3 } FPGA (Field Programmable Gate Array)? 사용자가현장에서직접프로그램가능한소자

More information

Microsoft PowerPoint - 02.Architecture.ppt [호환 모드]

Microsoft PowerPoint - 02.Architecture.ppt [호환 모드] 컴퓨터의구조 Company Logo @ 2010 년 2 학기컴퓨터의개념및실습서울대학교통계학과 (http://dcom10.ez.ro) 컴퓨터 = 하드웨어 + 소프트웨어 하드웨어 전자회로및기계장치 입출력장치, 중앙처리장치, 기억장치 버스 (Bus): 각구성요소들을연결하는데이터의통로 소프트웨어 하드웨어를제어하여작업을수행하는프로그램 명령문과데이터로구성 사람이이해하기쉬운고급언어로작성

More information

3장 컴퓨터의 논리회로

3장 컴퓨터의 논리회로 3 장컴퓨터의기억장치 목차 3.1 기억장치의개념 3.2 주기억장치 3.3 보조기억장치 3.4 가상기억장치 3.5 고속기억장치 연습문제 3.1 기억장치의개념 3.1.1 기억장치의구분 처리속도, 사용용도, 기억용량의크기에따라주기억장치와보조기억장치, 레지스터, 캐쉬등의장치로나뉘어짐 각장치들은계층적인구조를가지고있음 일반적으로계층이높을수록기억장치의속도가증가하고, 비트당기억장치의비용이증가하며기억용량은감소함

More information

PowerPoint Presentation

PowerPoint Presentation Package Class 3 Heeseung Jo 목차 section 1 패키지개요와패키지의사용 section 2 java.lang 패키지의개요 section 3 Object 클래스 section 4 포장 (Wrapper) 클래스 section 5 문자열의개요 section 6 String 클래스 section 7 StringBuffer 클래스 section

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

Microsoft PowerPoint - 사본 - OAS04-사무자동화 기술(HW-CPU).ppt

Microsoft PowerPoint - 사본 - OAS04-사무자동화 기술(HW-CPU).ppt . 사무자동화 3 대주요기술 - 정보의획득, 처리, 전달 ( 통신 ), 보관 ( 축적, 검색 ) 에관련된기술 ) 하드웨어기술 : 신소재개발, 회로소자의고집적도 ( 컴퓨터 ) 2) 소프트웨어기술 : 음성인식기술, 인공지능기술, 자연언어처리기술 ( 운영체제 ) 3) 통신기술 : 교환기술, 단말기술 - 사무자동화기술의특성 : 지능화, 복합다기능화, 네트워크화, 소형화

More information

<32303132B3E2C1A632C8B8BFF6B5E531B1DE42C7FC2E687770>

<32303132B3E2C1A632C8B8BFF6B5E531B1DE42C7FC2E687770> 국 가 기 술 자 격 검 정 무 단 전 재 금 함 형별 제한 시간 수험번호 성 명 다음 문제를 읽고 가장 알맞은 것을 골라 답안카드의 답란 (1, 2, 3, 4)에 표기하시오 워드프로세싱 용어 및 기능 1. 다음 중 워드프로세서의 입력 기능에 대한 설명으로 옳지 1 행두 금칙 문자로는 (, [,,< 등이 있다. 2 KS X 1001 완성형 한글

More information

wp1_120616.hwp

wp1_120616.hwp 1과목 : 워드프로세싱 용어 및 기능 1. 다음 중 문서의 효력 발생에 대한 견해로 우리나라에서 채택하 고 있는 1 표백주의 2 발신주의 3 도달주의 4 요지주의 2. 다음 중 워드프로세서의 표시기능에 대한 설명으로 옳은 1 포인트는 화면을 구성하는 최소 단위로 1포인트는 보통 0.5mm이다. 2 자간이란 문자와 문자 사이의 간격을 의미하며 자간을 조절 하여

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

4. 다음주소지정방식중속도가가장빠른주소방식은? 가. immediate addressing mode 나. direct addressing mode 다. indirect addressing mode 라. index register. 5. 간접주소 (indirect addr

4. 다음주소지정방식중속도가가장빠른주소방식은? 가. immediate addressing mode 나. direct addressing mode 다. indirect addressing mode 라. index register. 5. 간접주소 (indirect addr 강의정보처리필기강사조대호 차시명 체크문제 [CA-07 강 ] 주소지정방식과연산, 명령실행과제어 차시 7 차시 학습내용 1. 주소지정방식과연산 2. 명령실행과제어 학습목표 1. 주소지정방식과연산에대해이해할수있다 2. 명령실행과제어를이해하고해결할수있다 학습내용 3.3 주소지정방식 1. 주소설계시고려해야할점이아닌것은? 가. 주소를효율적으로나타낼수있어야한다. 나.

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

[CA-09강] 특수기억장치와 입,출력장치.hwp

[CA-09강] 특수기억장치와 입,출력장치.hwp 강의 정보처리 필기 강사 조대호 차시 명 [CA-09강] 특수기억장치와 입 출력장치 차시 9차시 학습내용 특수기억장치, 입력 및 출력 장치 학습목표 특수기억장치의 종류와 개념을 이해 할 수 있다 입력 및 출력장치의 구성과 개념을 이해 할 수 있다 학습내용 1. 컴퓨터의 구성 - 1 - 2. 기억장치의 분류 3. 기억장치 계층 구조 4. 특수기억장치 연관기억장치

More information

학습목차 r컴퓨터분해를통한본체살펴보기 r컴퓨터구성요소 r컴퓨터의기능 r버스와상호연결 컴퓨터구조 2 4. 컴퓨터시스템의구성과기능

학습목차 r컴퓨터분해를통한본체살펴보기 r컴퓨터구성요소 r컴퓨터의기능 r버스와상호연결 컴퓨터구조 2 4. 컴퓨터시스템의구성과기능 컴퓨터구조 제 4 강 컴퓨터시스템의구성과기능 학습목차 r컴퓨터분해를통한본체살펴보기 r컴퓨터구성요소 r컴퓨터의기능 r버스와상호연결 컴퓨터구조 2 4. 컴퓨터시스템의구성과기능 학습목표 r컴퓨터를분해해서하드웨어적구성장치를파악한다. r컴퓨터의조직과기능을이해한다. r컴퓨터구성장치의기능을이해한다. r컴퓨터가수행하는기능을분류하고이해한다. r컴퓨터의구성장치들과연결을이해한다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 컴퓨터시스템의구성과기능 Prof. Jae Young Choi ( 최재영교수 ) (2015 Spring) Prof. Jae Young Choi Section 01 컴퓨터분해를통한본체살펴보기 [ 그림 4-1] 컴퓨터의분해와연결 2 주회로기판 (main board) 의구성 [ 그림 4-2] 메인보드의구성 3 구성요소 중앙처리장치 (CPU) 핵심기능인프로그램을실행하고데이터를처리한다제어장치,

More information

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 (   ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각 JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( http://java.sun.com/javase/6/docs/api ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각선의길이를계산하는메소드들을작성하라. 직사각형의가로와세로의길이는주어진다. 대각선의길이는 Math클래스의적절한메소드를이용하여구하라.

More information

슬라이드 1

슬라이드 1 컴퓨터의구성 내용 컴퓨터의세대와역사 컴퓨터의구성요소 : CPU, 주기억장치, I/O 장치, 상호연결망 컴퓨터의기능 컴퓨터세대의분류 세대 연도 기술 속도 ( 연산수 / 초 ) 1 1946-1957 진공관 40,000 2 1958-1964 트랜지스터 200,000 3 1965-1971 SSI(Small Scale Integration) 1,000,000 4 1972-1977

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

<C1A4BAB8C3B3B8AE5FB1E2BBE75FC7CAB1E25F FB1E2BBE7C6D0BDBABFEB2E687770>

<C1A4BAB8C3B3B8AE5FB1E2BBE75FC7CAB1E25F FB1E2BBE7C6D0BDBABFEB2E687770> 4 명령실행과제어 4.1 마이크로오퍼레이션(Micro Operation) (1) 마이크로오퍼레이션( 동작) 0609 0509 1) 명령을수행하기위해 CPU 내의레지스터와플래그의상태변환을일으키는 작업 0308 0405 2) 레지스터에저장된데이터에의해서이루어지는동작 0109 0209 0503 3) 마이크로오퍼레이션을순서적으로일어나게하는데필요한신호를제어신호 라함

More information

Microsoft PowerPoint - hy2-12.pptx

Microsoft PowerPoint - hy2-12.pptx CPU의구조와기능 CPU 의명령어수행과정 명령어인출 (Instruction Fetch) : 기억장치로부터명령어를읽어온다 명령어해독 (Instruction Decode) : 수행해야할동작을결정하기위하여명령어를해독한다 모든명령어들에대하여공통적으로수행 데이터인출 (Data Fetch) : 명령어실행을위하여데이터가필요한경우에는기억장치혹은 I/O 장치로부터그데이터를읽어온다

More information

11장 포인터

11장 포인터 Dynamic Memory and Linked List 1 동적할당메모리의개념 프로그램이메모리를할당받는방법 정적 (static) 동적 (dynamic) 정적메모리할당 프로그램이시작되기전에미리정해진크기의메모리를할당받는것 메모리의크기는프로그램이시작하기전에결정 int i, j; int buffer[80]; char name[] = data structure"; 처음에결정된크기보다더큰입력이들어온다면처리하지못함

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

슬라이드 제목 없음

슬라이드 제목 없음 제 2 부컴퓨터환경과 모바일비즈니스 제 4 장. 컴퓨터구성및원리 1 컴퓨터시스템이란 컴퓨터하드웨어 : 중앙처리장치 (CPU), 입력장치, 출력장치, 주기억장치, 보조기억장치와통신장치로구성 컴퓨터의종류구분 우선 CPU(Central Processing Unit) 의레지스터 (register) 크기에따라 16 비트, 32 비트, 64 비트, 128 비트, 256

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx Basic Idea of External Sorting run 1 run 2 run 3 run 4 run 5 run 6 750 records 750 records 750 records 750 records 750 records 750 records run 1 run 2 run 3 1500 records 1500 records 1500 records run 1

More information

슬라이드 1

슬라이드 1 명령어집합 주소지정모드 (addressing mode) 내용 명령어는크게연산자부분과이연산에필요한주소부분으로구성 이때주소부분은다양한형태를해석될수있으며, 해석하는방법을주소지정방식 ( 모드 )(addressing mode) 라한다. 즉피연산자정보를구하는방법을주소지정방식이라고함 명령어형식 주소지정 명령어형식에있는주소필드는상대적으로짧다. 따라서지정할수있는위치가제한된다.

More information

Microsoft PowerPoint - ch09 - 연결형리스트, Stack, Queue와 응용 pm0100

Microsoft PowerPoint - ch09 - 연결형리스트, Stack, Queue와 응용 pm0100 2015-1 프로그래밍언어 9. 연결형리스트, Stack, Queue 2015 년 5 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) 연결리스트 (Linked List) 연결리스트연산 Stack

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information