Product Manual

Size: px
Start display at page:

Download "Product Manual"

Transcription

1 Product Manual FANDA (Flexiable And Adaptive) - FA (Xilinx FPGA XCA00T - EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM0-, Digital Empire, #,Gasan-dong, 서울특별시금천구가산동 번지 Geumcheon-gu Seoul, Korea. (Zip: 0-0) 디지털엠파이어 0-호 ( 우 : -00) Phone: (0) - 전화 : (0) - Fax: (0)- 팩스 : (0)- naaman@paran.com naaman@paran.com elogics All rights reserved

2 FANDA-FA Manual Version Description Date Who.0 Initial Create Elogics

3 목차. 제품설명.... 제품사양.... 제품구성.... 보드사진및구성도.... 블록별부품특징.... FANDA-FA 회로설명.... 마이크로브레이즈와피코브레이즈특징.... 콘넥터설명 ISE PROM FILE(*.MCS) 만들기 EXAMPLE PROJECT...

4 . 제품설명 FANDA-FA 은 Xilinx사의 Artix 시리즈중 XCA00T-FG 패키지로제작된 FPGA EVM보드입니다. 보드내에 Onchip PHY(0/00/000)bps, Mbyte DDR(Bit) 메모리, LVDS 00Mhz OSC, USBSerial,Bbit LED, Bit DIP Switch등이내장되어있다. 또한사용자가포트를확장할수있도록 0핀 IO포트가 개있습니다. 구동전원은 V A 전원으로동작하며, 동작상태를표시하기위한 Status LED등이있습니다. 소프트웨어적으로본제품은 Xilinx 사에서제공되는 Vivado, ISE Tool을사용하며, H/W개발언어인 VHDL, Verilog를습득및여러가지 IP(UART, HDMI, DSP Block, MAC) 들을실습할수있습니다. 보다나은설계방법으로서 EDK, 어셈블리어 (KSPSM.EXE) Tool를가지고 FPGA내부에 Bit MicroBraze, Bit Picobraze를내장하는방법과예제프로그램을테스트할수있는 EVM 보드입니다. 단지교육용만아니라여러가지용도로응용할수있도록확장 I/O 포트가내장되어있습니다.. 제품사양.. 하드웨어사양 FPGA : Xilinx XCA00T-CSG(000) 만게이트사용할수있음 DDR-Bit Mbyte(00Mhz) AR0-Gbps 이더넷 PHY Single USBSerial Port FPGA Configuration EEPROM(SPI PROM) DC Power V 입력 ( 역전압, 과전압 ) 보호회로 bit dip switch bit LED, 전원표시 LED 업보드확장콘넥터 (x0xx.0mm) 보드사이즈 : mm x 90mm.V LVDS 00Mhz, 9.Mhz OSC 전원스위치.. 소프트웨어사양 ISE., EDK ( 예제코드 ), ISE. 이상지원됨 Vivado 0, 0. 지원함 제공소스 : 마이크로브레이즈예제기본 Serial Uart Source 코드 Picobraze Example 소스코드 Analog Device ADC(Audio Codec 소스코드 ) Audio Codec보드구매시 Wincap DLL 코드

5 .. 전기적사양 V A DC 아답터.0V FPGA CORE 전원.V DDR 메모리전원.V,.V 선택 I/O 전원. 제품구성 구분 수량 비고 FANDA-FA 판매 제품설명서 이로직스 회로도 PDF, ORCAD 원본 Webhard 제공소스 - 마이크로브레이즈, Picobraze 예제코드 Webhard

6 . 보드사진및구성도.. TOP 면사진.. BOTTOM 면사진

7 .. BLOCK DIAGRAM SWITCH X DDR LVDS OSC ARTIX XCA00T- ETH RNX90 PWR.0V.V.V.V P P9 USB RJ DC. 블록별부품특징.. FPGA : XCA00T- XILINX 나노공정으로제조된최신칩 옵션에따라서 XCAT, T, 0T, 00T-CG CSG패키지 0. BALL 피치 Block RAM,PLL,DSP Slice Block.V,.V I/O Port( 선택적 ).. DDR : KBGG GBIT BIT SDRAM Bit DDR 인터페이스 00Mhz 동작 9FGGA PIN.. USBSERIAL : FTQ USB.0 고속통신 UART 모드및 FIFO 모드동작 Default : uart 통신모드.. 시스템전원 CORE 전원 : SC-A

8 9 AGND GND GND GND GND GND GND GND GND GND.uF.uF PGB0000MR PGB0000MR C 0.uF G G VPHY VPLL I/O 전원 : SC-A Switching Regulator.. 0/00/000bps 이더넷 Atheros 0/00/000 이더넷 PHY Mhz Clock RGMII 인터페이스,Autonegotiation.. 보드동작클럭.V MEMS LVDS OSC(.0x.0mm).V OSC (. x.0mm). FANDA-FA 회로설명.. Main FPGA : Xilinx Artix XCA00T-CSG FA 보드의전체적인제어를담당함 USBSerial 인터페이스, DDR, MAC PHY 통신, SPI 통신 동작상태 LED 제어 확장 I/O PORT 제어.. USBSerial 회로도. FPGA내 BANK 핀과연결.V I/O 전원 Mode 설정에따라서표준 Uart, FIFO, Jtag, SPI 모드로사용함 Serial SPI Rom 사용 VPHY VDD_V VPHY VDD_V L9 C BEAD C.uF 0.uF VDD_V U VDD_V VB D- D+ ID G USB UX0-MB-ST, miniusb Ty pe AB R9 R 0K 0K VCC CS U CLK DI GND DO 9LCBT-I USB_V D R USB_D- USB_D+ D EECS EECK EEDT K VCORE UVCCA R K % Y MHz C pf EECS EECK EEDT C pf 0 VREGIN AD0 9 AD VCCD AD VCORE AD VCCA AD AD USBDM AD USBDP AD AC0 AC REF AC AC AC EECS AC EESK AC EEDATA AC AC AC9 XTAT RESET XOUT TEST FTHQ 0 GND VCC-IO VCC-IO VCC-IO R 9K R C 0.uF FT_TXD FT_RXD USB_AD USB_AD USB_AD USB_AD USB_AD USB_AD USB_AC0 USB_AC USB_AC USB_AC USB_AC USB_AC USB_AC USB_V VDD_V 9K UVCCA VCORE C9 C

9 uf/v 0.00uF 0.uF 0.uF 0.uF 0.uF.. FPGA DDR회로도 FPGA내 BANK핀과연결.V I/O 전원 MIG(Memory IP Generator) 통해서 IP 생성 VREF 0.V 전원 동작주파수 00Mhz VCC.V + CT VCC.V R 0K DDR_VREF R 0K C C C C C XR XR XR XR XR A C D F G J UD IO_0_ IO_LP_T0_ADP_ IO_LN_D0_ADN_ IO_LP_D0_ADP_ IO_LN_D0_ADN_ IO_LP_T0_DQS_ADP_ IO_LN_T0_DQS_ADN_ IO_LP_T0_ IO_LN_T0_ IO_LP_T0_ADP_ IO_LN_T0_ADN_ IO_LP_T0_ IO_LN_T0_VREF_ IO_LP_T_ADP_ IO_LN_T_ADN_ IO_LP_T_ADP_ IO_LN_T_ADN_ IO_L9P_T_DQS_ADP_ IO_L9N_T_DQS_ADN_ IO_L0P_T_ADP_ IO_L0N_T_ADN_ IO_LP_T_SRCC_ IO_LN_T_SRCC_ IO_LP_T_MRCC_ IO_LN_T_MRCC_ IO_LP_T_MRCC_ IO_LN_T_MRCC_ IO_LP_T_SRCC_ IO_LN_T_SRCC_ IO_LP_T_DQS_ IO_LN_T_DQS_ IO_LP_T_ IO_LN_T_ VCCO 0 IO_LP_T_ VCCO IO_LN_T_ VCCO IO_LP_T_ VCCO IO_LN_T_ VCCO IO_L9P_T_ VCCO IO_L9N_T_VREF_ IO_L0P_T_ IO_L0N_T_ IO_LP_T_DQS_ IO_LN_T_DQS_ IO_LP_T_ IO_LN_T_ IO_LP_T_ IO_LN_T_ IO_LP_T_ IO_LN_T_ IO BANK F C C B B A A D C E E E D C B A A B A B B D D E D F F E D H G C C H G F E G F G G J H J J K K H H J DDR_VREF DDR_OPT DDR_A DDR_A DDR_A0 DDR_A9 CLK_DDRA CLK_DDRA# DDR_A DDR_A DDR_A DDR_A DDR_A DDR_A DDR_A DDR_A DDR_A0 DDR_BA DDR_BA DDR_BA0 DDR_RAS DDR_CAS DDR_WE DDR_CS DDR_CKE DDR_DB0 DDR_DB DDR_DB DDR_DB DDR_DQS0_P DDR_DQS0_M DDR_DQM0 DDR_DB DDR_DB DDR_DB DDR_DB DDR_RST DDR_DQM DDR_DB DDR_DB9 DDR_DQS_P DDR_DQS_M DDR_DB0 DDR_DB DDR_DB DDR_DB DDR_DB DDR_DB LENGTH SAME DIFF ROUTING VCC.V U A E A VDDQ. DQ0 F C VDDQ. DQ F C9 VDDQ. DQ F D VDDQ. DQ H E9 VDDQ. DQ H F VDDQ. DQ G H VDDQ. DQ H H9 VDDQ. DQ D B VDDQ.9 DQ C D9 VDD. DQ9 C G VDD. DQ0 C K VDD. DQ A K VDD. DQ A N VDD. DQ B N9 VDD. DQ A R VDD. DQ R9 VDD. C VDD.9 UDQS B DDR_A0 N UDQS# F DDR_A P A0 LDQS G DDR_A P A LDQS# DDR_A N A DDR_A P A UDM D DDR_A P A LDM E DDR_A R A DDR_A R A DDR_A T A DDR_A9 R A DDR_A0 L A9 M DDR_A R A0/AP VREFCA DDR_A N A A/BC VREFDQ H T T A T CLK_DDRA J nc/a RESET CLK_DDRA# K CK K DDR_CKE K9 CK ODT CKE ZQ L DDR_CS L DDR_RAS J CS DDR_CAS K RAS DDR_WE L CAS WE DDR_BA0 M DDR_BA N BA0 A9 DDR_BA M BA VSS. B BA VSS. E B VSS. G B9 VSSQ VSS. J D VSSQ VSS. J D VSSQ VSS. M E VSSQ VSS. M9 E VSSQ VSS. P F9 VSSQ VSS.9 P9 G VSSQ VSS.0 T G9 VSSQ VSS. T9 VSSQ VSS. DDR_DB0 DDR_DB DDR_DB DDR_DB DDR_DB DDR_DB DDR_DB DDR_DB DDR_DB DDR_DB9 DDR_DB0 DDR_DB DDR_DB DDR_DB DDR_DB DDR_DB DDR_DQS_P DDR_DQS_M DDR_DQS0_P DDR_DQS0_M DDR_DQM DDR_DQM0 DDR_VREF DDR_VREFM DDR_RST DDR_OPT R 0 DIFF ROUTING XCA00T-CS KBGQQ-HC(L)E-.. 0/00/000bps PHY이더넷회로도 FPGA내 BANK 핀과연결.V I/O 전원 RGMII 모드사용 Picobraze를이용한 MDIO통신 Mhz Crystal 9

10 9 EPAD AVDD AVDD AVDD AVDD DVDDL VDD AVDD LX 9 VETH_VDDIO VADDL_PHY VDDL_PHYVDD_V VETH_AVDD_. VDDH_PHY VETH_VDDIO VDD_V VETH_AVDD_. C0 0.uF R 0K L BEAD L0 L.uH BEAD LX_VCC L BEAD C 0.uF, GMAC_MDIO, GMAC_MDC GMAC_TCLK GMAC_CTLTX GMAC_TXD GMAC_TXD GMAC_TXD GMAC_TXD0 ETH0_RCLK GMAC_RCLK ETH0_RXDV GMAC_CTLRXD ETH0_RXD GMAC_RXD ETH0_RXD GMAC_RXD ETH0_RXD GMAC_RXD ETH0_RXD0 GMAC_RXD0 LENGTH SAME R.K C pf Y MHz U MDIO MDC GTX_CLK TX_EN 9 TXD TXD TXD TXD0 RX_CLK RX_DV RXD 0 RXD RXD RXD0 CLK_M PPS 9 RBIAS XTAL_IN XTAL_OUT RESET_B VDDH_REG 0 VDDIO_REG 9 MDI0_P MDI0_N MDI_P MDI_N MDI_P MDI_N 0 MDI_P MDI_N SIP SIN SOP SON SD LED LED LED INT 0 WOL_INT PAIR SIGNAL ETHER_D0P ETHER_D0P ETHER_D0N ETHER_D0N ETHER_DP ETHER_DP ETHER_DN ETHER_DN ETHER_DP ETHER_DP ETHER_DN ETHER_DN ETHER_DP ETHER_DP ETHER_DN ETHER_DN VDDL_PHY VDD_V R DNI PHY_LED0 R PHY_LED 0K PHY_LED RGMII_INT R 0K CN TD0_P TD0_N TD_P TD_N TD_P TD_N TD_P TD_N 9 0 VCC TCGND RJ- L L L L SHIELD SHIELD HFJ-G0E-LRL CON-RJ-P-LEDF GG-LED CHASSIS_ETH R K PHY_LED0 R K PHY_LED PHY_LED CHASSIS_ETH C9 pf VDDH_PHY AR0_ALA/QFN VDD_V R0 00K VDDL_PHY VADDL_PHY VADDL_PHY PHY_MRSET D MBR0SFT C 0uF,0V C uf_0 C9 uf_0 C uf_0 R 0K ETH0_RCLK R9 0K ETH0_RXDV R 0K ETH0_RXD R 0K ETH0_RXD R 0K ETH0_RXD R 0K ETH0_RXD0 R 0K PHY_LED0 R 0K PHY_LED R0 0K PHY_LED Bottom place PHY_LED R K D LED VADDL_PHY VDD_V VETH_AVDD_. C9 C C uf_0 uf_0 uf_0 VDDH_PHY VETH_VDDIO VETH_VDDIO C0 0uF,0V C uf_0 C 0uF,0V.. UP 보드확장콘넥터회로도 (.V,.V I/O)(Default.V) DIFF SIGNAL IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P9 IO_L_N9 IO_L_P0 IO_L_N0 IO_L_GPIO0 VCC p9 CN CD_00-0P SD-0P VCC IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P9 IO_L_N9 IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P0 IO_L_N0 IO_L_P IO_L_N IO_L_GPIO TOP PLACE DIFF SIGNAL p IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N0 IO_L_P0 IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P, GMAC_MDIO VCC CN CD_00-0P SD-0P VCC IO_L_N9 IO_L_P9 IO_L_N0 IO_L_P0 IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N9 IO_L_P9 IO_L_N IO_L_P GMAC_MDC, 0

11 .. DOWN 보드확장콘넥터회로도 (.V,.V I/O)(Default.V) DIFF SIGNAL IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P9 IO_L_N9 IO_L_P0 IO_L_N0 IO_L_GPIO0 VCC VCC CN p9 0 9 IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P 0 9 IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N 0 9 IO_L_P IO_L_N IO_L_P9 IO_L_N9 0 9 IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P 0 9 IO_L_N IO_L_P0 IO_L_N0 IO_L_P 0 9 IO_L_N IO_L_GPIO BOTTOM PLACE DIFF SIGNAL IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N0 IO_L_P0 IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P, GMAC_MDIO p VCC CN IO_L_N9 IO_L_P9 IO_L_N0 IO_L_P0 IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N IO_L_P IO_L_N9 IO_L_P9 IO_L_N IO_L_P GMAC_MDC, CD_00-0P SD-0P CD_00-0P SD-0P.. System Clock generation 회로도.V 9.Mhz OSC.V MEMS OSC (00)MHZ LVDS OSC 기본장착 (clock+, clock-) VCC.V C 0.uF Y OE NC GND OUT_B OUT EGCA 00Mhz VCC DIFF ROUTING DIFF_CLK- DIFF_CLK+ VDD_V OSC_CLK Y 0.00Mhz VCC NC CLKGND.. Reset 회로 Positive Level reset 입력 ( L: Reset, H: Normal) VDD_V U0 +V /RSTN R0 00 PWR_nRST PWR_nRST FPGA_DONE R 00 C 0.uF S /MR GND MAX SW PUSHBUTTON

12 PGND PGND AGND 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer C C9 C90 C C C C PGND PGND AGND 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer PGND PGND AGND 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer C9 C C C0 C C C C C C C C C C 0uF/0V K M.K C R0 R R PGND PGND AGND.M.M GND 0uF/0V 0uF/0V 0uF/0V 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer 0uF Cer C C C C C C C C C9 C0 R R.9. LED 회로도 LED_D0 LED_D LED_D LED_D LED R K LED LED R K LED LED R K LED LED R9 K LED VDD_V LED_D0 : U LED_D : T9 LED_D : T0 LED_D: R0.0. 스위치회로도 USER_SW USER_SW USER_SW USER_SW0 0K RP SW SW/SMD-/SM_ VDD_V USER_SW0 : U USER_SW : U USER_SW : U USER_SW : V.. 전원회로 (V 입력 ).0V FPGA CORE 공급회로 :.V A 전원공급.V 이더넷공급회로 : Gbps 이덧넷및.V I/O 전원공급.V I/O 공급회로 :.V I/O 전원공급 과전압, 과전류보호회로내장 JACK_.mm CN VCC_F VBG=.V VOVLO=VBG*(+R/R) VUVLO=VBG*(+R/R) RSET(KOHM)=00/ILIM(mA) VCC_F R R R R U IN IN IN UVLO OVLO SETI MAX OUT OUT OUT FLAG 0 EN RIEN 9 HVEN CON CN R 0K R 0K VCC C0 0.uF VCC GND R 0 C9 AVDD PVDD PVDD uf_0 CTL0 CTL CTL CTL U SCC PGND LX LX LX 0 VOUT NC 9 T C 0nF TOKO:FDV00S-R0 SHP00P-FRA L.uH VCC.V VCC_F VCC R R 000:.0V C 00K uf_0 AVDD PVDD PVDD PG CTL0 CTL CTL CTL U SCULTRT PGND VOUT LX LX SS T 0 9 C0 0nF 최종버전 TOKO:FDV00S-R0 SHP00P-FRA L.0uH VCC.V VCC GND C R 0 VCC.V uf_0 AVDD PVDD PVDD CTL0 CTL CTL CTL U9 SCC PGND LX LX LX 0 VOUT NC 9 T TESTING ONLY C9 0nF TOKO:FDV00S-R0 SHP00P-FRA L.uH VDD_V VDD_V C 0uF Cer U VIN GND EN VOUT CBYP MIC9 SOT- C 0pF R 00K R 0.K.V VCC.V C 0uF Cer VCC R9 0 C uf_0 AVDD PVDD PVDD CTL0 CTL CTL CTL U SCC PGND LX LX LX 0 VOUT NC 9 T C TOKO:FDV00S-R0 SHP00P-FRA L.uH VCC.V 0nF

13 0K 0K R R.. Configuration Serial SPI Prom 회로 ST 사의 MPP, Serial Prom 을사용했다. VDD_V VDD_V VDD_V VDD_V SPI_SPI_CS0 FPGA_D0 R 0K VCC U CE# R 0K SO HOLD# WP# SCK FPGA_CCLK FPGA_MOSI VSS SI MPP/NQ. 마이크로브레이즈와피코브레이즈특징.. MicroBraze Bus 구조 Bit AXI BUS Local Memory,Microbraze Debug Module(MDM) Tightly Coupled IO Module including(i.o BUS, Interrupt Controller,UART, Timer 등 ) 개발Tool EDK, SDK, ISE, Vivado.. Picobraze Bus 구조 Bit BUS 개발Tool Picobraze Asembler(KCPSM.EXE).. 응용및실습분야 MAC 통신, 데이터수집기 이더넷 MAC 코딩실습 UART 코딩실습 SPI Master 통신 Picobraze Assembler 컴파일하기 기타등등

14 . 콘넥터설명.. USB Console 포트로사용됨 Pin Number Pin Name 설명 VCC USB 전원 V 00mA USB - USB Negative Signal USB + USB Positive Signal GND Ground.. CN RJ JACK Gbps 이더넷콘넥터 Pin Number Pin Name 설명 TD0_P G TX0 Positive Transmit TD0_N G TX0 Negative Transmit TD_P G TX Positive Transmit TD_N G TX Negative Transmit TD_P G TX Positive Transmit TD_N G TX Negative Transmit TD_P G TX Positive Transmit TD_N G TX Negative Transmit.. CN. DC Jack V (DC 입력 ) 본제품은 V@A 아답터전원으로사용한다. 핀 V 입력 핀 Ground.. CN. Xilinx Jtag Pin Number Pin Name 설명 VCC. V GND Ground TCK JTAG Clock TDO JTAG Data Out TDI JTAG Data In TMS JTAG Mode Set

15 .. CN UP Board 콘넥터 (.V,.V I/O) BANK Num I/O BANK FPGA Num I/O BANK FPGA VCC +V VCC +V VCC +V VCC +V GND Ground GND Ground LVDS_P BANK LVDS_P BANK 9 LVDS_N BANK 0 LVDS_N BANK LVDS_P BANK LVDS_P BANK LVDS_N BANK LVDS_N BANK LVDS_P BANK LVDS_P BANK LVDS_N BANK LVDS_N BANK 9 LVDS_P BANK 0 LVDS_P BANK LVDS_N BANK LVDS_N BANK LVDS_P BANK LVDS_P BANK LVDS_N BANK LVDS_N BANK GND GND 9 LVDS_P BANK 0 LVDS_P BANK LVDS_N BANK LVDS_N BANK LVDS_P BANK LVDS_P9 BANK LVDS_N BANK LVDS_N9 BANK LVDS_P BANK LVDS_P BANK 9 LVDS_N BANK 0 LVDS_N BANK LVDS_P BANK LVDS_P BANK LVDS_N BANK LVDS_N BANK LVDS_P BANK LVDS_P BANK LVDS_N BANK LVDS_N BANK 9 GND 0 GND LVDS_P9 BANK LVDS_P0 BANK LVDS_N9 BANK LVDS_N0 BANK LVDS_P0 BANK LVDS_P BANK LVDS_N0 BANK LVDS_N BANK 9 IO GPIO_0 BANK 0 IO GPIO_ BANK

16 .. CN (.V,.V I/O 선택 ) BANK Num I/O BANK FPGA Num I/O BANK FPGA VCC +V VCC +V VCC +V VCC +V GND GND IO_L_N BANK IO_L_N9 BANK 9 IO_L_P BANK 0 IO_L_P9 BANK IO_L_N BANK IO_L_N0 BANK IO_L_P BANK IO_L_P0 BANK IO_L_N BANK IO_L_N BANK IO_L_P BANK IO_L_P BANK 9 IO_L_N BANK 0 IO_L_N BANK IO_L_P BANK IO_L_P BANK IO_L_N BANK IO_L_N BANK IO_L_P BANK IO_L_P BANK GND 9 IO_L_N0 BANK 0 IO_L_N BANK IO_L_P0 BANK IO_L_P BANK IO_L_N BANK IO_L_N BANK IO_L_P BANK IO_L_P BANK IO_L_N BANK IO_L_N BANK 9 IO_L_P BANK 0 IO_L_P BANK IO_L_N BANK IO_L_N BANK IO_L_P BANK IO_L_P BANK IO_L_N BANK IO_L_N BANK IO_L_P BANK IO_L_P BANK 9 GND 0 IO_L_N BANK IO_L_N9 BANK IO_L_P BANK IO_L_P9 BANK IO_L_N BANK IO_L_N BANK IO_L_P BANK IO_L_P BANK 9 GMAC_MDIO 0 GMAC_MDC BANK

17 9. ISE Prom File(*.mcs) 만들기 9.. Xilinx Tool 을이용한 FPGA 내용변경하기 Jtag tool을이용하여 FPGA 내용을사용자 logic으로변경할수있다 9... Bit File을만들기아래그림에서 Generate Programming File를더블클릭하면 Synthesis -> Implement -> Bitfile 생성이되며, 개발시필요한 bit file이생성된다 PROM FILE 만들기 Configure Taget Device -> Generate Target PROM/ACE File 을클릭한다.

18 ISE IMPACT 프로그램이실행된다. 여기서 Create PROM File Formatter 를클릭한다. Configure Single FPGA -> -> Auto Select PROM -> 순으로클릭한다. Output File Name : 생성될 file 이름 Output File Location : bit file 위치한디렉토리

19 하단에 OK 을클릭한다. OK 을누르면 Bitfile 에서생성된 file 을 load 한다. 또다른 device Add 을할창이띄면 No 한다 -> 다음은 OK 을누른다. Generate File 을실행한다. 여기서사용자 mcs 파일이생성되었다. 9

20 9... 생성된 Bit, mcs File 다운로드하기 CN 콘넥터순서 : VCC, GND, TCK,TDI.TDO, TMS Taget보드와 jtag tool 을연결한다. Usb cable을연결한다. Boundary Scan을클릭한다. 마우스우측 button 을누른후 Initialize Chain 을클릭한다. 0

21 클릭하면우측에 XILINX IC 모양과 SPI/BPI 창이뜬다. SPI/BPI 을클릭한다. 클릭하면위에서생성된 *.MCS 파일을 LOAD 한다. FANDA-FA 보드에 MPP, MPP 가실장되어있어서이것을선택한다. 녹색으로표시된 FLASH ICON 을클릭한다. 다음에 Program 을선택하여 Write 을진행하며 Wirte 가완료시성공메시지가표시된다.

22

23 0. Example Project 0.. 개의 LED와 DIP Switch 사용예제 상태표시 LED,LED,LED,LED Option를설정하기위한 DIP SWICH SW,SW,SW,SW 예제소스 : 제공된프로젝트를 led blink open 한다. 위프로젝트를 Implementaion 를실행후 bit File 을다운로드한다. 그러면 LED 가깜박이는것을볼수있다. 0.. FANDA-FA 프로젝트실습하기

24 0.. EDK 활용 0... EDK 사용법은 CD 에제공된 Xilinx_Embedded_Processor.pdf 파일을참조합니다. 제공된C/D에서 D:\sale_project\LOGIC_PROGRAM\edk_LWIP \system.xmp를더블클릭하면위와같이프로젝트가 OPEN 됩니다. 위예제는메모리테스트프로젝트입니다. 여기에사용자로직및응용프로그램을코딩하여사용하면됩니다. 0.. Picobraze 실습하기

25 0... 명령창에서 kcpsm.exe uart_bridge.psm 을실행한다. 제공된소스파일이컴파일된다. 위와같이컴파일이진행됩니다. 에러가있으면수정후재컴파일을진행합니다 PICOBRAZE 관련파일 Kcpsm.EXE - PICOBRAZE 어셈블리어 ROM_FORM.V - ROMFILE Template KCPSM.V - PICOBRAZE 소스코드 UART_BRIDGE.PSM - PICOBARZE TOP 어셈블소스코드 ic_routines.psm, kc0_ic_devices.psm, soft_delays_00mhz.psm, PicoTerm_routines.psm uart_rx.v, uart_tx.v 0... PICOBRAZE 실습프로젝트 자료실참고, 프로젝트는파일은계속 update됨

Product Manual

Product Manual Product Manual RASPGA EVM (Xilinx FPGA XC6LX16-CFG324 - EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM607-1, Digital Empire, #685,Gasan-dong, 서울특별시금천구가산동 568번지 Geumcheon-gu Seoul, Korea. (Zip: 150-023)

More information

Product Manual

Product Manual Product Manual ELS - MB500A (Xilinx FPGA XC6SLX50-FG8 EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM607-, Digital Empire, #685,Gasan-dong, 서울특별시금천구가산동 568번지 Geumcheon-gu Seoul, Korea. (Zip: 50-0)

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

amazon-ii_stk_header_130704_162

amazon-ii_stk_header_130704_162 GP_[0..] VP LE GREEN 0_led GP_[0..] K-%60_resR GP_[0..] GP_[0..] GP_[0..] GP_[0..] GP0_[0..] GP_[0..] GP_[0..] GP_[0..] GP0_0 GP0_ GP0_ GP0_ GP0_ GP0_ GP0_6 GP0_ GP_0 GP_ GP_ GP_ GP_ GP_ GP_6

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu WISOL / SFM11R2D P/N: DATA SHEET Rev.01 WISOL 531-7, Gajang-ro,Osan-si,Gyeonggi-do Rep. of Korea http://www.wisol.co.kr CONTENTS 1. Approval Revision Record... 3 2. Scope... 4 3. Numbering of product...

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

NERO_M128_V10.opj

NERO_M128_V10.opj SW 0 R 0R ISP Port REF 0.uF PE P R 0K 0.uF R 0R 0.uF JP HEER/X 0.uF X pf PF PF PF PF PF PF PF REF TK TMS TO TI PE PE PE PE PE PE PE P0 P P P P P P P Y MHz X pf JTG Port IR_FREQ IR_OUT 0 0 0 TK TO TMS TI

More information

User Guide

User Guide 하드웨어 참조 설명서 HP RP2 소매 시스템 Copyright 2014 Hewlett-Packard Development Company, L.P. Microsoft 와 Windows 는 Microsoft 그룹의 미 국 등록 상표입니다. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보증은 제품 및 서비스와 함께

More information

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론 강 AVR bard & dwlad cable 2009 년도 학기 A V R 을시작하며 AVR bard Pi cfigurati 64 개의핀으로구성 Vcc(2) Avcc() GND(3) 입출력핀 (8*6+5) Clck, reset, Aref, PEN 한개의핀이두개이상의기능을수행하기도한다. Pi cfigurati I/O PORT A~G 의 7 개의 prt 중 A~E

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

Implementation of FreeRTOS on LM3S811

Implementation of FreeRTOS on LM3S811 minibee SEMILAB Coordinator Router End Device 로직테크 USB Dongle LM2455 Module & Extension Board RP-M100/M110/MR220/MR500 Module & Extension Board 2015-02-02 www.logictech.kr 1 Revision History Version Date

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

Microsoft Word - jEMB11_kor.docx

Microsoft Word - jEMB11_kor.docx TO : Jinyoung Contech Co., Ltd. #501, 222-12 MARIOTOWER, GURO3-DONG, GURO-KU, SEOUL, KOREA TEL : 82-2-890-6400 FAX : 82-2-890-6406 HOME PAGE : http://www.jyct.com/ Issued Date : 2011-08-23 Page : 17 Pages

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드]

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드] 제품명 : STM_LCD 보드 STM_LCD 보드는 STMF0 Cortex-M 를처음사용하시는분들께편리한개발환경을제공합니다. 다양한통신커넥터를구비하고있어서특히산업용제품을개발하시고자하는분께좋은 Prototype을제공합니다. 부트보드특징 : 선택 STMF0RBT 스위치 SPI 통신 개 RS 통신 개 RS 통신 IC 통신 C 확장 Data Flash: DB 입출력

More information

C# 언어 사양

C# 언어 사양 Chapter 1. Microblaze and DDR3 Microblaze 을사용한임베디드시스템을구현할경우메모리콘트롤러가필요한경우가 많이있습니다. DDR2, 3 메모리의경우에는동작속도가너무높다보니일반적으로 RTL 로메모리 콘트롤러를구현하지않습니다. 이때사용하는툴이 MIG 라는툴인데 MIG 는메모리콘트롤러가매우높은동작 주파수에서도제대로동작할수있도록메모리콘트롤러를만들어줍니다.

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

Product Brief Manual

Product Brief Manual Product Brief Manual ELS-6XM Motion Sensor Processing Unit Embedded and Logic Solution elogics 이로직스 Rm607-1,DigitalEmpire,#685Gasandong,Geumcheon-gu 디지털엠파이어 607-1호 ( 우 : 153-023) 서울특별시금천구가산동 685 Seoul,

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

BS-K1117□-M□□-3012_ProductGuide_KR_PDF

BS-K1117□-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN AnyWireASLINK System [ASLINK ] S-K1117-M-312..,.,. AnyWireASLINK :, M12 S-K1117-M8-312 S-K1117-M12-312 S-K1117-M18-312 S-K1117-M3-312 S-K1117S-M12-312 S-K1117S-M18-312 S-K1117S-M3-312

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0 TMS0F85 초소형메모리모듈매뉴얼 TMS0F85 초소형메모리모듈제품매뉴얼 V.5. Tel. 0-78-8 Fax. 0-706-8 E-mail. dsptools@syncworks.co.kr [] page TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 09. 0. 9. - Rev..5 09. 0. 09. - Rev..5., 부트모드선택회로설명추가,

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN)

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN) DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT2201-002A (MN) 2 3 [ ] CLASS 1 LASER PRODUCT 4 1 2 Language AV Input R-CAM Interrupt Panel Color Preout

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

슬라이드 1

슬라이드 1 CCS v4 사용자안내서 CCSv4 사용자용예제따라하기안내 0. CCS v4.x 사용자 - 준비사항 예제에사용된 CCS 버전은 V4..3 버전이며, CCS 버전에따라메뉴화면이조금다를수있습니다. 예제실습전준비하기 처음시작하기예제모음집 CD 를 PC 의 CD-ROM 드라이브에삽입합니다. 아래안내에따라, 예제소스와헤더파일들을 PC 에설치합니다. CD 드라이브 \SW\TIDCS\TIDCS_DSP80x.exe

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

5" TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공

5 TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공 CT210-FPGA Platform-ARM Developer Kit 규격서 1. 특징 CT210 FPGA 플랫폼은 Samsung 의 32bit Application Processor 인 S5PV210 을기반을설계된안드로이드 / 임베디드 FPGA 플랫폼입니다. CT210 FPGA 플랫폼은삼성 PV210 기반의임베디드시스템에서 FPGA 를연결하여사용할수있도록하였습니다.

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

EP-B-P211.eps

EP-B-P211.eps PFA/PFW Series PFA Series PFW Series PF00 Series Alphabet Index 8 A COM B COM DCV GND F.G. R.S. HOLD COM BANK BANK COUNT PRESET FUNC. AC00~0VCOM OUTOUTOUTOUTOUTS.STOP RD SD SG RS-C PFA/PFW Series, N M/C

More information

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 Eclipse (IDE) JDK Android SDK with ADT IDE: Integrated Development Environment JDK: Java Development Kit (Java SDK) ADT: Android Development Tools 2 JDK 설치 Eclipse

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

歯메뉴얼v2.04.doc

歯메뉴얼v2.04.doc 1 SV - ih.. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 - - - 23 24 R S T G U V W P1 P2 N R S T G U V W P1 P2 N R S T G U V W P1 P2 N 25 26 DC REACTOR(OPTION) DB UNIT(OPTION) 3 φ 220/440 V 50/60

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

BS-K1217-M□□-3012_ProductGuide_KR_PDF

BS-K1217-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN System [ASLINK ] S-K1217-M-3012..,.,....,,. S-K1217-M08-3012 S-K1217-M12-3012 S-K1217-M18-3012 S-K1217-M30-3012 2() () / 1 2 1 DC..,,.,,,..,....... ' ARW-04 (Ver.04-1.01 ),

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

This page left blank intentionally

This page left blank intentionally User s Manual Manuel d utilisation Bedienungsanleitung Manual de usuario Manuale d'istruzioni Gebruiksaanwijzing Instruções do Proprietário Bruksanvisningens Руководство пользователя Regulatory models:

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

P-C www.steedmachinery.com.tw EDG-G01... 4 EBG-G03, G06... 7 EDFG-G01... 11 EDFG-G03, G04, G06... 13 EFBG-03, 06...17 P-C TW2085... 22 TW2085-2... 24 TW9820... 26 TW9820-2... 28 www.steedmachinery.com.tw

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

슬라이드 1

슬라이드 1 Delino EVM 용처음시작하기 - 프로젝트만들기 (85) Delfino EVM 처음시작하기앞서 이예제는타겟보드와개발홖경이반드시갖추어져있어야실습이가능합니다. 타겟보드 : Delfino EVM + TMS0F85 초소형모듈 개발소프트웨어 : Code Composer Studio 4 ( 이자료에서사용된버전은 v4..입니다. ) 하드웨어장비 : TI 정식 JTAG

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

s3fn21x_ev_main_r00_110325_temp

s3fn21x_ev_main_r00_110325_temp VIO- VSSIO- P0./T0P/TOPWM/SSPMOSI0 P0./TLK/S0/SSPMISO0 P0./TP/TPWM/SSPFSS0 P0./TLK/SL/SSPLK P0./TP/TPWM/SSPMOSI P0./TLK/S/SSPMISO P0./TP/TPWM/SSPFSS 0 P0./TLK/UZ/USRTTX P0./TP/TPWM/USRTRX P0.0/TLK/ET0/USRTLK

More information

조나다_560_FAQ4.PDF

조나다_560_FAQ4.PDF hp jornada 560 FAQ ...6 1....6 2. PC?...6 3., PC...6 4.. PDA PC?...6 5. PCMAC. MAC?...6 6....6 7....7 8....7 9....9 10. PC?...9 11.CF FLASHROM?...10 12.?...10 13. 2000 PIMS?...11 14..?...11...14 1....14

More information

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt)

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt) 마이크로칩 USB Host & Device 부트로더기능실습 한국마이크로칩서한석부장 (CAE) 2009-09-07 마이크로칩 16 비트 USB 데모보드세팅 Explorer 16 + USB PICtail Plus Daughter Board + USB PIMs Part #: DM240001 Part #: AC164131 Part #: MA240014(PIC24FJ256GB11)

More information

?뗡뀶?믟뀱?솽꼶?듄꼮??

?뗡뀶?믟뀱?솽꼶?듄꼮?? ACB/ATS/SPD Air Circuit Breaker / Automatic Transfer Switch / Surge Protective Device Moving Forward into the World 02_03 World Class Brand ACB/ATS/SPD Air Circuit Breaker / Automatic Transfer Switch /

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

EP-B-P407 [변환됨].eps

EP-B-P407 [변환됨].eps IZD10/IZE11 Series 20kV50mm 0.4kV25mm 1 5V( 100Ω). IZD10 Series 2(1 5V, 4 20mA) 0.001kV(±0.4kV ), 0.1kV(±20kV ) ±0.5 F.S. ±1digit (1mm ) 2 (±0.4kV, ±20kV) IZE11 Series Alphabet Index 695 IZD10 Series IZD10

More information

CPX-E-SYS_BES_C_ _ k1

CPX-E-SYS_BES_C_ _ k1 CPX-E 8727 27-7 [875294] CPX-E-SYS-KO CODESYS, PI PROFIBUS PROFINET (). :, 2 Festo CPX-E-SYS-KO 27-7 ... 5.... 5.2... 5.3... 5.4... 5.5... 5 2... 6 2.... 6 2..... 6 2..2 CPX-E... 7 2..3 CPX-E... 9 2..4...

More information

untitled

untitled EZ-TFT700(T) : EZ-TFT700(T) : Rev.000 Rev No. Page 2007/08/03 Rev.000 Rev.000. 2007/12/12 Rev.001 1.6 Allstech,,. EZ-TFT700(T). Allstech EZ-TFT700(T),,. EZ-TFT700(T) Allstech. < > EZ-TFT Information(13h)

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

ELECTRICAL PARTS LIST (CA-6215) Ref No. Part No. Description Value Qty AMP B'D ( B ) C1406 C CAP NPP POLY 152J 2J 152P 2 C140

ELECTRICAL PARTS LIST (CA-6215) Ref No. Part No. Description Value Qty AMP B'D ( B ) C1406 C CAP NPP POLY 152J 2J 152P 2 C140 2 C H P O W E R E D MIXER CA-6215 ELECTRICAL PARTS LIST (CA-6215) Ref No. Part No. Description Value Qty AMP B'D ( 4003487400B ) C1406 C1506 3698152198 CAP NPP POLY 152J 2J 152P 2 C1408 C1508 3678050177

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 BOOTLOADER Jo, Heeseung 부트로더컴파일 부트로더소스복사및압축해제 부트로더소스는웹페이지에서다운로드 /working 디렉터리로이동한후, wget으로다운로드 이후작업은모두 /working 디렉터리에서진행 root@ubuntu:# cp /media/sm5-linux-111031/source/platform/uboot-s4210.tar.bz2 /working

More information

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 개발환경구조및설치순서 JDK 설치 Eclipse 설치 안드로이드 SDK 설치 ADT(Androd Development Tools) 설치 AVD(Android Virtual Device) 생성 Hello Android! 2 Eclipse (IDE) JDK Android SDK with

More information

cam_IG.book

cam_IG.book 설치 안내서 AXIS P3301 고정형 돔 네트워크 카메라 AXIS P3301-V 고정형 돔 네트워크 카메라 한국어 AXIS P3304 고정형 돔 네트워크 카메라 AXIS P3304-V 고정형 돔 네트워크 카메라 문서 정보 본 문서에는 사용자 네트워크에 AXIS P3301/P3304 고정형 돔 네트워크 카메라를 설치하는 방법에 대 한 지침이 포함되어 있습니다.

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

뉴티씨 (NEWTC) ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC ) 1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을

뉴티씨 (NEWTC)   ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC )   1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을 ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC ) 1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을이용한초소형모듈 AM-8PL에내장된 UART 포트로디버깅가능 ( 전원핀포함된뉴티씨 4핀 UART 배열 ) 8MHz 초소형크리스탈클럭채용으로안정성확보및 3.3V/5V 전원에모두동작함. 전원전압 (3.3V/5V)

More information

LCD Monitor

LCD Monitor LCD MONITOR quick start guide 400FP-2 460FP-2 400FPn-2 460FPn-2 ii Floor standing type) Note LCD Display MagicInfo Software CD MagicInfo Manual CD (FPn-2.) (AAA X 2) (FPn-2.) BNC to RCA (46.) D-Sub DVI

More information