KAERITR hwp

Size: px
Start display at page:

Download "KAERITR hwp"

Transcription

1

2

3

4

5

6

7

8

9 Light tight cover Scintillator Photocathode Dynode V2 V4 Incident radiation V1 V3 photo electron Dynode

10 Photocathode Dynode Focus 1 Anode cs cs cs Rd Rd Rd Rd Resistor voltage divider +HV From PM tube 증폭기 (AMP) Pulse Height Analysis TTL Pulse 출력 고전압발생기 VH control VL control HV control 조절단자

11 +5V Q1 A1244 I1 C16 C19 0.1u 0.1u D5 R R25 120k R26 2k - U4B 1G + - U6A HV control 1M + R19 4.7M C18 R18 C17 1n 4.7M GP0230 1n D12 D11 D10 D9 D8 PM tube R18 500k C21 47u 10n R23 100k R I2 Q2 2N u C26 C25 10n C24 10n C23 10n

12 High Voltage out R25 120k 1G 1M R14 R15 - U4B + feed back voltage (1/1001) of Vout R26 2k - + U6A R23 100k Blocking OSC HV Control 0~2.5V HV control Control voltage 0~2.5V V1 Blocking OSC V2 non linear VH

13

14 +5V U1A 4538 R10 R5 2.5V - + A3 R7 R8 1.2V 1.2V 1.2V R12 Vp + U3A - VL + U3B - VH R13 R12 +5V +5V RC CX +T -T U1B RC CX +T -T Q R Q 4538 Q R Q PHA out U1A 출력 Vp VL<Vp<VH Vp<VL Vp>VH

15 LCD control circuit LCD Display Control PC (1) channel setting Counting interval setting (2) saving counting data GPIB Microcontroller PIC16F877 다채널계수장치 24 counters 24 radiation detecting circuits 24 gamma ray sensors (detector) Random access memory

16

17 D0-D7 D0-D7 CLK0 G0 OUT0 Pulse input1 RD WR A0 A1 CNT0 /RD /WR A0 A1 /CS CLK1 G1 OUT1 CLK2 G2 OUT2 Pulse input2 Pulse input3 A2 A3 A Vcc LS CNT0 CNT1 CNT2 CNT3 CNT4 CNT5 CNT6 CNT7 RD WR A0 A1 CNT1 D0-D7 /RD /WR A0 A1 /CS CLK0 G0 OUT0 CLK1 G1 OUT1 CLK2 G2 OUT2 Pulse input4 Pulse input5 Pulse input6 D0-D7 CLK0 G0 OUT0 Pulse input22 RD WR A0 A1 CNT7 /RD /WR A0 A1 /CS CLK1 G1 OUT1 CLK2 G2 OUT2 Pulse input23 Pulse input24

18

19 Counter Latch Command Read operation Counter value ->DATA BUS BUFFER(8254) DATA BUS BUFFER(8254) -> MICOM Previous count value - incoming count value-> count value complimnet count value ASCII conversion count value Transmit ASCII data

20 D8 REN SC NDAC NRFD DAV EOI ATN SRQ Nat ALS160 D7 D6 D5 D4 D3 D2 D1 TE PE TE IFC DIO8 DIO7 DIO6 DIO5 DIO4 DIO3 DIO2 DIO1 REN IFC NDAC NRFD DAV EOI ATN SRQ RS0 RS1 RS2 A0 A1 A2 74ALS573 A8-A15 74ALS573 A0-A A0-A15 D0-D7 RB6 RB7 RAM1 RAM2 WR RD RD WR RD WR RAM1 RAM2 RB2 RB3 RE0 RE1 RD WR INT RST CLOCK INT RB0/INT CS CS RE2 DBIN WE INT RESET CLK CE 75ALS162 TE PIC16F877

21

22 CPU bus control address 75ALS160 D0 -D7 decoder PIC16F877 data NAT9914 GPIB RB0/INT interrupt 75ALS162

23

24

25

26

27

28

29

30 GPIB interrupt handler not end of message byte in input a byte last byte of message interpret command execute command MOVLW AUXMR ; SWITCH TO 9914 MODE ; AUXMR =5 MOVLW 0X15 ; sw9914=0x15) ; MOVLW AUXCR ; page in accessory registor ; auxcr =3 MOVLW 0X1C ; OX1C = chip reset, clear page in registor ; clear status registor CLRF ISR0 CLRF ISR1 ; set clock speed system clock speed를설정한다, nat9914의 18번핀의 clock MOVLW AUXCR ; 에인가되는클럭을기준으로설정한다. page in accessory registor ; auxcr =3 MOVLW 0X9F

31 MOVLW ACCR MOVLW B' ' ; ACCR=2 ; ICR 4Mhz icr MOVLW AUXCR ; clear page in resistor MOVLW 0X9C ; T1 delay MOVLW AUXCR ; MOVLW 0X97 ; set very short T1 delay (~vstdl) ; enable interrupt INTERUPT MASK 0 SET MOVLW IMR0 ; MOVLW B' ' ; Byte IN(BI) 만인터럽트허용 ; INTERUPT MASK 1 SET MOVLW IMR1 MOVLW B' ' ; page in interupt mask resistor2 MOVLW AUXCR MOVLW 0X1E ;(piimr2) MOVLW IMR2 MOVLW B' ' ; clear global interupt bit MOVLW AUXCR ; CLEAR PAGE IN REGISTOR MOVLW 0X9C ; Line feed 문자를 end of string으로사용 MOVLW AUXCR ; auxcr=3 MOVLW 0X9E ; (pieosr) page in end of string resistor MOVLW EOSR ; esor=2 MOVLW NEWLINE ; ; MOVLW AUXCR ; auxcr=3 MOVLW 0X9C ; clear page in resistor ; MOVLW AUXCR ; page in accessory registor MOVLW 0X9F ; MOVLW ACCR

32 MOVLW B' ' ; accra MOVLW AUXCR ; clear page in resistor MOVLW 0X9C ; c_piaccr ; set inv active low MOVLW AUXCR ; page in accessory registor ; auxcr =3 MOVLW 0X9F MOVLW ACCR ; MOVLW B' ' ; ICR 4Mhz MOVLW AUXCR ; clear page in resistor MOVLW 0X9C ; GPIB device address 설정 MOVLW ADR ; ADR=4 MOVLW 0X02 ; ; enable the device to receive data and command MOVLW AUXCR MOVLW 0X00 ; (c_nswrst) clear software reset RETURN

33 MOVLW SPSR ; Serial Poll Status Register - 데이터전송을위한준비시작 ; 첫번재단계로현재 nat9914의 spsr상태를 check CALL GPIB_RD ; 하여 SPSR_BYTE에저장 MOVF GPIB_DAT,W ; SPSR =5 MOVWF SPSR_BYTE ; SPMR =5 MOVLW SPMR ; Serial Poll Mode 를통하여데이터전송준비가되엇음을

34 ; 알린다. RSV 대신 RSV2 를사용하여전송하는 routine 이다 BCF SPSR_BYTE,6 ; clear request service bit(rsv) BSF SPSR_BYTE,4 ; SET Message Available bit MOVF SPSR_BYTE,W MOVLW AUXCR ; AUXCR =3 ; MOVLW 0X98 ; Set Request Service bit 2 (RSV2) CALL WAIT_BO ; 데이터전송준비끝나고 BO가 SET될때까지기다린다. MOVLW CDOR ; 데이터를 CDOR register에전송시작 ; CDOR=7 MOVLW 'K' ; K송신 CALL WAIT_BO MOVLW CDOR MOVLW 'T' ; T 송신 CALL WAIT_BO MOVLW CDOR MOVLW 'G' ; G 송신 CALL WAIT_BO MOVLW CDOR MOVLW '-' ; - 송신 CALL WAIT_BO MOVLW CDOR MOVLW 'D' ; D 송신

35 CALL WAIT_BO MOVLW CDOR MOVLW 'A' ; A 송신 CALL WAIT_BO MOVLW CDOR MOVLW 'Q' ; Q 송신 CALL WAIT_BO ; End of string송신 MOVLW CDOR ; Message의끝을알리기위해 line feed문자를송신한다. MOVLW 0X0A RETURN

36 Power On User Reguest Command Error Execution Error Device Dependent error Query Error Request Control Operation Complete Standard Event Status Register *ESR? Logical OR & & Queue Not-Empty & & & & & & Standard Event Status Enable Register *ESE<NRf> *ESE? Output Queue Ready by Serial Poll Service Request Generation 7 RQS 6 MSS ESB MAV Status Byte Register Logical OR & & & & & & & Ready by *STB? Service Request Enable Register *SRE<NRf> *SRE?

37 GPIB_INT ; nat9914 interupt subroutine BCF INTCON,INTF MOVLW ISR0 ; check interupt source ; ISR0 byte를가져와인터럽트의원인을확인한다 CALL GPIB_RD MOVF GPIB_DAT,W MOVWF ISR0_BYTE ; ISR0중 BI가 set이면 BYTE_IN 쪽으로점프 BTFSC BI ; GPIB interrupt 중 BI만설정해놓았음으로 GOTO BYTE_IN ; 사실상필요없는명령임 BYTE_IN ; gpib reading state MOVF FSR_IN,W MOVWF FSR MOVLW DIR CALL GPIB_RD MOVF GPIB_DAT,W MOVWF INDF INCF FSR_IN,F BCF BI ; BTFSS B_END ; B_END flag check하여 Message의끝인지여부를확인? GOTO INT_RET BSF BI_F BCF B_END MOVLW BUFFER MOVWF FSR_IN INTRET BYTE_OUT INTRET ; gpib writing state

38

39 Start initialize GPIB RECEIVING BUFFER FULL? yes interpret command PARSE END CHANNEL SET TIME SET SEND IDN? SEND TIMER1 ON READ INITIAL VALUE OF 8254 TIMER1 OVERFLOW yes COUNTER LATCH COMMAND READ DATA FROM 8254 SEND DATA TO PC via IEEE488 yes RECEIVING BUFFER FULL?

40 START Configure GPIB Send 'TMS0' by GPIB time interval setting Send 'CH:XX' by GPIB channel number setting Send 'SEND' by GPIB Start downloading data "END" received? END Get 97 byte at GPIB convert ASCII data to 24 array numbers 24 array numbers + old numbers=old numbers time interval plot XY graph data save? save data as ASCII

41

42

43

44 24 채널다중계수장치 GPIB 24 개 detector 24 개방사선계측기

45

46 PC Control Program (Labview) GPIB connection DAQ GPIB connection PCMCI CARD

47

48

49

50 ;**************************************************** ; PROJECT NAME : 2003 KAERI ; PROGRAM NAME : P877 ; REVISED DATE : ; PROGRAM MODIFIED : jong ;**************************************************** ; ; INSTRUCTION SET ; ; f File Register ; w Working Register ; (f) Stored Data in File Register ; (w) Stored Data in Working Register ; d Destination (F=1, W=0) ; k Data (Coeficient) ; f.b Bit of F (or W) ; f.b1:b2 Between b1 Bit and b2 Bit of F (or W) ; TOS Top of Stack Address ; ADDLW k Add Literal of W, (W)+K->W ; ADDWF f,d Add W to F,(W)+(F)->d ; ANDLW k AND Literal and W, (W) AND K->W ; ANDWF f,d AND W with F, (W) AND (F)->d ; BCF f,b Bit Clear F, 0->F.b ; BSF f,b Bit Set F, 1->F.b ; BTFSC f,b Bit Test, Skip If Clear, Skip if F.b=0 ; BTFSS f,b Bit Test, Skip If Set, Skip if F.b=1 ; CALL k Subroutine Call ; CLRF f Clear F, 00H->F, 1->Z ; CLRW Clear W, 00H->W, 1->Z ; CLRWDT Clear WatchdogTimer,00H->WDT, 0->WDT ; COMF f,d Complement F, NOT(F)->d ; DECF f,d Decrement F, (F)-1 ->d ; DECFSZ f,d Dec. F Skip if Zero,(F)-1 ->d, Skip if Zero ; GOTO k Branch ; INCF f,d Increment F, (F)+1 ->d ; INCFSZ f,d Inc. F Skip if Zero,(F)+1 ->d, Skip if Zero ; IORLW k OR Literal of W, (W) OR k->w ; IORWF f,d OR W with F, (W) OR (F)->d ; MOVLW k Move Literal to W, k -> W ; MOVF f,d Move F, (F)-> d ; MOVWF f Move W to F (W)->(F) ; NOP No Operation

51 ; RETFIE Return from intr. TOS->PC, 1->GIE ; RETLW k Return Literal to W TOS->PC, k->w ; RETURN k Return from Subrout. TOS->PC ; RLF f,d Rotate Left F with C,F.n->d.n+1, F.7->C, C->d.0 ; RRF f,d Rotate Righ F with C,F.n->d.n-1, F.0->C, C->d.7 ; SLEEP Go Sleep Mode ; SUBLW k Sub Literal from W, k- (W) -> W ; SUBWF f,d Sub W to F, (F)-(W) -> d ; SWAPF f,d Swap F, F.0:3->d.4:7, F.4:7->d.0:3 ; XORLW k ExOR Literal with W,(W) XOR k -> W ; XORWF f,d ExOR W with F, (W) XOR (F)-> d LIST P=16F877 ERRORLEVEL -302 ; THIS PROGRAM MAX CHECK RAM 2 BANK, ROM ~FFFH ; ; FILE DEFINITION ; ; BANK0 INDF EQU 00H ; Indirect Address (Reference FSR) TMR0 EQU 01H PC EQU 02H STATUS EQU 03H FSR EQU 04H ; File Select Register (Indirect Addr.) PORTA EQU 05H PORTB EQU 06H PORTC EQU 07H PORTD EQU 08H PORTE EQU 09H PCLATH EQU 0AH INTCON EQU 0BH PIR1 EQU 0CH PIR2 EQU 0DH TMR1L EQU 0EH TMR1H EQU 0FH T1CON EQU 10H TMR2 EQU 11H T2CON EQU 12H SSPBUF EQU 13H SSPCON EQU 14H CCPR1L EQU 15H CCPRLH EQU 16H CCP1CON EQU 17H

52 RCSTA EQU 18H TXREG EQU 19H RCREG EQU 1AH CCPR2L EQU 1BH CCPR2H EQU 1CH CCP2CON EQU 1DH ADRES EQU 1EH ADCON0 EQU 1FH ; BANK1 OPTIONR EQU 01H ; TRISA EQU 05H TRISB EQU 06H TRISC EQU 07H TRISD EQU 08H TRISE EQU 09H PIE1 EQU 8CH PIE2 EQU 8DH PCON EQU 8EH PR2 EQU 92H SSPADD EQU 93H SSPSTAT EQU 94H TXSTA EQU 98H SPBRG EQU 99H ADCON1 EQU 9FH ; ; USER DEFINE AREA ; SYS_SET BF1 TM_CNT GPIB_STATE INDEX IO_BUFFER ISR0_BYTE ISR1_BYTE GPIB_DAT GPIB_ADD NUM_P0 NUM_P1 NUM_P2 NUM_Q0 NUM_Q1 = 020H = 022H = 023H = 024H = 025H ; INDEX is an offset from the base address of the i/o buffer = 026H = 027H ; isr0_byte hold data from the nat9914 status regisiters which has = 028H ; yet been processed = 029H = 02AH = 02BH = 02CH = 02DH = 02EH = 02FH

53 NUM_Q2 NUM_R0 NUM_R1 NUM_R2 NUM_D0 NUM_D1 TEMP_R0 TEMP_R1 TEMP_R2 ;TxByte NUM_D2 PRESETH ADDRESS0 ADDRESS1 ADDRESS2 SPSR_BYTE = 030H = 031H = 032H = 033H = 034H = 035H = 038H = 039H = 03AH = 03BH = 03CH = 03DH = 03EH = 03FH = 036H = 037H INDEX1 CNT_ADD CNT03 = 040H = 042H = 041H PRESETL CHK_BIT1 CH_NUM TEMP1 RAM_DAT CNT0H CNT TEMP_W TEMP_STAT TEMP0 = 046H = 047H = 048H = 049H = 04AH = 04BH = 04CH = 04DH = 04EH = 04FH CNT0L = 050H CNT1 CNT_DAT CM_8254 = 052H = 053H = 054H TM1SEC NUM OLD0H OLD0L DIGIT0 = 056H = 057H = 058H = 059H = 05AH

54 DIGIT1 DIGIT2 DIGIT3 DIGIT4 DIGIT5 = 05BH = 05CH = 05DH = 05EH = 05FH DECIMAL_H DECIMAL_L CNT_DAT0 CNT_DAT1 TRIG_CS TRIG_ADD FSR_TMP FSR_IN BUFFER = 060H = 061H = 062H = 063H = 064H = 065H = 067H = 068H = 066H ; BANK1 ; ; BIT DEFINITION ; ; STATUS (03) RP0 EQU.5 TO EQU.4 PD EQU.3 ZF EQU.2 DC EQU.1 CF EQU.0 ; INTCON (0B) GIE EQU.7 ;all interrupt enable bit PEIE EQU.6 ;peripheral interrupt enable bit T0IE EQU.5 ;TMR0 interrupt enable bit INTE EQU.4 ;INT interrupt enable bit RBIE EQU.3 ;PORTB change intrrupt enable bit T0IF EQU.2 ;TMR0 overflow interrupt flag INTF EQU.1 ;INT interrup flag RBIF EQU.0 ;PORTB change interrupt flag ; PIE1 (8C) PSPIE EQU.7 ;paralle slave port interrupt enable bit ADIE EQU.6 ;ADC interrupt enable bit

55 RCIE EQU.5 ;serial communication interface receive TXIE EQU.4 ;serial communication interface transmit SSPIE EQU.3 ;synchronous serial port interrupt enable bit CCP1IE EQU.2 ;CCP1 interrup enable bit TMR2IE EQU.1 ;timer2 interrupt enable bit TMR1IE EQU.0 ;timer1 interrupt enable bit ; PIR1 (0C) PSPIF EQU.7 ;paralle slave port read/write(=1)interrupt flag ADIF EQU.6 ;ADC complete(=1) interrupt flag RCIF EQU.5 ;serial communication receive (1=buffer full) TXIF EQU.4 ;serial communication transmit(1=buffer empty) SSPIF EQU.3 ;synchronous serial port (1=TX/RX complete) CCP1IF EQU.2 ;capture1/compare1/pwm1 flag TMR2IF EQU.1 ;timer2 interrupt flag TMR1IF EQU.0 ;timer1 interrupt flag ; T1CON (10) T1CKPS1 EQU.5 ;TMR1 input clock prescale select (00=1 11:=8) T1CKPS0 EQU.4 ;TMR1 input clock prescale select LSB T1OSCEN EQU.3 ;TMR1 external oscillator(=1) T1NSYNC EQU.2 ;TMR1 external clock synchronization control TMR1CS EQU.1 ;TMR1 clock select (1=external/RC0 0:OSC/4) TMR1ON EQU.0 ;TMR1 on bit (1=enable 0:stop) ; TXSTA (98):TX state CSRC EQU.7 ;clock source in sync.mode 1:master 0:slave TX89 EQU.6 ;trans data length 1:9bit 0:8bit TXEN EQU.5 ;1:trans enable 0:disable SYNC EQU.4 ;1:syncronous mode 0:async. BRGH EQU.2 ;1:high baud rate 0:low TRMT EQU.1 ;1:TSR(trans shift register) empty 0:full TXD8 EQU.0 ;9'th bit ; TCSTA (18):RX state SPEN EQU.7 ;1:serial port enable 0:disable RC89 EQU.6 ;reception data length 1:9bit 0:8bit SREN EQU.5 ;don't care in async. CREN EQU.4 ;1:continuous receive enable 0:disable FERR EQU.2 ;1:framing err 0:no OERR EQU.1 ;1:overrun err 0:no RCD8 EQU.0 ;9'th bit

56 ; OPTION (81) PBPU EQU.7 ;portb pull up enable (1:dis 0:en) INTEDG EQU.6 ;interrup edge select (1:rising 0:falling) RTS EQU.5 ;TMR0 signal source (1:RA4 0:internal) RTE EQU.4 ;TMR0 signal edge (1:H-to-L 0:L-to-H) PSA EQU.3 ;prescaler assignment bit (1:WDT 0:TMR0) PS2 EQU.2 ;prescaler value MSB(000:TMR0=1:2 WDT=1:1) PS1 EQU.1 ;prescaler value MSB-1 PS0 EQU.0 ;prescaler value LSB ; DEFINITION FOR PORTA NDA_4 EQU.4 NDA_3 EQU.3 A18 EQU.2 A17 EQU.1 A16 EQU.0 ; DEFINITION FOR PORTB #DEFINE ADD_L PORTB,7 #DEFINE ADD_H PORTB,6 #DEFINE IO_SDA PORTB,5 #DEFINE IO_SCL PORTB,4 #DEFINE RAM2 PORTB,3 #DEFINE RAM1 PORTB,2 #DEFINE C8254 PORTB,1 ; DEFINITION FOR PORTC ; DEFINITION FOR PORTE #DEFINE CS #DEFINE WR #DEFINE RD PORTE,2 PORTE,1 PORTE,0 ; DEFINITION FOR SYS_SET NN7 EQU.7 ;0:numeric 1:character NN6 EQU.6 ; NN5 EQU.5 ; NN4 EQU.4 ; NN3 EQU.3 ; NN2 EQU.2 ; ON EQU.1 ; ONLINE=0, OFFLINE=1 COM EQU.0 ; SERIAL =0, GPIB=1

57 ; DEFINITION FOR CHK_BIT1 #DEFINE SIG #DEFINE N04 #DEFINE T1S #DEFINE BI_F #DEFINE SPACE #DEFINE N01 #DEFINE N02 #DEFINE N03 CHK_BIT1,7 CHK_BIT1,6 CHK_BIT1,5 CHK_BIT1,4 CHK_BIT1,3 CHK_BIT1,2 CHK_BIT1,1 CHK_BIT1,0 ; DEFINITION FOR GPIB_STATE I_STATE EQU.2 ; IDLE STATE R_STATE EQU.1 ; READING STATE W_STATE EQU.0 ; WRITING_STATE ; DEFINITION FOR ISR0_BYTE #DEFINE INT0 #DEFINE INT1 #DEFINE BI #DEFINE BO #DEFINE B_END #DEFINE SPAS #DEFINE RLC #DEFINE MAC ISR0_BYTE,7 ISR0_BYTE,6 ISR0_BYTE,5 ISR0_BYTE,4 ISR0_BYTE,3 ISR0_BYTE,2 ISR0_BYTE,1 ISR0_BYTE,0 ; DEFINITION FOR ISR1_BYTE GET EQU.7 ERR EQU.6 UNC EQU.5 APT EQU.4 DCAS EQU.3 MA EQU.2 SRQ EQU.1 IFC EQU.0 ; preset data ISR0 EQU 000H IMR0 EQU 000H ISR1 EQU 001H IMR1 EQU 001H

58 ADSR EQU 002H IMR2 EQU 002H EOSR EQU 002H BCR EQU 002H ACCR EQU 002H BSR EQU 003H AUXCR EQU 003H ISR2 EQU 004H ADR EQU 004H SPSR EQU 005H SPMR EQU 005H CPTR EQU 006H PPR EQU 006H DIR EQU 007H CDOR EQU 007H AUXMR EQU 005H NEWLINE EQU 00AH ; ; MACRO DEFINITION ; BANK0 MACRO BCF 3,5 ENDM BANK1 MACRO BSF 3,5 ENDM PAGE0 MACRO BCF BCF ENDM 0AH,3 0AH,4 PAGE1 MACRO BSF BCF ENDM 0AH,3 0AH,4 PAGE2 MACRO BCF BSF ENDM 0AH,3 0AH,4

59 PAGE3 MACRO BSF 0AH,3 BSF 0AH,4 ENDM ; INTRET MACRO SWAPF TEMP_STAT,W MOVWF STATUS SWAPF TEMP_W,F SWAPF TEMP_W,W RETFIE ENDM ; SUB16 MACRO BUF1,BUF2,BUF3,BUF4,BUF5,BUF6 LOCAL SB16 MOVF BUF4,W SUBWF BUF2,W MOVWF BUF6 MOVF BUF1,W MOVWF BF2 BTFSC STATUS,CF ;Skip if Carry=0(Borrow) GOTO SB16 DECF BF2,F SB16 MOVF BUF3,W SUBWF BF2,W MOVWF BUF5 ENDM ; ADD16 MACRO BUF1,BUF2,BUF3,BUF4,BUF5,BUF6 LOCAL AD16 MOVF BUF2,W ADDWF BUF4,W MOVWF BUF6 MOVF BUF1,W MOVWF BF2 BTFSS STATUS,CF ;Skip if Carry=1 GOTO AD16 INCF BF2,F AD16 MOVF BUF3,W ADDWF BF2,W MOVWF BUF5 ENDM ; BUF1*BUF2=BUF3(H),BUF4(L) MUL8 MACRO BUF1,BUF2,BUF3,BUF4 LOCAL MU8

60 CLRF BUF3 CLRF BUF4 MOVLW.8 MOVWF BUF5 ;counter=8 MOVF BUF1,W BCF STATUS,CF MU8 RRF BUF2,F BTFSC STATUS,CF ADDWF BUF3,F RRF BUF3,F RRF BUF4,F DECFSZ BUF5,F GOTO MU8 ENDM ; end of macro ; ; RESET & INTERRUPT VECTOR ; ORG 0 GOTO SIJAK ; PROGRAM START ORG 4 GOTO INT_RTN ; INTERRUPT ORG 5 GOTO SIJAK ; POWER ON RESET ; ; MAIN PROGRAM ; SIJAK ; Main routine 시작 BANK1 MOVLW B' ' ;OFF,TMR0=R4,WDT=32 rate (288mS/4MHz) MOVWF OPTIONR GOTO $+1 BANK0 MOVLW B' ' MOVWF INTCON GOTO $+1 MOVLW B' ' MOVWF PIR1 GOTO $+1 MOVLW B' ' ;TMR0,INT(B0) Interrupt enable ;interrupt flag clear

61 MOVWF T2CON MOVLW B' ' MOVWF T1CON GOTO $+1 ;TMR1=8 rate, 0bit=0:TMR1 disable BANK1 MOVLW B' ' MOVWF PIE1 CLRF PIE2 CLRF SSPADD CLRF SSPSTAT GOTO $+1 ;RS232receive,TMR1 interrupt enable ; TRIS REGISTER INITIALIZE BANK1 MOVLW B' ' ; INOUT TOCKI, OUT=RS,RW,E1 MOVWF TRISA GOTO $+1 ;2 CYCLE DELAY MOVLW B' ' ;ADD_L(7),ADD_H(6),IO_SDA(5),IO_SCL(4) MOVWF TRISB ;RAM2(3),RAM1(2),C8254(1),INT(0) GOTO $+1 MOVLW B' ' ; MOVWF TRISC GOTO $+1 MOVLW B' ' ;after toggle MOVWF TRISD GOTO $+1 MOVLW B' ' ; RD,RW,CS MOVWF TRISE GOTO $+1 MOVLW B' ' ; set porta data I/O MOVWF ADCON1 GOTO $+1 BANK0 CLRF ADCON0 ;internal ADConver off CLRF CCP1CON CLRF CCP2CON ; PORT REGISTER INITIALIZE CLRWDT BANK0 MOVLW B' ' MOVWF PORTA GOTO $+1 MOVLW B' ' ; ADD_L ADD_H IOSCA IOSCL RAM2 RAM MOVWF PORTB GOTO $+1

62 MOVLW B' ' MOVWF PORTC GOTO $+1 MOVLW B' ' MOVWF PORTD GOTO $+1 MOVLW B' ' MOVWF PORTE GOTO $+1 ;ALE(.2)=1, WR#(.1)=1, RD#(.0)=1 DL_1 ; HARDWARE SAFTY TIME (1mS Delay) CLRWDT CLRF CNT CLRWDT DECFSZ CNT,F GOTO DL_1 CLR_01 ; CLEAR RAM (20H-07FH) MOVLW 20H MOVWF FSR CLRWDT CLRF INDF INCF FSR,F BTFSS FSR,7 GOTO CLR_01 CLR_02 ; CLEAR RAM (0A0H-0FFH) MOVLW 0A0H MOVWF FSR CLRWDT CLRF INDF INCFSZ FSR,F GOTO CLR_02 BSF RAM1 BSF RAM2 BSF C8254 BCF ADD_L BCF ADD_H ;*************************************************************************** BCF SPACE BSF IO_SCL BCF SYS_SET,COM BANK1 BCF PIE1,TMR1IE ; timer1 overflow interupt enable bit BANK0

63 BCF INTCON,GIE ;all interrupt disable BCF BI_F BCF T1S BTFSC IO_SDA BSF SYS_SET,COM ; COMMUNICATION SELECT CALL INIT9914 ; initialize nat9914 CALL INIT8254 ; initialize 8254 CALL SIO_SET ; SRIAL SET CLRF INTCON BCF INTCON,INTF BSF INTCON,INTE BSF INTCON,GIE MOVLW 0X0B ;TNR1H; =53037(9E59H=0.2sec) MOVWF PRESETH ;TMR1L;4MHz/4/8=125000pulse/1sec(0.1=CF2D) MOVLW 0XEA MOVWF PRESETL MOVLW.2 MOVWF TM1SEC MOVLW.8 MOVWF CH_NUM ; DEFALUT VALUE ; 1 SEC MOVLW BUFFER MOVWF FSR_IN BSF PORTC,3 BSF PORTC,4 BSF PORTC,5 BCF BI BCF PIR1,TMR1IF ; timer1 flag clear BCF INTCON,INTF ; interupt flag clear BCF INTCON,T0IF ; timer0 flag clear BCF INTCON,T0IE ; timer0 interupt DISable BSF INTCON,INTE ; RB0 interupt enable BSF INTCON,PEIE ;peripheral interrupt enable (TMR1,SIO...) BANK1 BCF PIE1,TMR1IE ; timer1 overflow interupt enable bit BSF PIE1,RCIE BANK0 BSF INTCON,GIE ;all interrupt enable BCF T1CON,0 ;

64 IDL_LP PARSE CHSET ; IDLE LOOP START BTFSS BI_F GOTO IDL_LP BCF BI_F ; ; MOVLW BUFFER ; SEARCH FOR *IDN? MOVWF FSR MOVF INDF,W XORLW '*' BTFSS STATUS,ZF GOTO CHSET INCF FSR,F MOVF INDF,W XORLW 'I' BTFSS STATUS,ZF GOTO CHSET INCF FSR,F MOVF INDF,W XORLW 'D' BTFSS STATUS,ZF GOTO CHSET INCF FSR,F MOVF INDF,W XORLW 'N' BTFSS STATUS,ZF GOTO CHSET INCF FSR,F MOVF INDF,W XORLW '?' BTFSS STATUS,ZF GOTO CHSET CALL SEND_IDN GOTO CLR_BUF ; SEARCH FOR CHANNEL SET MOVLW BUFFER MOVWF FSR MOVF INDF,W XORLW 'C' BTFSS STATUS,ZF GOTO TIMESET INCF FSR,F MOVF INDF,W XORLW 'H' BTFSS STATUS,ZF

65 GOTO TIMESET INCF FSR,F MOVF INDF,W XORLW ':' BTFSS STATUS,ZF GOTO TIMESET INCF FSR,F MOVF INDF,W MOVWF CH_NUM MOVLW 0X30 SUBWF CH_NUM,F GOTO CLR_BUF ;******************************* TIMESET ; SEARCH FOR TIME SET MOVLW BUFFER MOVWF FSR MOVF INDF,W XORLW 'T' BTFSS STATUS,ZF GOTO SDCM INCF FSR,F MOVF INDF,W XORLW 'M' BTFSS STATUS,ZF GOTO SDCM TM00SEC INCF FSR,F MOVF INDF,W XORLW 'S' BTFSS STATUS,ZF GOTO TM01SEC GOTO TIME1SEC TM01SEC MOVF INDF,W XORLW 'M' BTFSS STATUS,ZF GOTO SDCM MOVLW.2 ; MOVWF TM1SEC ; 1 SEC MOVLW 0XFF MOVWF PRESETL MOVLW 0XFF MOVWF PRESETH INCF FSR,F

66 MOVF INDF,W XORLW ' ' BTFSS STATUS,ZF GOTO NEXT001 GOTO NEXT123 NEXT001 MOVF INDF,W MOVWF DECIMAL_H MOVLW 0X30 SUBWF DECIMAL_H,F BTFSS STATUS,ZF GOTO NN_10 GOTO NEXT123 ; DECIMAL_H - 0X30 NN_10 ; SUBTRACT 186A=6250 PULSE 186A pulse*2*8u sec/pulse= 0.1 sec MOVLW 0X6A SUBWF PRESETL,F ; PRESETL - 0X6A BTFSS STATUS,CF DECF PRESETH,F MOVLW 0X18 SUBWF PRESETH,F ; PRESETH - 0X18 DECFSZ DECIMAL_H,F ; 186A = 6250 PULSE GOTO NN_10 GOTO SKIP_S NEXT123 BSF SPACE SKIP_S INCF FSR,F MOVF INDF,W MOVWF DECIMAL_L XORLW ' ' BTFSS STATUS,ZF GOTO NEXT234 GOTO TIME1SEC NEXT234MOVLW 0X30 SUBWF DECIMAL_L,F BTFSS STATUS,ZF GOTO NN_01 BTFSC SPACE GOTO TIME1SEC GOTO CLR_BUF NN_01 ; SUBTRACT 0271=625 PULSE 0271 pulse*2*8u sec/pulse= 0.01 sec MOVLW 0X71 SUBWF PRESETL,F

67 BTFSS DECF STATUS,CF PRESETH,F MOVLW 0X02 SUBWF PRESETH,F DECFSZ DECIMAL_L,F GOTO NN_01 GOTO CLR_BUF ; 04E1 TIME1SEC BCF SPACE MOVLW 0X0B ; MOVWF PRESETH ; MOVLW 0XEA MOVWF PRESETL SDCM MOVLW.2 ; MOVWF TM1SEC ; 1 SEC GOTO CLR_BUF ;***************************************** ; SEARCH FOR 'SEND' MOVLW BUFFER MOVWF FSR MOVF INDF,W XORLW 'S' BTFSS STATUS,ZF GOTO END_SK INCF FSR,F MOVF INDF,W XORLW 'E' BTFSS STATUS,ZF GOTO END_SK INCF FSR,F MOVF INDF,W XORLW 'N' BTFSS STATUS,ZF GOTO END_SK INCF FSR,F MOVF INDF,W XORLW 'D' BTFSS STATUS,ZF GOTO END_SK GOTO SEND_SD GOTO CLR_BUF END_SK ; SEARCH FOR 'SEND'

68 MOVLW BUFFER MOVWF FSR MOVF INDF,W XORLW 'E' BTFSS STATUS,ZF GOTO CLR_BUF INCF FSR,F MOVF INDF,W XORLW 'N' BTFSS STATUS,ZF GOTO CLR_BUF INCF FSR,F MOVF INDF,W XORLW 'D' BTFSS STATUS,ZF GOTO CLR_BUF CALL INIT9914 CALL INIT8254 BANK1 BCF PIE1,TMR1IE ; timer1 overflow interupt DISABLE BANK0 BCF T1CON,0 CLR_BUF BCF SPACE MOVLW BUFFER MOVWF FSR_IN GOTO IDL_LP ; CLRF BUFFER ; INPUT START VALUE FROM C8254 SEND_SD ; MOVF TM1SEC,W MOVWF TM_CNT BCF PIR1,TMR1IF MOVF PRESETH,W MOVWF TMR1H MOVF PRESETL,W ; MOVWF TMR1L BANK1 BSF PIE1,TMR1IE ; timer1 overflow interupt enable bit BANK0 BSF T1CON,0 ; TIMER1 ON MOVF CH_NUM,W MOVWF CNT

69 CLRF CNT_ADD MOVLW 0XA0 MOVWF INDEX1 MOVLW SPSR CALL GPIB_RD MOVF GPIB_DAT,W MOVWF SPSR_BYTE ; GPIB 에의한송신준비 MOVLW SPMR BCF SPSR_BYTE,6 BSF SPSR_BYTE,4 MOVF SPSR_BYTE,W LP_INIT MOVLW AUXCR ; MOVLW 0X98 ; BCF CNT_ADD,1 ; COUNT0 BCF CNT_ADD,0 CALL RD_54 ; READ FROM 8254 MOVF INDEX1,W ; MOVWF FSR MOVF CNT_DAT0,W ; LOW BYTE -> RAM_DAT(INDEX1) MOVWF INDF INCF INDEX1,F ; INDEX1+1 MOVF INDEX1,W MOVWF FSR MOVF CNT_DAT1,W ; HIGH BYTE -> RAM_DAT(INDEX1+1) MOVWF INDF ; BCF CNT_ADD,1 ; COUNT1 BSF CNT_ADD,0 CALL RD_54 ; READ LOW BYTE INCF INDEX1,F MOVF INDEX1,W MOVWF FSR

70 MOVF CNT_DAT0,W MOVWF INDF ; LOW BYTE-> RAM_DAT(INDEX0+2) INCF INDEX1,F MOVF INDEX1,W MOVWF FSR MOVF CNT_DAT1,W MOVWF INDF ; BSF CNT_ADD,1 BCF CNT_ADD,0 CALL RD_54 ; COUNT2 ; HIGH BYTE-> RAM(INDEX0+3) INCF INDEX1,F MOVF INDEX1,W MOVWF FSR MOVF CNT_DAT0,W MOVWF INDF INCF INDEX1,F MOVF INDEX1,W MOVWF FSR MOVF CNT_DAT1,W MOVWF INDF INCF INDEX1,F MOVLW B' ' ADDWF CNT_ADD,F DECFSZ CNT,F GOTO LP_INIT ; INDEX0+6 ; LOW BYTE -> RAM(INDEX0+4) ; HIGH BYTE -> RAM( INDEX0+5) SEND_LP BTFSS T1S GOTO SEND_LP BCF T1S MOVF CH_NUM,W ; COUNTER LATCH COMMAND MOVWF CNT CLRF CNT_ADD LATCH MOVLW B' ' ; COUNTER LATCH MOVWF CM_8254 ; COMMAND BSF CNT_ADD,0 ; COUNTER0 BSF CNT_ADD,1 ; CALL WR_8254 ; MOVLW B' ' ; COUNTER LATCH MOVWF CM_8254 ; COMMAND BSF CNT_ADD,0 ; COUNTER1 BSF CNT_ADD,1

71 CALL WR_8254 ; MOVLW B' ' ; COUNTER LATCH MOVWF CM_8254 ; COMMAND BSF CNT_ADD,0 ; COUNTER2 BSF CNT_ADD,1 CALL WR_8254 MOVLW B' ' ADDWF CNT_ADD,F DECFSZ CNT,F GOTO LATCH LP2 ; ; READ COUNT VALUE FROM 8254 ; MOVF CH_NUM,W MOVWF CNT CLRF CNT_ADD MOVLW 0XA0 MOVWF INDEX1 ;;*************************************** BCF CNT_ADD,1 ; COUNT0 BCF CNT_ADD,0 ; CALL RD_54 ; READ FROM 8254 MOVF CNT_DAT0,W ; CNT_DAT0-> CNT0L MOVWF CNT0L MOVF CNT_DAT1,W ; CNT_DAT1-> CNT0H MOVWF CNT0H MOVF INDEX1,W ; DATA(INDEX1)-> OLD0L MOVWF FSR ; MOVF INDF,W ; MOVWF OLD0L ; MOVF CNT_DAT0,W ; CNT_DAT0 -> DATA(INDEX1) MOVWF INDF ; INCF INDEX1,F ; MOVF INDEX1,W ; MOVWF FSR ; DATA(INDEX1+1)-> OLD0H MOVF INDF,W ; MOVWF OLD0H ; MOVF CNT_DAT1,W ; CNT_DAT1 -> DATA(INDEX+1) MOVWF INDF ;

72 CALL SUB_DAT ; SUBTRACT MOVF CNT0H,W ; HEXA CONVERSION OF HIGH BYTE MOVWF NUM ; CALL HEX_CONV ; GPIB00 BTFSC SYS_SET,COM ; SERIAL=0 GOTO GPIB00 MOVF DIGIT1,W CALL TX_DAT MOVF DIGIT0,W CALL TX_DAT GOTO SERIAL00 CALL WAIT_BO MOVLW CDOR MOVF DIGIT1,W CALL WAIT_BO MOVLW CDOR MOVF DIGIT0,W SERIAL00 MOVF CNT0L,W MOVWF NUM CALL HEX_CONV GPIB01 BTFSC SYS_SET,COM GOTO GPIB01 MOVF DIGIT1,W CALL TX_DAT MOVF DIGIT0,W CALL TX_DAT GOTO COUNT1 CALL WAIT_BO MOVLW CDOR MOVF DIGIT1,W

73 CALL WAIT_BO MOVLW CDOR MOVF DIGIT0,W COUNT1 ;************************************************************ BCF CNT_ADD,1 ; COUNT1 BSF CNT_ADD,0 CALL RD_54 MOVF CNT_DAT0,W ; LOW BYTE-> RAM_DAT(INDEX0+2) MOVWF CNT0L MOVF CNT_DAT1,W MOVWF CNT0H INCF INDEX1,F MOVF INDEX1,W MOVWF FSR MOVF INDF,W ; HIGH BYTE-> RAM(INDEX0+3) MOVWF OLD0L MOVF CNT_DAT0,W MOVWF INDF INCF INDEX1,F MOVF INDEX1,W MOVWF FSR MOVF INDF,W MOVWF OLD0H MOVF CNT_DAT1,W MOVWF INDF CALL SUB_DAT MOVF CNT0H,W MOVWF NUM CALL HEX_CONV GPIB02 BTFSC GOTO MOVF CALL MOVF CALL GOTO SYS_SET,COM GPIB02 DIGIT1,W TX_DAT DIGIT0,W TX_DAT SERIAL02

74 CALL WAIT_BO MOVLW CDOR MOVF DIGIT1,W CALL WAIT_BO MOVLW CDOR MOVF DIGIT0,W SERIAL02 MOVF CNT0L,W MOVWF NUM CALL HEX_CONV GPIB03 BTFSC SYS_SET,COM GOTO GPIB03 MOVF DIGIT1,W CALL TX_DAT MOVF DIGIT0,W CALL TX_DAT GOTO COUNT2 CALL WAIT_BO MOVLW CDOR MOVF DIGIT1,W CALL WAIT_BO MOVLW CDOR MOVF DIGIT0,W COUNT2 ;******************************************************* BSF CNT_ADD,1 ; COUNT2 BCF CNT_ADD,0 CALL RD_54 MOVF CNT_DAT0,W ; LOW BYTE -> RAM(INDEX0+4)

75 MOVWF CNT0L MOVF CNT_DAT1,W MOVWF CNT0H INCF INDEX1,F MOVF INDEX1,W MOVWF FSR MOVF INDF,W MOVWF OLD0L MOVF CNT_DAT0,W MOVWF INDF INCF INDEX1,F MOVF INDEX1,W MOVWF FSR MOVF INDF,W MOVWF OLD0H MOVF CNT_DAT1,W MOVWF INDF CALL SUB_DAT MOVF CNT0H,W MOVWF NUM CALL HEX_CONV GPIB04 BTFSC SYS_SET,COM GOTO GPIB04 MOVF DIGIT1,W CALL TX_DAT MOVF DIGIT0,W CALL TX_DAT GOTO SERIAL04 CALL WAIT_BO MOVLW CDOR MOVF DIGIT1,W CALL WAIT_BO MOVLW CDOR MOVF DIGIT0,W

76 SERIAL04 MOVF CNT0L,W MOVWF NUM CALL HEX_CONV BTFSC SYS_SET,COM GOTO GPIB05 MOVF DIGIT1,W CALL TX_DAT MOVF DIGIT0,W CALL TX_DAT GOTO NEXT_SS GPIB05 CALL WAIT_BO MOVLW CDOR MOVF DIGIT1,W CALL WAIT_BO MOVLW CDOR MOVF DIGIT0,W NEXT_SS INCF INDEX1,F ; INDEX0+6 MOVLW B' ' ADDWF CNT_ADD,F DECFSZ CNT,F GOTO LP2 BTFSC SYS_SET,COM GOTO GPIB06 MOVLW 0X0A CALL TX_DAT GOTO ENDOOP GPIB06 CALL WAIT_BO MOVLW CDOR MOVLW 0X0A ENDOOP BTFSS BI_F

77 GOTO SEND_LP BCF BI_F GOTO PARSE ; ; SUBROUTINE ; time delay ; ; mS delay WAIT256 CLRF CNT1 CLRF CNT WAIT_1 CLRWDT DECFSZ CNT,F GOTO WAIT_1 DECFSZ CNT1,F GOTO WAIT_1 RETURN SIO_SET ; serial port set BANK1 MOVLW B' ' MOVWF TXSTA MOVLW.12 MOVWF SPBRG BANK0 MOVLW B' ' MOVWF RCSTA RETURN ; enable, BRGH=1(high baud) ;BAUD=19200(err=0.16%)/4MHz TX_DAT ; data transmission to serial port BCF PIR1,TXIF MOVWF TXREG ;transmit start TXL1 CLRWDT BTFSS PIR1,TXIF GOTO TXL1 RETURN ; ; NUMERIC SUBROUTINE ; ; 24 BIT DIVIDE 24 비트나눗셈알고리즘 ; ; divid ; PDIV NUM_Q

78 ; NUM_D ; NUM_P ; ; NUM_R ; DIVIDEND ; NUM_P2, NUM_P1, NUM_P0 ; QUATIANT ; NUM_Q2, NUM_Q1, NUM_Q0 ; REMNAT : NUM_R2, NUM_R1, NUM_R0 ; DIVIDER : NUM_D2, NUM_D1, NUM_D0 DIV16BIT CLRF NUM_R0 CLRF NUM_R1 CLRF NUM_R2 CLRF NUM_Q0 CLRF NUM_Q1 CLRF NUM_Q2 MOVLW.24 MOVWF CNT DIV_1 BCF STATUS,CF RLF NUM_P0,F RLF NUM_P1,F RLF NUM_P2,F RLF NUM_R0,F RLF NUM_R1,F RLF NUM_R2,F MOVF NUM_R0,W MOVWF TEMP_R0 MOVF NUM_R1,W MOVWF TEMP_R1 MOVF NUM_R2,W MOVWF TEMP_R2 MOVF NUM_D0,W ; NUM_R0-NUM_D0-> NUM_R0 SUBWF TEMP_R0,F ; R0- D0-> R0 BTFSS STATUS,CF ; BORROW CHECK GOTO BORROW_Y1 ; CF=0 MOVF NUM_D1,W GOTO SUB_NEXT1 BORROW_Y1 INCF NUM_D1,W SUB_NEXT1 SUBWF TEMP_R1,F ; TEMP_R1-NUM_D1-> TEMP_R1 BTFSS STATUS,CF ; BORROW CHECK GOTO BORROW_Y2 MOVF NUM_D2,W

79 GOTO SUB_NEXT2 BORROW_Y2 INCF NUM_D2,W SUB_NEXT2 SUBWF TEMP_R2,F BTFSS STATUS,CF ; BORROW CHECK GOTO NEG ; CF =0 BORROW HAPPEN BSF STATUS,CF ; CF =1 BORROW NOT HAPPEN RLF NUM_Q0,F RLF NUM_Q1,F RLF NUM_Q2,F MOVF TEMP_R0,W MOVWF NUM_R0 MOVF TEMP_R1,W MOVWF NUM_R1 MOVF TEMP_R2,W MOVWF NUM_R2 GOTO DIV_NEXT NEG BCF STATUS,CF ; NUM_R-NUM_D <0 RLF NUM_Q0,F RLF NUM_Q1,F RLF NUM_Q2,F DIV_NEXT DECFSZ CNT,F GOTO DIV_1 RETURN ASCII_CONV ; 2진수 data를 10진 ASCII data로변환 BCF SIG MOVLW 0X01 ; MOVWF NUM_D2 ; =186A0H MOVLW 0X86 ; MOVWF NUM_D1 MOVLW 0XA0 MOVWF NUM_D0 CALL DIV16BIT MOVLW 0X30 ADDWF NUM_Q0,W MOVWF DIGIT5 MOVLW '0' XORWF DIGIT5,W

80 BTFSS STATUS,ZF GOTO N2710H MOVLW ' ' BTFSS SIG MOVWF DIGIT5 GOTO Y2710H N2710H BSF SIG Y2710H MOVLW 0X00 ; MOVWF NUM_D2 ; =2710H MOVLW 0X27 MOVWF NUM_D1 MOVLW 0X10 MOVWF NUM_D0 MOVF NUM_R0,W MOVWF NUM_P0 MOVF NUM_R1,W MOVWF NUM_P1 MOVF NUM_R2,W MOVWF NUM_P2 CALL DIV16BIT MOVLW ADDWF MOVWF MOVLW XORWF BTFSS GOTO MOVLW BTFSS MOVWF GOTO N03E8H BSF Y03E8H 0X30 NUM_Q0,W DIGIT4 '0' DIGIT4,W STATUS,ZF N03E8H ' ' SIG DIGIT4 Y03E8H SIG MOVLW 0X00 ; MOVWF NUM_D2 ; 1000= 03E8H MOVLW 0X03 MOVWF NUM_D1 MOVLW 0XE8 MOVWF NUM_D0 MOVF NUM_R0,W MOVWF NUM_P0 MOVF NUM_R1,W

81 MOVWF NUM_P1 MOVF NUM_R2,W MOVWF NUM_P2 CALL DIV16BIT MOVLW 0X30 ADDWF NUM_Q0,W MOVWF DIGIT3 MOVLW '0' XORWF DIGIT3,W BTFSS STATUS,ZF GOTO N64H MOVLW ' ' BTFSS SIG MOVWF DIGIT3 GOTO Y64H N64H BSF SIG Y64H MOVLW 0X00 ; MOVWF NUM_D2 ; 100 = 64H MOVLW 0X00 MOVWF NUM_D1 MOVLW 0X64 MOVWF NUM_D0 MOVF NUM_R0,W MOVWF NUM_P0 MOVF NUM_R1,W MOVWF NUM_P1 MOVF NUM_R2,W MOVWF NUM_P2 CALL DIV16BIT MOVLW 0X30 ADDWF NUM_Q0,W MOVWF DIGIT2 MOVLW '0' XORWF DIGIT2,W BTFSS STATUS,ZF GOTO N10H MOVLW ' ' BTFSS SIG MOVWF DIGIT2 GOTO Y10H N10H BSF SIG Y10H

82 MOVLW 0X00 ; MOVWF NUM_D2 MOVLW 0X00 MOVWF NUM_D1 MOVLW.10 MOVWF NUM_D0 MOVF NUM_R0,W MOVWF NUM_P0 MOVF NUM_R1,W MOVWF NUM_P1 MOVF NUM_R2,W MOVWF NUM_P2 CALL DIV16BIT MOVLW 0X30 ADDWF NUM_Q0,W MOVWF DIGIT1 MOVLW '0' XORWF DIGIT1,W BTFSS STATUS,ZF GOTO N1H MOVLW ' ' BTFSS SIG MOVWF DIGIT1 GOTO Y1H N1H BSF SIG Y1H ; MOVF NUM_R0,W MOVLW 0X30 ADDWF NUM_R0,W MOVWF DIGIT0 RETURN SUB_DAT ; SUBTRACT CNT FROM OLD DATA MOVF CNT0L,W SUBWF OLD0L,W ; OLD0L - CNT0L-> CNT0L MOVWF CNT0L BTFSS STATUS,CF ; DECF OLD0H,F ; OLD0H-1 MOVF CNT0H,W SUBWF OLD0H,W ; OLD0H- CNT0H -> CNT0H

83 MOVWF CNT0H RETURN HEX_CONV ; 2진 data를 HEXA ASCII data로변환 SWAPF NUM,W ANDLW B' ' MOVWF NUM_P1 MOVF NUM_P1,W SUBLW.9 ;9 - HEX BTFSS STATUS,CF GOTO H40H MOVF NUM_P1,W ADDLW 0X30 MOVWF DIGIT1 GOTO HEX2 H40H MOVF NUM_P1,W ADDLW 0X37 MOVWF DIGIT1 HEX2 H40L NEXT_H MOVF NUM,W ANDLW B' ' MOVWF NUM_P1 MOVF NUM_P1,W SUBLW.9 BTFSS STATUS,CF GOTO H40L MOVF NUM_P1,W ADDLW 0X30 MOVWF DIGIT0 GOTO NEXT_H MOVF NUM_P1,W ADDLW 0X37 MOVWF DIGIT0 RETURN ;**************************************************** ; EXTERNAL interface subroutine ;**************************************************** ; I2C SUBROUTINE I2C_SEND MOVWF BF1

84 IC_1 MOVLW.8 MOVWF CNT BTFSC BF1,7 BSF IO_SDA BTFSS BF1,7 BCF IO_SDA BSF IO_SCL RLF BF1 BCF IO_SCL DECFSZ CNT GOTO IC_1 RETLW 0 ; GPIB SUBROUTINE INIT9914 ; initialize nat9914 GPIB 초기화프로그램 MOVLW AUXMR ; SWITCH TO 9914 MODE MOVLW 0X15 ;sw9914=0x15) MOVLW AUXCR ; page in accessory registor ; auxcr =3 MOVLW 0X1C ; OX1C = chip reset, clear page in registor ;---- clear status registor CLRF ISR0 CLRF ISR1 ; set clock speed MOVLW AUXCR ; page in accessory registor ; auxcr =3 MOVLW 0X9F MOVLW ACCR ; ; MOVLW B' ' ; ICR 4Mhz icr MOVLW AUXCR ; clear page in resistor

85 MOVLW 0X9C ; MOVLW AUXCR ; MOVLW 0X97 ; set very short T1 delay (~vstdl) ; enable interrupt MOVLW IMR0 ; INTERUPT MASK 0 SET MOVLW B' ' ;MOVLW B' ' ; MOVLW IMR1 ; INTERUPT MASK 1 SET MOVLW B' ' ;MOVLW B' ' ; MOVLW AUXCR ; page in interupt mask resistor2 ; MOVLW 0X1E ;(piimr2) MOVLW IMR2 MOVLW B' ' ; clear global interupt bit MOVLW AUXCR ; CLEAR PAGE IN REGISTOR MOVLW 0X9C ; configure the newline character to end imcoming and outgoing MOVLW AUXCR ; auxcr=3

86 MOVLW 0X9E ; (pieosr) page in end of string resistor MOVLW EOSR ; esor=2 MOVLW NEWLINE ; ; MOVLW AUXCR ; auxcr=3 MOVLW 0X9C ; clear page in resistor ; MOVLW AUXCR ; page in accessory registor MOVLW 0X9F ; MOVLW ACCR MOVLW B' ' ;accra MOVLW AUXCR ; clear page in resistor MOVLW 0X9C ; c_piaccr ; set inv active low MOVLW AUXCR ; page in accessory registor ; auxcr =3 MOVLW 0X9F MOVLW ACCR ; ; MOVLW B' ' ; ICR 4Mhz

87 MOVLW AUXCR ; clear page in resistor MOVLW 0X9C ; set the gpib address of the device MOVLW ADR MOVLW 0X02 ; set gpib address ; enable the device to recive data and command MOVLW AUXCR MOVLW 0X00 ; (c_nswrst) clear software reset RETURN ;GPIB WRITE*********************************** GPIB_WR ; p16f74(data) -> nat9914 BCF RD BANK1 CLRF TRISD BANK0 BCF WR ; write enable MOVF GPIB_ADD,W MOVWF PORTD BSF ADD_L BCF ADD_L MOVF GPIB_DAT,W MOVWF PORTD BCF CS ; chip enable BSF CS ; chip disable BSF WR ; write disable BSF RD RETURN GPIB_RD ; nat9914(data) -> p16f74 BCF RD BANK1 CLRF TRISD BANK0 MOVF GPIB_ADD,W MOVWF PORTD BSF ADD_L

88 BCF ADD_L BANK1 MOVLW 0FFH MOVWF TRISD BANK0 BSF RD ; enable dbin BCF CS ; chip enable MOVF PORTD,W ; portd-> w ; w-> gpib_dat BSF CS ; chip disable BCF RD ; disable dbin BANK1 CLRF TRISD BANK0 BSF RD RETURN WAIT_BO ; MOVLW ISR0 ; ISR0 register를읽어온다음 ; Byte out(bo) bit 가 set 되어있는지확인하여 CALL GPIB_RD ; BO가 set 되어있지않으면대기되어있으면 return MOVF GPIB_DAT,W MOVWF ISR0_BYTE BTFSS BO GOTO WAIT_BO RETURN SEND_IDN ; Query *IDN? 에대해응답하기위한 subroutine MOVLW SPSR ; Serial Poll Status Register - 데이터전송을위한준비시작 ; 첫번재단계로현재 nat9914의 spsr상태를 check CALL GPIB_RD ; 하여 SPSR_BYTE에저장 MOVF GPIB_DAT,W MOVWF SPSR_BYTE MOVLW SPMR ; ; BCF SPSR_BYTE,6 ; clear request service bit BSF SPSR_BYTE,4 ; SET Message Available bit MOVF SPSR_BYTE,W MOVLW AUXCR ; MOVLW 0X98 ; Set Request Service bit 2 (RSV2)

89 CALL WAIT_BO ; 데이터전송준비끝. MOVLW CDOR ; 데이터를 CDOR register에전송시작 MOVLW 'K' CALL WAIT_BO MOVLW CDOR MOVLW 'T' CALL WAIT_BO MOVLW CDOR MOVLW 'G' CALL WAIT_BO MOVLW CDOR MOVLW '-' CALL WAIT_BO MOVLW CDOR MOVLW 'D' CALL WAIT_BO MOVLW CDOR MOVLW 'A'

90 CALL WAIT_BO MOVLW CDOR MOVLW 'Q' CALL WAIT_BO MOVLW CDOR MOVLW 0X0A RETURN ; ************************************************************* ; RAM SUBROUTINE ;************************************************************** RD_RAM BSF RD BANK1 CLRF TRISD BANK0 MOVF ADDRESS0,W MOVWF PORTD BSF ADD_L BCF ADD_L MOVF ADDRESS1,W MOVWF PORTD BSF ADD_H BCF ADD_H MOVF ADDRESS2,W MOVWF PORTA BANK1 MOVLW 0FFH MOVWF TRISD ;make PORTD input BANK0 BTFSC ADDRESS2,3 GOTO H_ADDR BCF RAM1 ; chip enable BCF RD ; read enable MOVF PORTD,W ;read data and move to W MOVWF RAM_DAT BSF RD ; read disable BSF RAM1 ; chip disable GOTO END_R

91 H_ADDR BCF RAM2 ; chip enable BCF RD ; read enable MOVF PORTD,W ;read data and move to W MOVWF RAM_DAT BSF RD ; read disable BSF RAM2 ; chip disable END_R RETURN ;CHANNEL ADDRESS WRITE write (source<-adata) ; WR_RAM BSF WR BANK1 ; CLRF TRISD ; MAKE PORTD OUTPUT BANK0 MOVF ADDRESS0,W MOVWF PORTD BSF ADD_L BCF ADD_L MOVF ADDRESS1,W MOVWF PORTD BSF ADD_H BCF ADD_H MOVF ADDRESS2,W MOVWF PORTA BTFSC ADDRESS2,3 ; GOTO HW_ADD BCF RAM1 ; chip enable MOVF RAM_DAT,W ; 8 BIT DATA MOVWF PORTD BCF WR ; write enable BSF WR ; write disable BSF RAM1 ; chip disable GOTO END_W HW_ADD BCF RAM2 ; chip enable MOVF RAM_DAT,W ; 8 BIT DATA MOVWF PORTD BCF WR ; write enable BSF WR ; write disable BSF RAM2 ; chip disable END_W BANK1 MOVLW 0XFF MOVWF TRISD

92 BANK0 RETURN INC_ADD MOVLW.1 ADDWF ADDRESS0,F BTFSS STATUS,CF GOTO END_INC MOVLW.1 ADDWF ADDRESS1,F BTFSS STATUS,CF GOTO END_INC INCF ADDRESS2,W ANDLW B' ' MOVWF ADDRESS2 END_INC RETURN RD_54 ;************************************************************ ; 8254 SUBROUTINE BSF WR BANK1 CLRF TRISD ;make PORTD OUT PUT BANK0 MOVF CNT_ADD,W MOVWF PORTD BSF ADD_L BCF ADD_L BANK1 MOVLW 0FFH MOVWF TRISD ;make PORTD input BANK0 BCF C8254 ; chip enable BCF RD ; read enable MOVF PORTD,W ;read data and move to W MOVWF CNT_DAT0 BSF RD ; read disable BCF RD ; read enable MOVF PORTD,W ;read data and move to W MOVWF CNT_DAT1 BSF RD BSF C8254 ; chip disable RETURN ;CHANNEL ADDRESS WRITE write (source<-adata)

93 ; ; input data ; 8254cm command ; WR_8254 BSF RD BANK1 ; CLRF TRISD ; MAKE PORTD OUTPUT BANK0 MOVF CNT_ADD,W MOVWF PORTD BSF ADD_L BCF ADD_L ; ADDRESS LATCH BCF C8254 ; chip enable MOVF CM_8254,W ; 8254 command Set MOVWF PORTD BCF WR ; write enable BSF WR ; write disable BSF C8254 ; chip disable BANK1 MOVLW 0XFF MOVWF TRISD BANK0 RETURN INIT8254 MOVF CH_NUM,W MOVWF CNT CLRF CNT_ADD LP3 MOVLW B' ' ; set counter 0 as mode0 MOVWF CM_8254 BSF CNT_ADD,0 ; command BSF CNT_ADD,1 ; CALL WR_8254 MOVLW 0XFF ; initial value of lsb MOVWF CM_8254 BCF CNT_ADD,0 ; counter0 BCF CNT_ADD,1 CALL WR_8254 MOVLW 0XFF ; msb MOVWF CM_8254 BCF CNT_ADD,0 BCF CNT_ADD,1

94 CALL WR_8254 ; MOVLW 0X70 ; set counter 1 as mode0 MOVWF CM_8254 BSF CNT_ADD,0 ; BSF CNT_ADD,1 CALL WR_8254 MOVLW 0XFF MOVWF CM_8254 BSF CNT_ADD,0 BCF CNT_ADD,1 CALL WR_8254 ; LSB MOVLW 0XFF ; MSB MOVWF CM_8254 BSF CNT_ADD,0 BCF CNT_ADD,1 CALL WR_8254 ; MOVLW 0XB0 ; set counter 2 as mode0 MOVWF CM_8254 BSF CNT_ADD,0 BSF CNT_ADD,1 CALL WR_8254 MOVLW 0XFF MOVWF CM_8254 BSF CNT_ADD,1 BCF CNT_ADD,0 CALL WR_8254 MOVLW 0XFF MOVWF CM_8254 BSF CNT_ADD,1 BCF CNT_ADD,0 CALL WR_8254 MOVLW B' ' ADDWF CNT_ADD,F DECFSZ CNT,F GOTO LP3 RETURN ; ; INTERRUPT SERVICE ROUTINE

95 ; INT_RTN MOVWF TEMP_W ; W-> TEMP_W SWAPF STATUS,W MOVWF TEMP_STAT BTFSC PIR1,TMR1IF GOTO TM1INT BTFSC INTCON,INTF ; GPIB INT GOTO GPIB_INT BTFSC INTCON,T0IF ; TIMER1 INT GOTO TMR0_INT BTFSC PIR1,RCIF GOTO SERIAL BTFSC INTCON,RBIF GOTO RBCNG_INT INTRET TMR0_INT BCF INTCON,T0IF INTRET TM1INT BCF PIR1,TMR1IF MOVF PRESETH,W MOVWF TMR1H MOVF PRESETL,W MOVWF TMR1L DECFSZ TM_CNT,F GOTO END_TM1 BSF T1S MOVF TM1SEC,W MOVWF TM_CNT END_TM1 INTRET RBCNG_INT BCF INTCON,RBIF INTRET SERIAL BCF PIR1,RCIF MOVF FSR_IN,W MOVWF FSR MOVF RCREG,W MOVWF INDF INCF FSR_IN,F XORLW 0X0A BTFSS STATUS,ZF

96 GOTO END_SER BSF BI_F MOVLW BUFFER MOVWF FSR_IN END_SER INTRET GPIB_INT ; nat9914 interupt subroutine BCF INTCON,INTF MOVLW ISR0 ; check interupt source CALL GPIB_RD MOVF GPIB_DAT,W MOVWF ISR0_BYTE ; BTFSC BI GOTO BYTE_IN BYTE_IN MOVF FSR_IN,W MOVWF FSR MOVLW DIR CALL GPIB_RD MOVF GPIB_DAT,W MOVWF INDF INCF FSR_IN,F BCF BI ; gpib reading state BTFSS B_END GOTO INT_RET BSF BI_F BCF B_END MOVLW BUFFER MOVWF FSR_IN INTRET BYTE_OUT INTRET ERR_STATE INTRET INT_RET INTRET END ; end of program ; gpib writing state

97

98

99

100

101

102

103

Real Time In-Circuit Emulator for MICROCHIP PIC16CXX

Real Time In-Circuit Emulator for MICROCHIP PIC16CXX CHAPTER 1 MR.PIC-IDE 1. MR.PIC-IDE... 2. MR.PIC-IDE 3. MR.PIC-IDE 4. MR.PIC-IDE 2. MR.PIC CHAPTER 2 1. MR.PIC 3`. MR.PIC 4. MR.PIC 5.... 6. MR.PIC CHAPTER 3 MR.PIC-IDE 1. MR.PIC-IDE MR.PIC-IDE 2.

More information

HWP Document

HWP Document KSControl.com TITLE 'Middle ware UART PIC16C711' LIST P=16C711 INCLUDE "P16C711.INC" [OSC=HS 4MHz OSC,WDT=ON] [ KAESUNG CONTROL_Jong-Kyoon Lee / www.kscontrol.com ] ===============================================

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

Microsoft Word - elcd_manual.doc

Microsoft Word - elcd_manual.doc ELCD Series. 제품의개요 본제품은시리얼방식으로 LCD 를제어할수있는 시리얼영문 LCD 모듈 입니다. 기존의 LCD 모듈은 가닥정도의많은선과, 복잡한제어방식을이해해야만사용할수있는 병렬접속 방식이었지만, 본제품은단한선으로시리얼방식의데이터를송신하여, LCD 상에글자를표현할수있는방식으로, LCD 모듈에대한구체적인이해없이도손쉽게사용할수있도록만든제품입니다..

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

21993A.book

21993A.book 데이터쉬트 28/40 핀, 8 비트 CMOS ROM 마이크로콘트롤러 2006 마이크로칩테크놀로지 DS21993A_KR 마이크로칩디바이스의코드프로텍트기능대하여아래사항을참조할것 :: 마이크로칩에서생산되는제품들은각각의데이터쉬트에포함된스펙을충족시키고있다. 마이크로칩은시장에서정상적인방법과조건에서마이크로칩제품이사용되었을때가장안정적일것으로생각하고있다. 코드프로텍션을깨트리기위한비도적적이고불법적인방법들이있다.

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

歯설명서_020925_.PDF

歯설명서_020925_.PDF GMPC-III (Protocol Converter-III) USER S MANUAL 1 1 1 4 2 5 2.1 5 2.2 5 2.3 6 3 7 3.1 7 4 8 4.1 8 4.2 8 5 GMPC-III 9 5.1 9 5.2 I-NET 9 5.3 ( ) 9 5.4 ( ) ( ) 10 6 12 6.1 12 7 GMPC-III 13 7.1 CPU 13 7.2

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

°ø±â¾Ð±â±â

°ø±â¾Ð±â±â 20, 30, 40 20, 30, 40 1 2 3 4 5 6 7 8 9 10 3.1 6.3 9.4 12.6 15.7 18.8 22.0 25.1 28.3 31.4 2.4 4.7 7.1 9.4 11.8 14.1 16.5 18.8 21.2 23.6 7.1 14.1 21.2 28.3 35.3 42.4 49.5 56.5 63.6 70.7 5.9 11.9 17.8 23.7

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1 SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 100) 1 Contents 1 INTRODUCTION 2 PROTOCOL FRAME OUTLINE 3 FUNCTION FIELD 4 DATA FIELD 5 CRC CHECK 6 FUNCTION EXAM 7 EXCEPTION RESPONSE 8 I/O STATUS

More information

歯메뉴얼v2.04.doc

歯메뉴얼v2.04.doc 1 SV - ih.. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 - - - 23 24 R S T G U V W P1 P2 N R S T G U V W P1 P2 N R S T G U V W P1 P2 N 25 26 DC REACTOR(OPTION) DB UNIT(OPTION) 3 φ 220/440 V 50/60

More information

CPX-E-SYS_BES_C_ _ k1

CPX-E-SYS_BES_C_ _ k1 CPX-E 8727 27-7 [875294] CPX-E-SYS-KO CODESYS, PI PROFIBUS PROFINET (). :, 2 Festo CPX-E-SYS-KO 27-7 ... 5.... 5.2... 5.3... 5.4... 5.5... 5 2... 6 2.... 6 2..... 6 2..2 CPX-E... 7 2..3 CPX-E... 9 2..4...

More information

FARA PLC N70plus 시스템 사용자 메뉴얼

FARA PLC N70plus 시스템 사용자 메뉴얼 FARA PLC N70plus FARA PLC N70plus FARA PLC N70plus FARA PLC N70plus RUN h P U S H h i RUN PROG. ERROR COMM1 COMM2 REMOTE PROG. INITIALIZE (CPL9216A) (CPL9215A) FARA PLC N70plus CPL9215A CPL9216A CPL93023

More information

untitled

untitled CAN BUS RS232 Line CAN H/W FIFO RS232 FIFO CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter PROTOCOL Converter CAN2RS232 Converter Block Diagram > +- syntax

More information

Microsoft PowerPoint - PICkit2 Hands-On Training.ppt

Microsoft PowerPoint - PICkit2 Hands-On Training.ppt 마이크로칩 2005 년부산세미나 Class 6 : PICkit2 Hands-On Training Slide 1 Agenda 마이크로칩회사소개마이크로컨트롤러와 PIC MCU 개요 PICmicro MCU 명령어구조데이터시트 & 라인카드개요마이크로칩개발환경 MPLAB IDE & PICKIT2 사용법 Hand-On Labs Slide 2 Agenda for Hands-on

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

CPX-E-PB_BES_C_ _ k1

CPX-E-PB_BES_C_ _ k1 CPX-E CPX-E-PB PROFIBUS DP 8723 27-7 [87536] CPX-E-PB CPX-E-PB-KO PI PROFIBUS PROFINET (). :, 2 Festo CPX-E-PB-KO 27-7 CPX-E-PB... 4.... 4.2... 4.3... 4.4... 5.5... 5 2... 6 2.... 6 2..... 6 2..2... 6

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

Korean 654x Quick Start Guide

Korean 654x Quick Start Guide é l Agilent DC u ê¹ 654xA. 655xA. 657xA 664xA, 665xA. 667xA, 668xA Agilent Technologies Agilent ã É 5961-5163 Microfiche Ï É 5961-xxxx 2000 6 ÅxùÞ ãÿ ô ö ó Ç ô üè Í ž sùþ ö. üè Í ß Þ ù Ÿ st Û ô s ßs, Æ

More information

Microsoft Word - CL5000,5500_KOR_UM_20110321_.doc

Microsoft Word - CL5000,5500_KOR_UM_20110321_.doc 2 차 례 1. 주의 사항... 8 1.1 취급주의... 8 2. Specification... 10 2.1 소개... 10 2.2 규격... 12 3. 명칭과 기능... 14 3.1 CL 5000 - P Type... 14 3.2 기본 설치... 18 3.3 표시부... 19 3.4 기능키... 20 3.5 라벨롤의 설치... 24 4. PROGRAMMING...

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

untitled

untitled Embedded System Lab. II Embedded System Lab. II 2 RTOS Hard Real-Time vs Soft Real-Time RTOS Real-Time, Real-Time RTOS General purpose system OS H/W RTOS H/W task Hard Real-Time Real-Time System, Hard

More information

HX - Operation Manual MC / TC / CUT / QT HX Series(V2.x) Operation Manual for MC / TC / CUT / QT CSCAM

HX - Operation Manual MC / TC / CUT / QT HX Series(V2.x) Operation Manual for MC / TC / CUT / QT CSCAM HX - Operation Manual MC / TC / CUT / QT HX Series(V2.x) Operation Manual for MC / TC / CUT / QT CSCAM HX - Operation Manual MC / TC / CUT / QT 1. MDI I/O 1.1 MDI unit 1.2 (SOFT KEY) 1.3 (RESET KEY) 1.4

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

AN_0005B_UART

AN_0005B_UART CANTUS-CAN - UART - 32bits EISC Microprocessor CANTUS Ver 1.1 April 24, 213 Advanced Digital Chips Inc. Ver 1.1 CANTUS Application Note History 213-2-19 Released 213-4-24 Modified CANTUS-CAN CANTUS-CAN

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

2. GCC Assembler와 AVR Assembler의차이 A. GCC Assembler 를사용하는경우 i. Assembly Language Program은.S Extension 을갖는다. ii. C Language Program은.c Extension 을갖는다.

2. GCC Assembler와 AVR Assembler의차이 A. GCC Assembler 를사용하는경우 i. Assembly Language Program은.S Extension 을갖는다. ii. C Language Program은.c Extension 을갖는다. C 언어와 Assembly Language 을사용한 Programming 20011.9 경희대학교조원경 1. AVR Studio 에서사용하는 Assembler AVR Studio에서는 GCC Assembler와 AVR Assmbler를사용한다. A. GCC Assembler : GCC를사용하는경우 (WinAVR 등을사용하는경우 ) 사용할수있다. New Project

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

-. Data Field 의, 개수, data 등으로구성되며, 각 에따라구성이달라집니다. -. Data 모든 의 data는 2byte로구성됩니다. Data Type는 Integer, Float형에따라다르게처리됩니다. ( 부호가없는 data 0~65535 까지부호가있는

-. Data Field 의, 개수, data 등으로구성되며, 각 에따라구성이달라집니다. -. Data 모든 의 data는 2byte로구성됩니다. Data Type는 Integer, Float형에따라다르게처리됩니다. ( 부호가없는 data 0~65535 까지부호가있는 Dong Yang E&P 인버터 Modbus Monitoring Protocol 2018. 08. 27 Sun Spec (Modbus-RTU) -. Modbus Protocol 각 Field에대한설명 Frame갂의구별을위한최소한의시갂 BaudRate 9600에서 1bit 젂송시갂은 Start 0.104msec, (3.5 character Times, 1 Character

More information

Microsoft PowerPoint - polling.pptx

Microsoft PowerPoint - polling.pptx 지현석 (binish@home.cnu.ac.kr) http://binish.or.kr Index 이슈화된키보드해킹 최근키보드해킹이슈의배경지식 Interrupt VS polling What is polling? Polling pseudo code Polling 을이용한키로거분석 방어기법연구 이슈화된키보드해킹 키보드해킹은연일상한가! 주식, 펀드투자의시기?! 최근키보드해킹이슈의배경지식

More information

Microsoft Word - ASG AT90CAN128 모듈.doc

Microsoft Word - ASG AT90CAN128 모듈.doc ASG AT90128 Project 3 rd Team Author Cho Chang yeon Date 2006-07-31 Contents 1 Introduction... 3 2 Schematic Revision... 4 3 Library... 5 3.1 1: 1 Communication... 5 iprinceps - 2-2006/07/31

More information

0.1-6

0.1-6 HP-19037 1 EMP400 2 3 POWER EMP400 4 5 6 7 ALARM CN2 8 9 CN3 CN1 10 24V DC CN4 TB1 11 12 Copyright ORIENTAL MOTOR CO., LTD. 2001 2 1 2 3 4 5 1.1...1-2 1.2... 1-2 2.1... 2-2 2.2... 2-4 3.1... 3-2 3.2...

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

OPCTalk for Hitachi Ethernet 1 2. Path. DCOMwindow NT/2000 network server. Winsock update win95. . . 3 Excel CSV. Update Background Thread Client Command Queue Size Client Dynamic Scan Block Block

More information

lecture4(6.범용IO).hwp

lecture4(6.범용IO).hwp 제 2 부 C-언어를 사용한 마이크로컨트롤러 활용기초 66 C-언어는 수학계산을 위해 개발된 FORTRAN 같은 고급언어들과는 달 리 Unix 운영체제를 개발하면서 같이 개발된 고급언어이다. 운영체제의 특성상 C-언어는 다른 고급언어에 비해 컴퓨터의 하드웨어를 직접 제어할 수 있는 능력이 탁월하여 마이크로프로세서의 프로그램에 있어서 어셈블 리와 더불어 가장

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ UL UART PORT1 void UAR

UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ UL UART PORT1 void UAR IMC-V0.1 예제소스파일 1. UART 소스코드 (page 1-3) 2. Encoder 소스코드 (page 4-7) 3. ADC 소스코드 (page 8-10) UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

핸디로더(PGM-500) 사용자 메뉴얼

핸디로더(PGM-500) 사용자 메뉴얼 PLC PGM-500 1. 1.1 PGM-500... 1.2... 1.3... 2. 2.1... 2.2... 3. 4. 5. 6. 3.1... 3.2... 4.1 (EDIT)... 4.2 (MONITOR)... 4.3 (SYSTEM)... 5.1... 5.2... 5.3 Timer/Counter/SR... 5.4... 5.5... 5.6... 6.1...

More information

hlogin2

hlogin2 0x02. Stack Corruption off-limit Kernel Stack libc Heap BSS Data Code off-limit Kernel Kernel : OS Stack libc Heap BSS Data Code Stack : libc : Heap : BSS, Data : bss Code : off-limit Kernel Kernel : OS

More information

=

= written by vangelis(vangelis@wowhacker.org) 0 0000 8 1000 1 0001 9 1001 2 0010 10 1010 3 0011 11 1011 4 0100 12 1100 5 0101 13 1101 6 0110 14 1110 7 0111 15 1111 110112 + 100012 = 1011002 110 0000 0101

More information

Plc\PLC-p

Plc\PLC-p GP GP-PRO/PB III for Windows Ver. 4.0] (1) [ GP-PRO/PB III for Windows Ver. 4.0] ( Digital Electronic (2) (Readme.txt files ) Copyright 2000 Digital Electronics Corporation. All rights reserved. Digital

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

(1) 주소지정방식 Address Mode 메모리접근 분기주소 명령어 직접번지 Reg. 지정 Reg. 간접 Base Index 간접 Immediate 상대번지 절대번지 Long 주소 Reg. 간접 Byte Access Bit Access 내부 Data M

(1) 주소지정방식 Address Mode 메모리접근 분기주소 명령어 직접번지 Reg. 지정 Reg. 간접 Base Index 간접 Immediate 상대번지 절대번지 Long 주소 Reg. 간접 Byte Access Bit Access 내부 Data M (1) 주소지정방식 Address Mode 메모리접근 분기주소 2. 8051 명령어 직접번지 Reg. 지정 Reg. 간접 Base Index 간접 Immediate 상대번지 절대번지 Long 주소 Reg. 간접 Byte Access Bit Access 내부 Data Memory 외부 Data Memory (2) 명령어세트 - 8051 명령어는 5 가지로분류,

More information

untitled

untitled Step Motor Device Driver Embedded System Lab. II Step Motor Step Motor Step Motor source Embedded System Lab. II 2 open loop, : : Pulse, 1 Pulse,, -, 1 +5%, step Step Motor (2),, Embedded System Lab. II

More information

acdc EQ 충전기.hwp

acdc EQ 충전기.hwp www.sjproporc.com DIGITAL CHARGER & DISCHARGER Intelligent Balancer SJPROPO 서울특별시 강남구 일원동 642-11 대도빌딩 202호 2006 SJPROPO INC. SJ INCORPORATED 사용 설명서 제품 구성물 동작 중 표시 화면 B L C : B A L A N C E R C O N N E C

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

EP-B-P211.eps

EP-B-P211.eps PFA/PFW Series PFA Series PFW Series PF00 Series Alphabet Index 8 A COM B COM DCV GND F.G. R.S. HOLD COM BANK BANK COUNT PRESET FUNC. AC00~0VCOM OUTOUTOUTOUTOUTS.STOP RD SD SG RS-C PFA/PFW Series, N M/C

More information

Microsoft Word - Automap3

Microsoft Word - Automap3 사 용 설 명 서 본 설명서는 뮤직메트로에서 제공합니다. 순 서 소개 -------------------------------------------------------------------------------------------------------------------------------------------- 3 제품 등록 --------------------------------------------------------------------------------------------------------------------------------------

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

BC6DX Korean.ai

BC6DX Korean.ai 제품설명서 BC6DX * 제품의성능개선을위하여예고없이사양이변경될수있습니다. * 무단복제금지 제품의특징 - 운영프로그램 - 이중입력전원회로 - 방전중개별셀전압평균화 - 최대한의안전장치들 - 사이클충전 / 방전 (Cyclic charging/discharging) - USB 를이용한 PC 통신 - 2 - 외부장치들 -, 버튼 - DEC, INC 버튼 - START/

More information

Massive yet Responsive Most Powerful Machines in Their Class. 02 Heavy Duty Turning Center Heavy Duty Turning Center 03 PUMA 600/700/800 1800 r/min (PUMA 600) 45 kw [Gear Box] PUMA 600/600L/600M/600LM

More information

_TF_

_TF_ PIC 마이크로컨트롤러에 USB 플래시드라이버인터페이싱하기 최근저비용플래시메모리드라이브와각종 USB 주변기기가출시됨에따라 USB 인터페이스는어디에서든찾아볼수있다. 그러나이들주변기기를 8비트또는 16 비트임베디드분야에사용하려고할때에는구현, 비용및전력소모가중요한문제가된다. 이글에서는최고속도의 USB 2.0 인터페이스를통해저비용 PIC 마이크로컨트롤러를플래시드라이브로연결하는방법과관련프로그래밍에대해설명하고자한다.

More information

歯표지_통합_.PDF

歯표지_통합_.PDF LG GLOFA MASTER-K PID G3F-PIDA G4F-PIDA G3F-PIDA/G4F-PIDA PLC GLOFA GM3/4 CPU MASTER-K 200S/300S/1000S CPU!!! 2 ! PLC,,,,,! PCB,,, Off! 1 1-1 ~ 1-1 11 1-1 2 2-1 ~ 2-13 21 2-1 22 2-2 23 2-3 24 PID 2-4 241

More information

BC6DX-II Korean.ai

BC6DX-II Korean.ai 제품설명서 * 제품의성능개선을위하여예고없이사양이변경될수있습니다. * 무단복제금지 제품의특징 - 운영프로그램 - 이중입력전원회로 ( 주의!, 두개의입력전원을동시에사용하지마십시요.) - 방전중개별셀전압평균화 - 최대한의안전장치들 - 사이클충전 / 방전 (Cyclic charging/discharging) - USB 를이용한 PC 통신 - 2 - 기기외부장치들 -,

More information

Massive yet responsive turning centers without compromise. The most powerful machines in their class. 02 Powerful, Heavy Duty Turning Center Powerful, Heavy Duty Turning Center 03 PUMA 480 series PUMA

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

PRO1_16E [읽기 전용]

PRO1_16E [읽기 전용] MPI PG 720 Siemens AG 1999 All rights reserved File: PRO1_16E1 Information and MPI 2 MPI 3 : 4 GD 5 : 6 : 7 GD 8 GD 9 GD 10 GD 11 : 12 : 13 : 14 SFC 60 SFC 61 15 NETPRO 16 SIMATIC 17 S7 18 1 MPI MPI S7-300

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

Deok9_Exploit Technique

Deok9_Exploit Technique Exploit Technique CodeEngn Co-Administrator!!! and Team Sur3x5F Member Nick : Deok9 E-mail : DDeok9@gmail.com HomePage : http://deok9.sur3x5f.org Twitter :@DDeok9 > 1. Shell Code 2. Security

More information

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt 고속 Row Cycle 동작이가능한 VPM (Virtual Pipelined Memory) 구조에 대한연구 1998. 12. 28. 윤치원 1 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제

More information

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & /

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & / SINUMERIK 840C Service and Uer Manual DATA SAVING & LOADING & & / / NC, RS232C /. NC NC / Computer link () Device ( )/PC / / Print erial Data input RS232C () Data output Data management FLOPPY DRIVE, FLOPPY

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

KR

KR 34410A 34411A 6.5 1 2 4 13 18 19 34410A 34411A 6.5. DMM 12. DMM 34401A. DC AC DMM. 34410A 6.5 DMM 10 000 5.5 1 000 6.5 30 PPM 1 DC LAN USB & GPIB DCV ACV DCI ACI 2/4-50 k 34411A 6.5 DMM 34410A 50 000 100

More information

歯표지.PDF

歯표지.PDF GLOFA MASTERK !!!! 8 4 4 4 4 4!! 8 4 8 8 8 8 4 4 1 1 1 1 1 2 ± 1 1 3 2 + < < ± 2 1 2 DIN BS ( C) (µv) K NiCrNi NiCrNiAI 2000~12000 5891~48828 J PeCuNi 2000~8000 7890~45498 E NiCrCuNi 1500~6000 7297~45085

More information

ARMBOOT 1

ARMBOOT 1 100% 2003222 : : : () PGPnet 1 (Sniffer) 1, 2,,, (Sniffer), (Sniffer),, (Expert) 3, (Dashboard), (Host Table), (Matrix), (ART, Application Response Time), (History), (Protocol Distribution), 1 (Select

More information

KAERI/AR-636/2002 : 技術現況分析報告書 : 방사선 계측기술 및 중성자 계측기 기술 개발 현황

KAERI/AR-636/2002 : 技術現況分析報告書 : 방사선 계측기술 및 중성자 계측기 기술 개발 현황 KAERI Radiation Gas ions - electrons + Gas-filled Detector Power Supply V Voltmeter Log(Pulse Height) Ionisation Chamber Proportional Counter Geiger-Müller Counter High initial Ionisation Low

More information

歯superimp.PDF

歯superimp.PDF 1 TV TV,, TV TV? TV OSDC (On Screen Display Controller) OSDC TV TV, TV TV 6845 CRTCTI9938 VDP, CRTCVDP OSDC IC TV, OSDC OSDC TV, OSDC, 1 ,,,, ASCII / ( ) ( ) ON/OFF, PC, 2432(PC 1616) CG ROM(FONT ROM)

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

Microsoft Word - PIC16x_Section20_Comparator_31020a.doc

Microsoft Word - PIC16x_Section20_Comparator_31020a.doc Section 20. 비교기 (Comparator) 하이라이트 (HIGHLIGHTS) 메뉴얼의이번섹션은다음의주요주제를다룹니다 : 20.1 소개... 20-2 20.2 제어 (Control) 레지스터. 20-3 20.3 비교기설정... 20-4 20.4 비교기운영... 20-6 20.5 비교기레퍼런스... 20-6 20.6 비교기응답시간... 20-8 20.7

More information