WebPACK 및 ModelSim 사용법.hwp

Similar documents
_USB JTAG Ver1.0 User's Manual.hwp

Microsoft PowerPoint - CPLD_수정1.pptx

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

MAX+plus II Getting Started - 무작정따라하기

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

ISP and CodeVisionAVR C Compiler.hwp

tut_modelsim(student).hwp

1

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx

슬라이드 1

게임 기획서 표준양식 연구보고서

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

슬라이드 1

01장

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

DE1-SoC Board

슬라이드 1

슬라이드 1

Microsoft Word - logic2005.doc

MF5900 Series MF Driver Installation Guide

Endpoint Protector - Active Directory Deployment Guide

Studuino소프트웨어 설치

PowerPoint Template

PowerPoint Template

NTD36HD Manual

<4F B8A620C0CCBFEBC7D120C8B8B7CE20C0DBBCBAC0C720B1E2C3CA2E687770>

4S 1차년도 평가 발표자료

UART Controller 구현

작동 원리

Data Sync Manager(DSM) Example Guide Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager

Install stm32cubemx and st-link utility

윈도우시스템프로그래밍

Microsoft Word - Armjtag_문서1.doc

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

을풀면된다. 2. JDK 설치 JDK 는 Sun Developer Network 의 Java( 혹은 에서 Download > JavaSE 에서 JDK 6 Update xx 를선택하면설치파일을

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

B.3 JDBC 설치 JDBC Java DataBase Connectivity 는자바에서 DBMS의종류에상관없이일관된방법으로 SQL을수행할수있도록해주는자바 API Application Program Interface 다. 이책에서는톰캣과 SQL Server 간의연결을위

PRO1_02E [읽기 전용]

歯Intro_alt_han_s.PDF

PRO1_09E [읽기 전용]

Interstage5 SOAP서비스 설정 가이드

Windows 8에서 BioStar 1 설치하기

System Recovery 사용자 매뉴얼

슬라이드 1

Convenience Timetable Design

슬라이드 1

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

소프트웨어공학 Tutorial #2: StarUML Eun Man Choi

슬라이드 1

Leader in Electrics & Automation GIPAM MANAGER Electric Equipment

JDK이클립스

슬라이드 1

Microsoft Word - src.doc

SMV Vending Machine Implementation and Verification 김성민 정혁준 손영석

# E-....b61.)

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

슬라이드 1

Libero Overview and Design Flow

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & /

Contents Activity Define Real s Activity Define Reports UI, and Storyboards Activity Refine System Architecture Activity Defin

PRO1_04E [읽기 전용]

Admin Guide for dummy

윈도우시스템프로그래밍

SBR-100S User Manual

CD-RW_Advanced.PDF

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

차례보기 Easy Setting Box 소개 03 Easy Setting Box 란 03 Easy Setting Box 주요기능 04 사용요구사항 Easy Setting Box 설치 / 제거하기 05 Easy Setting Box 설치하기 08 Easy Setting

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt)

MPLAB C18 C

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63>

Mango-E-Toi Board Developer Manual

Mentor_PCB설계입문

OnTuneV3_Manager_Install

Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University

1. 자바프로그램기초 및개발환경 2 장 & 3 장. 자바개발도구 충남대학교 컴퓨터공학과

Xcrypt 내장형 X211SCI 수신기 KBS World 채널 설정법

Microsoft PowerPoint - 안드로이드 개발 환경 구축(170411)

tiawPlot ac 사용방법

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

Orcad Capture 9.x

슬라이드 제목 없음

UML

<4D F736F F F696E74202D20C0FCC0DAC8B8B7CEBDC7C7E8312E BC8A3C8AF20B8F0B5E55D>

歯Chap1-Chap2.PDF

(SW3704) Gingerbread Source Build & Working Guide

Slide 1

BY-FDP-4-70.hwp

아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상

Chapter 1

PowerPoint Presentation

OM2M 기반의 OHP-M2M 오픈소스설치가이드 2015 년 8 월 경북대학교통신프로토콜연구실 최예찬, 강형우 요약 사물인터넷 (Internet of Things: IoT) 이이슈가되면서다양한사

제이쿼리 (JQuery) 정의 자바스크립트함수를쉽게사용하기위해만든자바스크립트라이브러리. 웹페이지를즉석에서변경하는기능에특화된자바스크립트라이브러리. 사용법 $( 제이쿼리객체 ) 혹은 $( 엘리먼트 ) 참고 ) $() 이기호를제이쿼리래퍼라고한다. 즉, 제이쿼리를호출하는기호

Microsoft PowerPoint - 07_04_s7기초기술교육_simatic_manager_operation.ppt [호환 모드]

6. 설치가시작되는동안 USB 드라이버가자동으로로드됩니다. USB 드라이버가성공적으로로드되면 Setup is starting( 설치가시작되는중 )... 화면이표시됩니다. 7. 화면지침에따라 Windows 7 설치를완료합니다. 방법 2: 수정된 Windows 7 ISO

gcloud storage 사용자가이드 1 / 17

제5장 PLD의 이해와 실습

JAVA 플랫폼 개발 환경 구축 및 활용

Transcription:

1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다. 이때경로명에한글또는빈칸이없어야한다.

예 ) C:/Xilinx/MyPrj/test1 3) Top-Level Module Type 해당소자내에내부 Logic들을어떠한방식으로설계할것인지지정한다. 예 ) HDL 새로생성한 Project의이름과경로를입력하고 Top-Level Module Type 을 HDL로한후 [ 다음 ] 을눌러 Device와 Design Flow를그림과같이선택한다. 자세한내용은다음과같다. 1) Device Family 사용하고자하는 CPLD, FPGA Family 를선택한다. 예 ) Spartan3 2) Device 사용하고자하는소자를선택한다. 예 ) xc3s200 ( Spartan3 20 만게이트 ) 3) Package 해당소자가어떠한패키지로되어있는지를지정한다.

예 ) pq208 4) Speed Grade 신호의소자내에서 Pin to Pin Delay를지정한다. 예 ) -4 [ 다음 ] 을누른후 [New Source] 를눌러 VHDL 소스를생성한다. 왼쪽항목에서 VHDL Module을선택하고, File 항목에원하는이름 ( 예 : test) 을입력한다. 완료한후다음으로넘어가면다음과같은화면이나타난다.

원하는이름을 Entity Name, Architecture Name에입력한후다음으로넘어간다.( 예 : a(in), b(in), y(out)) New Project로돌아오면다음으로넘어가 [Add Source] 에서더추가할소스가있으면추가하고그렇지않으면다음으로넘어간다. 여기서는더이상추가할소스가없으므로그냥다음으로넘어간다. 마지막으로내용을확인한후기본적인코딩이되어있는해당 VHDL 파일이생성된다.

기본적인 2 port AND gate 를예를들어설계해보자. 위의그림과같은기능을하는 Logic 은다음과같이편집할수있다.

2. Synthesize & Implementation 위의과정에서편집한 VHDL 소스를 Synthesize와 Implementation할수있다. 원하는 VHDL 소스파일을선택 ( 이때 "Sources for:" 항목이 "Synthesis/Implementation" 으로설정되어있어야한다. Processes 항목에서 Synthesize 를더블클릭한다. 에러없이완료되면항목에녹색으로체크된다.

다음으로 Implementation도위와같은방법으로실행할수있다 ( 더블클릭 ). 그결과에러없이완료되면다음과같이표시된다.

3. Simulation Synthesize와 Implementation을통해얻어진결과물을해당하는 CPLD, FPGA에다운로드하기전에제대로설계하여구현이되었는지를확인해야한다. 이러한 Simulation 과정에는 Function Simulation과 Timing Simulation이있다. 여기서는 Simulator로 ModelSim을사용한다. 가. Simulator 연결 다음은 WebPACK에 ModelSim을연결하는방법이다. 먼저 Project Navigator의 Edit - Preference를실행시킨다. 여기서 ISE General 항목밑의 Integrated Tools 항목을선택한다. 기본적으로위의그림과같이 Model Tech Simulator에우리가설치한 ModelSim이자동으로설정이되어있어야정상이다. 혹시위와같지않다면수동으로설정해야한다. 나. Simulator 실행아래와같이 Sources에서 Sources for: 항목이기본적으로 Synthesis/Implementation으로되어있다. ModelSim으로시뮬레이션을하기위해선이부분을 Behavioral Simulation으로변경해야된다.

위와같이 Behavioral Simulation 으로변경하면 Processes 창도다음과같이변경된다. 위와같이변하지않는다면 Sources 에서 test-behavioral(test.vhd) 를클릭하면변경된다.

위그림에서 Simulate Behavioral Model을더블클릭하면 ModelSim이실행되며다음과같은화면이나오게된다. Main 화면에서라이브러리목록을볼수있는데, 아래화면처럼우리가 Simulation하려고하는 test라는목록이나타날것이다.

WebPack에서 ModelSim을이용하여시뮬레이션을시작하면 port a와 b에값이할당되지않은상태로시뮬레이션을하기때문에아래의 wave 화면에서 U라는 Unknown으로결과가나온다. 따라서시뮬레이션을재시작한후 port a, b에값을할당해야시뮬레이션이정상적으로된다. 아래 와같은 wave 화면에서를누른다. 왼쪽빨간상자안의 Restart

위화면에서 [Restart] 를클릭하여시뮬레이션을재시작한다. Simulation 결과를 Wave 형태로나타내려면각 Port마다값을지정해주어야한다. Objects 화면에서신호 a를선택한후메뉴바의 Edit에서 Force를선택하여아래와같은 Force Selected Signal 화면을나타내게한다. 여기서 Value를 U에서 0으로변경한다. 또한같은방식으로신호 b를 0으로변경한다.

그후 wave 화면에서누른다. 왼쪽빨간상자안의 Run 을 위의 Wave 화면은 a = 0, b = 0 인경우의 AND 결과를보여준다. 다음은 a = 0, b = 1 인경우나타나는결과이다 (Objects창에서 b에 Force를하여 Value에 1값을준다. 그리고 wave화면에서 Run을눌러준다.). 입력값을변화시키면최종적으로다음과같은결과를얻을수있다.

다. Test Bench를이용한 Simulation 또다른 Simulation 방법으로 Test Bench를사용하는것이있다. 이방식은정교한제어나연속적인입력에대한출력을보고자하는경우사용되며범용적으로사용할수있는방법이다. 앞서작성했던 test.vhd에대한 Test Bench를작성하는방법은다음과같다. 메인화면에서이전에작성된파일을선택한다. 이창에서마우스오른쪽버튼을클릭하여 New Source 메뉴를선택하면다음과같은화면이나타난다. VHDL Test Bench를선택하고 testtb로파일명을작성한다.

이러한 Test Bench 파일을적용할 VHDL 파일을다음과같은화면에서선택할수있다. 이과정을완료하면다음과같은 testtb 라는 Test Bench 파일을얻을수있다.

마지막으로생성된파일의 BEGIN과 END사이에실제어떻게동작할지지정해야한다. 다음과같이작성해준다. 사용자의도대로작성된 Test Bench 파일을이용해서 Simulation은다음과같은순서로할수있다. Sources 창에서테스트벤치파일을선택한후 Processes 화면에서 Simulate Behavioral Model을실행시킨다.

이에대한결과는다음과같으면우리가의도한대로 Simulation 되었음을알수있다.

라. Waveform을이용한 Simulation Simulation의마지막방법으로입력을 Waveform을이용하여 Simulation 할수있다. 가장간단한방법이긴하지만 ISE에서만사용할수있다. New Source를선택하여 Test Bench Waveform을선택한다. 이과정을완료하면다음과같은 Initial Timing이나온다. 여기서 Clock이포함된소스는 Clock의주기를설정해주지만우리가테스트하는소스는 Clock이없으므로 Finish를눌러다음화면으로넘어간다.

아래보이는화면에서처럼마우스를이용하여파란부분을클릭하면파형이반전되어표시되면서우리가원하는파형을만들수있다. 파형의입력이끝나면 Simulation의끝나는지점을설정해주어야한다. Simulation을끝내고자하는지점까지위의그림에보이는파란세로선을마우스로끌어서이동시킨다. 입력이모두끝나면파형을저장한후 Waveform 창을닫는다. Sources 창에서 testtw를선택한후 Processes창에서 Simulate Behavioral Model을실행한다.

위화면이 Test Bench Waveform 을이용한시뮬레이션결과이다. 이번엔 Timing Simulation을해보자. Sources 창에서 Sources for: 를 Post-Route Simulation으로변경한다.

4. Pin Assign 디바이스에다운로드하여동작시키기위해서는입력과출력에대한핀지정이필요하다. 이를위해서는 Project 메뉴에서 New Source를선택하여 Implementation Constraints File을먼저생성하여야한다. 다음화면에서다음을클릭하고마침을누르면 test.ucf 파일이생성된다. test.ucf 파일을선택한후 Processes 항목에서 Assign Package Pins를더블클릭한다.

새로운창이뜨면왼쪽의 Design Object List - I/O Pins 항목중 Location란에해당하는핀번호를입력한다. 이때주의할것은반드시핀번호앞에알파벳 p' 를붙여야한다는것이다. 대소문자는구분하지않는다. 핀번호를저장하고창을닫으면핀번호지정이완료된다.

5. Training Kit 다운로드 마지막으로 Training Kit에다운로드하는방법을알아보자. 먼저 Process 창에서 Generate Programming File에마우스를놓고오른쪽버튼을눌러 Properties를누른후 Startup Options 탭에서 FPGA Start-Up Clock을 JTAG Clock으로설정되어있는지를확인한다. 설정을변경한후 Generate Programming File 을더블클릭한다. 이상없이진행이되었다면위와같이녹색으로체크표시가될것이다. 이상없이파일이 (*.bit) 생성되었으면 Configure Device(iMPACT) 를더블클릭하여 impact 를실행시킨다.

Operation Mode Selection 화면에서 Configure Devices 를선택한후다음을누른다. Configure Devices 화면이나오면 Boundary-Scan Mode 를선택하고다음을누른다.

디바이스가감지되었다는메시지가나오면확인을누르고생성한 test1.bit파일이있는경로로이동하여파일을선택한다. 파일이추가되면칩모양이있는그림위에마우스를놓고오른쪽버튼을눌러서 Program을실행한다.

OK 를누른후다운로드가완료되면 Kit 에서원하는동작이실행되는지확인한다.