12- 박동혁KICS hwp

Size: px
Start display at page:

Download "12- 박동혁KICS hwp"

Transcription

1 논문번호 12-37A 한국통신학회논문지 '12-08 Vol.37A No.08 멀티레벨셀낸드플래시메모리에서커플링제거기의윈도우크기에따른성능비교 박동혁, 이재진 * Performance of the Coupling Canceller with the Various Window Size on the Multi-Level Cell NAND Flash Memory Channel Donghyuk Park, Jaejin Lee * 요 약 멀티레벨셀낸드플래시메모리는한셀에 2비트이상의데이터를저장할수있는기술이다. 현재 2비트를한셀에저장하는기술만상용화되었다. 이는 3비트이상을저장하게되면, 각레벨의간격이좁아져서데이터의오류가많이발생하는데이를극복하기가어렵다. 오류의원인으로여러가지가있지만, 그중에서도커플링잡음이가장문제가된다. 따라서본논문에서는 4비트를한셀에저장하는채널에커플링잡음을가정하여성능의개선을실험하였으며, 메모리공간을줄이기위하여커플링제거기에윈도우크기의데이터를활용하여성능을비교하였다. 플래시메모리에서데이터를읽는가장기본방법인문턱전압비교방법을구현하여제안한방법과성능을비교하였다. Key Words : Coupling cancellation, coupling canceller, Multi-level cell, NAND flash memory. ABSTRACT Multi-level cell NAND flash is a flash memory technology using mulitple levels per cell to allow more bits to be stored. Currently, most multi-level cell NAND stores 2 bits of information per cell. This reduces the amount of margin separating the states and results in the possibility of more errors. The most error cause is coupling noise. Thus, in this paper, we studied coupling noise cancellation scheme for reduction memory on the 16-level cell NAND flash memory channel. Also, we compared the performance threshold detection and proposed scheme. Ⅰ. 서론 최근낸드플래시메모리는 USB 메모리카드, SD 메모리, SSD 등으로제품화되어대부분의전자제품에들어가게된다. 멀티레벨셀기술은데이터를저장하는한셀에멀티레벨을나누어데이터를저장하여 2비트이상의데이터를한셀에저장한다 [1-3]. 현재 2비트를저장하는 4-레벨셀낸드플래시 메모리는상용화가되었다 [4]. 하지만 8-레벨셀이상의낸드플래시메모리는오류가너무많이발생하여상용화가어려운시점이다. 멀티레벨셀낸드플래시메모리에서가장핵심적인오류의요인은커플링잡음 (coupling noise) 이다 [5]. 따라서커플링잡음을고려한채널모델을이용하여제안하는기법의성능향상을평가하였다 [6]. 이전에제안되었던커플링제거기는프로그램 이논문은 2011 년도정부 ( 교육과학기술부 ) 의재원으로한국연구재단의지원을받아수행된연구임 (No ). 주저자겸교신저자 : 숭실대학교정보통신전자공학부정보저장및통신연구실, zlee@ssu.ac.kr, 정회원 * 숭실대학교정보통신전자공학부정보저장및통신연구실, zlee@ssu.ac.kr, 종신회원논문번호 :KICS , 접수일자 :2011 년 12 월 23 일, 최종논문접수일자 :2012 년 7 월 17 일 706

2 논문 / 멀티레벨셀낸드플래시메모리에서커플링제거기의윈도우크기에따른성능비교 순서의가정에의해찾아낸커플링잡음이없는셀데이터를읽어, 그데이터부터커플링잡음을제거하면서최종원하는셀의데이터를읽게된다. 하지만이런방식은최악의경우모든블록내의셀데이터를다읽어야했다 [7,8]. 따라서커플링제거기의메모리를줄이기위해윈도우크기의데이터만을추가로읽으며, 커플링제거의시작데이터는문턱전압검출 (threshold detection) 방식으로읽는다. Ⅱ. 커플링제거기알고리즘커플링잡음은주변셀이프로그램에의해전압이상승하는현상에의해셀에저장된전압이상승하는현상이다. 프로그램순서에의해커플링잡음영향력의방향이달라지며, 프로그램된데이터에의해커플링잡음의크기가결정된다 [9]. 즉, 마지막에프로그램된데이터는커플링잡음이존재하지않는다 커플링잡음의단순화낸드플래시메모리는블록단위로구성되어있으며, 이블록은비트라인과워드라인으로구분하며, 워드라인은 32개, 비트라인은 4096개로구성된다. 비트라인은 Even 라인과 Odd 라인으로구성되며, Even 라인이프로그램된후 Odd 라인이프로그램된다. 커플링잡음영향력의방향은프로그램순서에의해결정되므로, 다음으로프로그램순서를가정하였다. 프로그램의순서는 0번째워드라인의셀부터 31번째워드라인의셀까지순차적으로프로그램하며, 16-레벨셀낸드플래시메모리채널을가정하였다. 0번째워드라인의 Even 라인이먼저프로그램되며, 다음으로 0번째워드라인의 Odd 라인이프로그램된다. 그다음으로 1번째워드라인의 Even 라인이프로그램된다. 이런순서로 31번째워드라인의 Odd 라인까지프로그램되면, 각셀에 1비트의데이터가저장이된것이다. 이와같은순서로각셀에 2번째, 3번째, 4번째까지데이터를프로그램하면, 각셀에는 4비트의데이터가저장되며, 블록내모든셀데이터의저장이완료된다 커플링제거알고리즘커플링제거기는모든블록의데이터가완료되게되면, 프로그램순서의역순으로커플링잡음을알고리즘에의해계산한후, 잡음을제거시켜준 다. 커플링잡음은주변셀이프로그램되면서증가하는전압에의해변화하기때문에, 주변셀이프로그램되면서증가하는전압값을이용하여커플링잡음의값을구할수있다. Even 라인의셀데이터에서, 주변셀의정보를이용하여얻은커플링잡음의양은다음과같다. (1) 는 (i,j) 셀에서의주변셀정보를고려하여얻은커플링잡음이다. 주변 (i+1,j-1), (i+1,j), (i+1,j+1), (i,j-1), 그리고 (i,j+1) 셀의영향력을고려하여커플링잡음을구한다. 는각셀에서주는 커플링잡음의영향력계수이다. 는주변셀이프로그램에의해증가한전압값을나타내며, (p,q) 는 (i,j) 셀의주변셀의위치를의미한다. 그림 1은플래시메모리블록의구성도를보여준다. i는워드라인의위치를나타내는변수이며, 0~31의범위를가진다. j는비트라인의위치를나타내는변수이며, 0~8191의범위를가지며, 짝수일때가 Even 라인의셀을홀수일때가 Odd 라인의셀을나타낸다. 그림 1. 플래시메모리블록의구성도 (32 개의워드라인과 8192 개의비트라인으로구성됨, 짝수는 Even 라인, 홀수는 Odd 라인으로구성됨 Fig. 1. Block diagram of Flash Memory( 32 word line, 8192 bit line(even and Odd line) 707

3 한국통신학회논문지 '12-08 Vol.37A No.08 다음은 Odd 라인의셀데이터에서, 주변셀의정보를이용하여커플링잡음을구하는식이다. (2) 그림 레벨셀낸드플래시메모리의프로그램에의한산포의변화 Fig. 2. Distribution change of 16 level cell NAND flash memory by program 커플링잡음의영향력계수그림 2는 16-레벨셀낸드플래시메모리에서프로그램동작에의해서전압이증가하는현상을보여준다. 프로그램방식은 ISPP (Incremental step pulse program) 방식을이용하며 [10], 펄스를이용하여전하를조금씩주입하면서 verify level 이상이되면, 프로그램이성공이다. 전압이증가되는프로그램방식에의해주변셀은커플링잡음이생기게된다. 하지만프로그램된데이터의정보를알고있다고가정하면, 프로그램되기전의데이터가무엇인지알수있을뿐아니라, 현재전압위치로오기위해서증가된전압의값 ( 프로그램에의한전압의증가량 ) 을알아낼수있다. 즉이증가된전 압의값이수식 (1) 과 (2) 에서의 가된다, Even 라인셀의커플링잡음을구하려면 (p,q) 는 (i+1,j-1), (i+1,j), (i+1,j-1), (i,j-1), (i,j+1) 이고, Odd 라인셀의커플링잡음을구하려면 (i+1,j-1), (i+1,j), (i+1,j-1) 가된다. 또한, 모든블록의데이터의값을알고있으므로모든블록의증가된전압 ( ) 의값을알수있게된다. 따라서 의값을알고 의값들을알고있으므로, 의값을구할수있게된다. 커플링 잡음을주는셀이 Even 라인과 Odd 라인이각각다르기때문에, 값은 Even 라인과 Odd 라인을각각구해야한다 커플링제거기위의가정들과알고리즘을이용하여, 가장마지막에프로그램된셀, 즉 31번째워드라인의 Odd 라인의데이터를읽는다. 읽어낸데이터를이용하여프로그램되기전의전압값을알아낼수있으며, 이를이용하여얻은 31번째워드라인의모든 Odd 라인의전압변화량 을식 (1) 에대입하고 ( 는모든 Odd 라인을의미한다 ), 이미구한 값을식 (1) 에대입하여 31번째워드라인의 Even 라인의값을구할수있다. 계속해서, 30 번째워드라인의 Odd 라인의데이터를이미구해놓은 31번째워드라인의 Even 라인과 Odd 라인의데이터를이용하여식 (2) 에대입하여구한다. 또한, 이미구한, 31번째워드라인의 Even 라인과 Odd 라인의데이터와 30번째워드라인의 Odd 라인의데이터를식 (1) 에대입하여 30번째워드라인의 Even 라인의데이터를구한다. 이와같은방법으로워드라인 0번째데이터까지모두구하는것이커플링잡음을해결하는최적의알고리즘이다 윈도우크기에따른커플링제거기 2.4절에서설명한최적의커플링잡음제거알고리즘은블록에서가장마지막에프로그램된데이터인 31번째워드라인의 Odd 라인의셀데이터를기준으로커플링잡음을제거해가는것으로항상 31번째워드라인의 Odd 라인의셀데이터를읽어야하므로메모리의크기가항상블록크기만큼필요하다. 따라서실제시스템에서적용하기에는데이터읽기과정에서의프로세스가많아져데이터를읽는데필요한시간이많아진다. 이러한추가적인메모리를줄이며읽기시간을줄이기위하여 31번째워드라인의 Odd 라인셀데이터를기준으로커플링잡음을제거하는것이아닌임의의기준셀데이터를이용하여커플링을제거하는것을제안한다. 이임의의기준셀데이터는임의로정한크기의윈도우에서가장마지막에프로그램된셀데이터로, (,) 셀데이터를읽기위해서는 (, ) 셀데이터를기준으로커플링잡음을제거한다. 는윈도우의크기이며, 는 Odd 라인셀들을의미한다. 다음은윈도 708

4 논문 / 멀티레벨셀낸드플래시메모리에서커플링제거기의윈도우크기에따른성능비교 우의크기가 일때의 (,) 셀데이터를읽는과정을보여준다. 1) (, ) 셀데이터를문턱전압검출방법으로읽는다. 읽은데이터를기준으로프로그램 에의해증가된전압 를구한다. 6) (,) 셀데이터에서 5) 의 여커플링잡음이제거된데이터를구한다. 제거하 2) (, ) 셀데이터를위의과정에서 구한 값을다음식에대입하여얻은커플링잡음을제거하여구한다. ( 는 셀의왼쪽에위치한 Odd 라인의셀이며, 는 셀의오른쪽에위치한 Odd 라인의셀이다.) 3) (, ) 셀데이터를위에서구한값들을다음식에적용하여얻은커플링잡음을제거하여구한다. ( 는 셀의왼쪽에위치한 Even 라인의셀이며, 는 셀의오른쪽에위치한 Even 라인의셀이다.) 그림 3. R 이 40 일때, 문턱전압검출 (Threshold detection) vs. 윈도우크기에대한커플링제거기 ( 윈도우크기가 1,2,3,4,5,10 일때 ) 의성능그래프 Fig. 3. As R=40, the performance of threshold detection and coupling canceller. (window size : 1,2,3,4,5, and 10, respectively) 4) (, ) 셀데이터를위에서구한값들을다음식에적용하여구한커플링잡음을제거하여구한다. 5) 위의과정을반복하여 를구한다. 그림 4. R이 50 일때, 문턱전압검출 (Threshold detection) vs. 윈도우크기에대한커플링제거기 ( 윈도우크기가 1,2,3,4,5,10 일때 ) 의성능그래프 Fig. 4. As R=50, the performance of threshold detection and coupling canceller. (window size : 1,2,3,4,5, and 10, respectively) 709

5 한국통신학회논문지 '12-08 Vol.37A No.08 Ⅲ. 시뮬레이션 16-레벨셀낸드플래시메모리채널모델을이용하여실험하였으며, 워드라인은 32개, 비트라인은각각 4096개의 Even 라인과 Odd 라인으로이루어져있다. 한셀당 4비트의데이터를저장하며, 프로그램의순서는 II절의가정과같이, 0번째워드라인부터 31번째워드라인순으로프로그램하며, Even 라인의셀을먼저프로그램한후 Odd 라인의셀을프로그램한다. 프로그램할때 1비트씩먼저프로그램하며, 모든블록을 1비트씩저장하였으면, 같은프로그램순서로 2번째비트를저장한다. 같은순서로 4번째비트까지저장하여한셀에 4비트의데이터를저장한다. 커플링의비율을조절하기위해 R을정의하였으며, 프로그램에의한전압증가값을 R로나누어커플링잡음의영향력을조절한다. 커플링잡음의방향에대한비율은 로결정하였다 ( 는같은워드라인의양옆의셀에의한커플링잡음계수, 는같은비트라인의위에있는셀에의한커플링잡음계수, 는대각선위에있는셀에의한커플링잡음계수임 ). 채널모델에서실험에사용한최종커플링잡음의비율은 이다. 프로그램잡음을모델링하기위해부가적은백색가우시안잡음 (AWGN, Additive White Gaussian Noise) 을주었다. 그림 3, 4는커플링잡음의영향력계수 R이각각 40과 50 일때, 윈도우크기에대한성능의변화를보여준다. 그림에서윈도우크기가 2일때가최적의성능을보여줌을알수있다. 패리티증가없이커플링제거기라는추가회로와윈도우크기의메모리 ( 추가로읽는데이터의크기 ) 를통하여심볼오율 (SER, symbol error rate) 의성능을최소 10배증가시켰다. Ⅳ. 결론멀티레벨셀낸드플래시메모리에서커플링잡음은매우심각한오류의원인이다. 이는커플링잡음에의해각레벨간의간격이줄어들기때문인데, 이러한커플링잡음은몇가지상황의고정으로쉽게풀어낼수있게된다. 본논문에서는몇가지상황의고정으로인해커플링잡음에대한해석을쉽게고정하였으며, 이를해결하기위한커플링제거 기를이용하였다. 필요한데이터메모리크기를줄이기위해커플링제거기를윈도우크기의데이터를이용하여커플링잡음을제거하였으며, 기존문턱전압검출성능보다향상된성능을보였다. 연구의결과를토대로추가적인회로와메모리를이용하여기존문턱전압검출보다성능을최소 10배이상개선하였으며, 이러한작은개선은추후오류정정부호를통한연구에서큰성과를줄수있을것으로보인다. 실제로 LDPC 부호와터보부호등의반복복호기법의부호는성능의이득이크지만성능이득을얻기위해서는최소비트오율 (BER, bit error rate) 성능을요구하게된다. 따라서최소비트오율이상의성능을반복복호부호의입력으로주는것은매우중요하다. 따라서본연구와같은작은성능개선은반복복호부호에큰성능이득을이끌어낼수있게된다. 게다가본연구는추가적인회로와메모리는필요하지만, 부호율을손해보는것이없기때문에멀티레벨셀낸드플래시메모리분야에서매우중요한연구이다. 참고문헌 [1] M. Lasser, "States encoding in multi-bit flash cells," US Patent 2005/ A1, Sep. 15, [2] M. Lasser, "States encoding in multi-bit flash cells," US Patent 2005/ A1, Sep. 15, [3] A. Ban, S. Litsyn, and I. Alrod, "Methods of increasing the reliability of a flash memory," US Patent B2, Apr. 4, [4] Y. Tanaka, "Multi-level cell flash memory," US Patent 2009/ A1, Oct. 22, [5] T. Cho, Y. Lee, E. Kim, J. Lee, S. Choi, S. Lee, D. Kim, W. Han, Y. Lim, J. Lee, J. Choi, and K. Suh, "A Dual-mode NAND flash memory: 1-Gb Multilevel and high-performance 512-Mb Single-level modes," IEEE Journal of Solid-State Circuits, Vol. 36, No. 11, pp , Nov [6] 박동혁, 이재진, 멀티레벨셀메모리의채널모델링, 한국통신학회논문지 09-09, Vol. 34, No. 9, pp , 2009년 9월. Donghyuk Park and Jaejin Lee, "Channel Modeling for Multi-Level Cell Memory," The 710

6 논문 / 멀티레벨셀낸드플래시메모리에서커플링제거기의윈도우크기에따른성능비교 Journal of The Korean Institute of Communication Sciences, 09-09, Vol. 34, No. 9, pp , Sep [7] D. Park, and J. Lee, "Floating-gate coupling canceller for multi-level cell NAND flash," IEEE Transactions on Magnetics, Vol. 47, No. 3, pp , March [8] D. Park, and Jaejin Lee, "Coupling canceller maximum-likelihood (CCML) detection for multi-level cell NAND flash memory," IEEE Transactions on Consumer Electronics, Vol. 57, No. 1, pp , Feb [9] J. Lee, S. Hur, and J. Choi, "Effects of floating-gate interference on NAND flash memory cell operation," IEEE Electron Device Letters, Vol. 23, No. 5, pp , May [10] K. Suh, B. Suh, Y. Lim, J. Kim, Y. Choi, Y. Koh, S. Lee, S. Kwon, B. Choi, J. Yum, J. Choi, J. Kim and H. Lim, "A 3.3 V 32Mb NAND flash memory with incremental step pulse programming scheme," IEEE Journal of Solid-State circuits, Vol. 30, No. 11, pp , Nov 박동혁 (Donghyuk Park) 2007년 2월숭실대학교정보통신전자공학부학사 2012년 2월숭실대학교정보통신공학박사 2012년 4월~Seagate Korea Design Center < 관심분야 > 스토리지시스템, LDPC 부호, 채널코딩이재진 (Jaejin Lee) 1983년 2월연세대학교전자공학과학사 1984년 12월 U. of Michigan, Dept. of EECS 석사 1994년 12월 Georgia Tech. Sch. of ECE 박사 1995년 1월~1995년 12월 Georgia Tech. 연구원 1996년 1월~1997년 2월현대전자정보통신연구소책임연구원 1997년 3월~2005년 8월동국대학교전자공학과부교수 2005년 9월~ 숭실대학교정보통신전자공학부교수 < 관심분야 > 통신이론, 채널코딩, 기록저장시스템 711

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770>

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 2 pp. 866-871, 2012 http://dx.doi.org/10.5762/kais.2012.13.2.866 증강현실을 이용한 아동교육프로그램 모델제안 권미란 1*, 김정일 2 1 나사렛대학교 아동학과, 2 한세대학교 e-비즈니스학과

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

Microsoft Word - IO_2009_메모리반도체.doc

Microsoft Word - IO_2009_메모리반도체.doc 메모리 반도체 SemiconductorMemory Chips 2009.1 평가1실 조수희 애널리스트 7872321 suhee.cho@kisrating.com 평가1실 박춘성 연구위원 7872341 cspark@kisrating.com 평가1실 손재형 실장 7872250 jaihyoung.son@kisrating.com Summary 공급과잉 상태가 지속되는

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 28(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 28(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Nov.; 28(11), 837 842. http://dx.doi.org/10.5515/kjkiees.2017.28.11.837 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) 8PSK-TCM

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

Microsoft PowerPoint - o8.pptx

Microsoft PowerPoint - o8.pptx 메모리보호 (Memory Protection) 메모리보호를위해 page table entry에 protection bit와 valid bit 추가 Protection bits read-write / read-only / executable-only 정의 page 단위의 memory protection 제공 Valid bit (or valid-invalid bit)

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

KOREA INSTITUTE OF PATENT INFORMATION w w w. k i p i. o r. k r K O R E A I N S T I T U T E O F P A T E N T I N F O R M A T I O N C ONTENTS Patent 21 Patent 21 Patent 21 Patent 21 Patent 21 Patent 21

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

05(533-537) CPLV12-04.hwp

05(533-537) CPLV12-04.hwp 모바일 OS 환경의 사용자 반응성 향상 기법 533 모바일 OS 환경의 사용자 반응성 향상 기법 (Enhancing Interactivity in Mobile Operating Systems) 배선욱 김정한 (Sunwook Bae) 엄영익 (Young Ik Eom) (Junghan Kim) 요 약 사용자 반응성은 컴퓨팅 시스템에서 가장 중요 한 요소 중에 하나이고,

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 -

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 - (51) Int. Cl. (19) 대한민국특허청(KR) (12) 등록특허공보(B1) H04B 7/04 (2006.01) H04B 7/02 (2006.01) H04L 1/02 (2006.01) (21) 출원번호 10-2007-0000175 (22) 출원일자 2007년01월02일 심사청구일자 2008년08월26일 (65) 공개번호 10-2008-0063590 (43)

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 07-32-10-01 한국통신학회논문지 '07-10 Vol. 32 No. 10 진 Sidel'nikov 수열의서로다른자기상관분포의개수 정회원정정수 *, 김영식 **, 종신회원노종선 *, 정하봉 *** On the Number of Distinct Autocorrelation Distributions of -ary Sidel'nikov Sequences

More information

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월 지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., 2004 5 2009 12 KOSPI200.,. * 2009. 지능정보연구제 16 권제 1 호 2010 년 3 월 김선웅 안현철 社 1), 28 1, 2009, 4. 1. 지능정보연구제 16 권제 1 호 2010 년 3 월 Support

More information

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp RUF * (A Simple and Efficient Antialiasing Method with the RUF buffer) (, Byung-Uck Kim) (Yonsei Univ. Depth of Computer Science) (, Woo-Chan Park) (Yonsei Univ. Depth of Computer Science) (, Sung-Bong

More information

08김현휘_ok.hwp

08김현휘_ok.hwp (Regular Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.369 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) An Audio Coding Technique Employing the Inter-channel

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

γ

γ 경락경혈학회지 Vol.27, No.1, pp.87 106, 2010 Journal of Meridian & Acupoint Dept. of 1 Meridian & Acupoint, 3 Acupuncture & Moxibustion, College of Oriental Medicine, Daejeon University 2 Division of Clinical

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 09-34-10-04 한국통신학회논문지 '09-10 Vol. 34 No. 10 Tracking Echo-Presence Uncertainty 기반의잔여반향억제 정회원박윤식 *, 종신회원장준혁 ** Residual Echo Suppression Based on Tracking Echo-Presence Uncertainty Yun-Sik Park* Regular

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소 2012 년도기초과학연구소 대학중점연구소심포지엄 마이크로파센서를이용한 혈당측정연구 일시 : 2012 년 3 월 20 일 ( 화 ) 14:00~17:30 장소 : 서강대학교과학관 1010 호 주최 : 서강대학교기초과학연구소 Contents Program of Symposium 2 Non-invasive in vitro sensing of D-glucose in

More information

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015) ISSN

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015)   ISSN (JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, 2015 11 (JBE Vol. 20, No. 6, November 2015) http://dx.doi.org/10.5909/jbe.2015.20.6.880 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) Frame

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 08-33-07-02 한국통신학회논문지 '08-07 Vol. 33 No. 7 낮은상관특성과큰선형복잡도를갖는새로운 -진수열군 정회원김영식 *, 정정수 **, 종신회원노종선 **, 신동준 *** New Families of -ary Sequences With Low Correlation and Large Linear Span Young-Sik Kim*, Jung-Soo

More information

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti ATSC a), a) A Carrier Frequency Synchronization Scheme for modified ATSC Systems Young Gon Jeon a) and Joon Tae Kim a) 3D HDTV (3-Dimensional High Definition Television). 3D HDTV HDTV ATSC (Advanced Television

More information

10 이지훈KICS2015-03-068.hwp

10 이지훈KICS2015-03-068.hwp 논문 15-40-05-10 The Journal of Korean Institute of Communications and Information Sciences '15-05 Vol.40 No.05 http://dx.doi.org/10.7840/kics.2015.40.5.851 가로등 인프라를 활용한 안전한 스마트 방범 시스템 차 정 화, 이 주 용 *, 이

More information

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 System call table and linkage v Ref. http://www.ibm.com/developerworks/linux/library/l-system-calls/ - 2 - Young-Jin Kim SYSCALL_DEFINE 함수

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final Apr 4, 216 Part 1 #1 반도체산업 IT Convergence vs Divergence [반도체] 최도연 3771-977 doyeon@iprovest.com [IDEA] IT Divergence 시대에서의 반도체 산업 전망 [업황] 메모리 반도체는 공급 초과. 비메모리는 재고 상당량 해소 [섹터뷰] 향후 반도체 수요 방향성 - DRAM

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 6, December, 30, 2016:275~289 Received: 2016/12/02, Accepted: 2016/12/22 Revised: 2016/12/20, Published: 2016/12/30 [ABSTRACT] SNS is used in various fields. Although

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp 보안공학연구논문지 Journal of Security Engineering Vol.11, No.4 (2014), pp.299-312 http://dx.doi.org/10.14257/jse.2014.08.03 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발 이강찬 1), 이승윤 2), 양희동 3), 박철우 4) Development of Service

More information

05 목차(페이지 1,2).hwp

05 목차(페이지 1,2).hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Oct.; 25(10), 10771086. http://dx.doi.org/10.5515/kjkiees.2014.25.10.1077 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

<333220B1E8C1F8BFB52DB0A1BDC3B1A420C5EBBDC520BDC3BDBAC5DBC0BB20C0A7C7D120B9DDBAB920BAB9C8A320BECBB0EDB8AEC1F22E687770>

<333220B1E8C1F8BFB52DB0A1BDC3B1A420C5EBBDC520BDC3BDBAC5DBC0BB20C0A7C7D120B9DDBAB920BAB9C8A320BECBB0EDB8AEC1F22E687770> 한국산학기술학회논문지 Vol. 10, No. 10, pp. 2766-2770, 2009 구성완 1, 김진영 1* 1 광운대학교전파공학과 Iterative Decoding Algorithm for VLC Systems Sung-Wan Koo 1 and Jin-Young Kim 1* 1 Department of Wireless Communication Engineering,

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Apr.; 28(4),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Apr.; 28(4), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Apr.; 28(4), 298308. http://dx.doi.org/10.5515/kjkiees.2017.28.4.298 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Reduction

More information

디지털포렌식학회 논문양식

디지털포렌식학회 논문양식 ISSN : 1976-5304 http://www.kdfs.or.kr Virtual Online Game(VOG) 환경에서의 디지털 증거수집 방법 연구 이 흥 복, 정 관 모, 김 선 영 * 대전지방경찰청 Evidence Collection Process According to the Way VOG Configuration Heung-Bok Lee, Kwan-Mo

More information

인문사회과학기술융합학회

인문사회과학기술융합학회 Vol.5, No.5, October (2015), pp.471-479 http://dx.doi.org/10.14257/ajmahs.2015.10.50 스마트온실을 위한 가상 외부기상측정시스템 개발 한새론 1), 이재수 2), 홍영기 3), 김국환 4), 김성기 5), 김상철 6) Development of Virtual Ambient Weather Measurement

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

1. KT 올레스퀘어 미디어파사드 콘텐츠 개발.hwp

1. KT 올레스퀘어 미디어파사드 콘텐츠 개발.hwp Journal of Next-generation Convergence Information Services Technology Vol.4, No.1, June (2015), pp. 1-8 차세대컨버전스정보서비스기술논문지 KT 올레스퀘어 미디어파사드 콘텐츠 개발 Media Fasade Contents Development of KT Olleh Square 김동조

More information

Microsoft Word - 120125_반도체-최종

Microsoft Word - 120125_반도체-최종 산 업 분 석 반도체 Overweight (Maintain) 212.1.25 국내 반도체 산업, 2차 중흥기 진입 메모리 반도체 산업에서 국내업체의 승자독식, 비메모리 반도체에서 삼성전자 Sys. LSI 사업부의 Top Class로 부상, 그 동안 약세를 면치 못했던 메모리 반도체의 본격적인 상승세로 전환 등으로 국내 반도체 산업은 2차 중흥기로 진입 예상.

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 韓國電磁波學會論文誌第 21 卷第 12 號 2010 年 12 月論文 2010-21-12-09 Dual-Band Compact Broad Band-Pass Filter with Parallel Coupled Line 최영구 윤기철 이정훈 홍태의 Young-Gu ChoiBhanu Shrestha*Ki-Cheol Yn**Jeong-Hun Lee** Tae-Ui Hong***

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 26(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 26(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 215 Mar.; 26(3), 248 256. http://dx.doi.org/1.5515/kjkiees.215.26.3.248 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Metal

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-08-15 한국통신학회논문지 '10-08 Vol.35 No. 8 건설생산성 향상을 위한 건설현장 내 RFID 네트워크 시스템 적용 방안 준회원 김 신 구*, 정회원 이 충 희*, 이 성 형*, 종신회원 김 재 현* Method of RFID Network System Application for Improving of Construction

More information

1. 3DTV Fig. 1. Tentative terrestrial 3DTV broadcasting system. 3D 3DTV. 3DTV ATSC (Advanced Television Sys- tems Committee), 18Mbps [1]. 2D TV (High

1. 3DTV Fig. 1. Tentative terrestrial 3DTV broadcasting system. 3D 3DTV. 3DTV ATSC (Advanced Television Sys- tems Committee), 18Mbps [1]. 2D TV (High 3DTV a), a) Dual Codec Based Joint Bit Rate Control Scheme for Terrestrial Stereoscopic 3DTV Broadcast Yongjun Chang a) and Munchurl Kim a) 3 3 (3DTV). ATSC 18Mbps. 3D, 2DTV,. 3DTV. - (quadratic rate-quantization

More information

에너지경제연구 제13권 제1호

에너지경제연구 제13권 제1호 에너지경제연구 Korean Energy Economic Review Volume 13, Number 1, March 2014 : pp. 83~119 거시계량모형을이용한유가변동및 유류세변화의파급효과분석 * 83 84 85 86 [ 그림 1] 모형의해결정과정 87 [ 그림 2] 거시계량모형의흐름도 (flow chart) 88 89 < 표 1> 유류세현황 (2013

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

14.531~539(08-037).fm

14.531~539(08-037).fm G Journal of the Korea Concrete Institute Vol. 20, No. 4, pp. 531~539, August, 2008 š x y w m š gj p { sƒ z 1) * 1) w w Evaluation of Flexural Strength for Normal and High Strength Concrete with Hooked

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

Journal of Educational Innovation Research 2017, Vol. 27, No. 2, pp DOI: : Researc

Journal of Educational Innovation Research 2017, Vol. 27, No. 2, pp DOI:   : Researc Journal of Educational Innovation Research 2017, Vol. 27, No. 2, pp.251-273 DOI: http://dx.doi.org/10.21024/pnuedi.27.2.201706.251 : 1997 2005 Research Trend Analysis on the Korean Alternative Education

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

<30362E20C6EDC1FD2DB0EDBFB5B4EBB4D420BCF6C1A42E687770>

<30362E20C6EDC1FD2DB0EDBFB5B4EBB4D420BCF6C1A42E687770> 327 Journal of The Korea Institute of Information Security & Cryptology ISSN 1598-3986(Print) VOL.24, NO.2, Apr. 2014 ISSN 2288-2715(Online) http://dx.doi.org/10.13089/jkiisc.2014.24.2.327 개인정보 DB 암호화

More information

Abstract Background : Most hospitalized children will experience physical pain as well as psychological distress. Painful procedure can increase anxie

Abstract Background : Most hospitalized children will experience physical pain as well as psychological distress. Painful procedure can increase anxie Volume 12, Number 1, 92~102, An Intervention Study of Pain Reduction during IV Therapy in Hospitalized Children Myo-Jin Kim 1), Joung-Hae Bak 1), Won-Seok Seo 2) Mi-Young Kim 3), Sun-Kyoung Park 3), Jai-Soung

More information

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P 1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.341

More information

09오충원(613~623)

09오충원(613~623) A Study of GIS Service of Weather Information* Chung-Weon Oh**,..,., Web 2.0 GIS.,.,, Web 2.0 GIS, Abstract : Due to social and economic value of Weather Information such as urban flooding, demand of Weather

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

<5B313132385D32303039B3E220C1A634B1C720C1A632C8A320B3EDB9AEC1F628C3D6C1BE292E687770>

<5B313132385D32303039B3E220C1A634B1C720C1A632C8A320B3EDB9AEC1F628C3D6C1BE292E687770> 디지털 영상에서의 자막추출을 이용한 자막 특성 분석에 관한 연구 이세열 * 요약 본 연구는 방송 프로그램 제작에 있어서 중요한 역할을 담당하고 있는 영상 자막의 특성과 영상 커 뮤니케이션 기능적인 관점에서 나타나고 있는 현상을 살펴본다. 다양한 방송 프로그램에서 활용되고 있는 디지털 영상 자막의 기능은 단순하게 간략한 정보를 전달하는 기능적인 역할을 수행하였다.

More information

I

I I II III (C B ) (C L ) (HL) Min c ij x ij f i y i i H j H i H s.t. y i 1, k K, i W k C B C L p (HL) x ij y i, i H, k K i, j W k x ij y i {0,1}, i, j H. K W k k H K i i f i i d ij i j r ij i j c ij r ij

More information

데이터베이스-4부0816

데이터베이스-4부0816 04 269 270 2012 Database White Paper 271 272 2012 Database White Paper 273 274 2012 Database White Paper 275 276 2012 Database White Paper 277 278 2012 Database White Paper 279 280 2012 Database White

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

부서: 감사담당관 정책: 행정의 투명성 제고 단위: 민원발생사전예방 1)민원심의위원 수당 70,000원*9명*3회 1,890 203 업무추진비 5,800 5,800 0 03 시책추진업무추진비 5,800 5,800 0 1)민원심의 업무추진 250,000원*4회 1,000

부서: 감사담당관 정책: 행정의 투명성 제고 단위: 민원발생사전예방 1)민원심의위원 수당 70,000원*9명*3회 1,890 203 업무추진비 5,800 5,800 0 03 시책추진업무추진비 5,800 5,800 0 1)민원심의 업무추진 250,000원*4회 1,000 2010년도 본예산 일반회계 전체 세 출 예 산 사 업 명 세 서 부서: 감사담당관 정책: 행정의 투명성 제고 단위: 감사조사업무추진 감사담당관 237,164 236,349 815 행정의 투명성 제고 99,644 95,009 4,635 감사조사업무추진 59,947 54,185 5,762 청렴도 업무수행 52,727 45,465 7,262 201 일반운영비 1,927

More information

, V2N(Vehicle to Nomadic Device) [3]., [4],[5]., V2V(Vehicle to Vehicle) V2I (Vehicle to Infrastructure) IEEE 82.11p WAVE (Wireless Access in Vehicula

, V2N(Vehicle to Nomadic Device) [3]., [4],[5]., V2V(Vehicle to Vehicle) V2I (Vehicle to Infrastructure) IEEE 82.11p WAVE (Wireless Access in Vehicula THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 215 Jul.; 26(7), 66 612. http://dx.doi.org/1.5515/kjkiees.215.26.7.66 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Dual-Band

More information

08 조영아.hwp

08 조영아.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 6370. http://dx.doi.org/10.5515/kjkiees.2015.26.1.63 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) 900 MHz

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Aug.; 30(8),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Aug.; 30(8), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Aug.; 30(8), 629639. http://dx.doi.org/10.5515/kjkiees.2019.30.8.629 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

歯3일_.PDF

歯3일_.PDF uuhm Daewoo Daily * 0.0% 23.6% 38.2% 50.0% 61.8% 100.0% 980 970 960 950 940 930 920 910 900 890 880 870 860 850 840 830 820 810 800 790 780 770 760 750 740 730 720 710 700 690 680 670 660 650 640 630

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 27(1), ISSN

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 27(1), ISSN THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 216 Jan.; 27(1), 17. http://dx.doi.org/1.5515/kjkiees.216.27.1.1 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Switch 1.5 GHz

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE May; 27(5),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE May; 27(5), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 May; 27(5), 454462. http://dx.doi.org/10.5515/kjkiees.2016.27.5.454 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Research

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 113118. http://dx.doi.org/10.5515/kjkiees.2015.26.1.113 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) A Retro-Directive

More information

04 김영규.hwp

04 김영규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1121 1127. http://dx.doi.org/1.5515/kjkiees.214.25.11.1121 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Planar

More information

2 / 26

2 / 26 1 / 26 2 / 26 3 / 26 4 / 26 5 / 26 6 / 26 7 / 26 8 / 26 9 / 26 10 / 26 11 / 26 12 / 26 13 / 26 14 / 26 o o o 15 / 26 o 16 / 26 17 / 26 18 / 26 Comparison of RAID levels RAID level Minimum number of drives

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Mar.; 30(3), 223 228. http://dx.doi.org/10.5515/kjkiees.2019.30.3.223 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Analysis

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Mar.; 25(3), 304310. http://dx.doi.org/10.5515/kjkiees.2014.25.3.304 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

09구자용(489~500)

09구자용(489~500) The Study on the Grid Size Regarding Spatial Interpolation for Local Climate Maps* Cha Yong Ku** Young Ho Shin*** Jae-Won Lee**** Hee-Soo Kim*****.,...,,,, Abstract : Recent global warming and abnormal

More information

41-5....

41-5.... ISSN 1016-9288 제41권 5호 2014년 5월호 제 4 1 권 제 5 호 ( ) 2 0 1 4 년 5 월 SSD (Solid State Drive) The Magazine of the IEIE vol.41. no.5 SSD (Solid State Drive) SSD (Solid State Drive)를 이루는 기술과 미래 SSD의 등장에 따른 OS의

More information

11 함범철.hwp

11 함범철.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2012 Aug.; 23(8), 958 966. http://dx.doi.org/10.5515/kjkiees.2012.23.8.958 ISSN 1226-3133 (Print) LTCC Bluetooth/WiFi A Bluetooth/WiFi

More information