1

Size: px
Start display at page:

Download "1"

Transcription

1 중소기업기술로드맵 Technology Roadmap for SME - 지능형센서 -

2

3 CONTENTS 전략분야 지능형센서 1. 개요 1 2. 국내외정책동향 3 3. 산업이슈및동향 7 4. 시장동향및전망 기술동향및이슈 중소기업시장대응전략 주요기술개발테마현황 중소기업기술개발테마 21 기술개발테마 SoC 부품 1. 개요 외부환경분석 기업분석 기술개발현황 연구개발네트워크 기술로드맵기획 77 전력반도체소자 1. 개요 외부환경분석 기업분석 기술개발현황 연구개발네트워크 기술로드맵기획 131

4 고주파반도체 1. 개요 외부환경분석 기업분석 기술개발현황 연구개발네트워크 기술로드맵기획 179 광학부품및기기 1. 개요 외부환경분석 기업분석 기술개발현황 연구개발네트워크 기술로드맵기획 224 반도체검사장비 1. 개요 외부환경분석 기업분석 기술개발현황 연구개발네트워크 기술로드맵기획 261 반도체패키징소재 1. 개요 외부환경분석 기업분석 기술개발현황 연구개발네트워크 기술로드맵기획 303

5 반도체공정장비 1. 개요 외부환경분석 기업분석 기술개발현황 연구개발네트워크 기술로드맵기획 347 반도체센서 1. 개요 외부환경분석 기업분석 기술개발현황 연구개발네트워크 기술로드맵기획 387 반도체화학소재 1. 개요 외부환경분석 기업분석 기술개발현황 연구개발네트워크 기술로드맵기획 456

6

7 지능형센서 전략분야현황분석

8

9 지능형센서 지능형센서 1. 개요 가. 정의 스마트자동차, 사물인터넷, 웨어러블디바이스등 IT 융합제품에서지능형서비스를수행하는소프트웨어와시스템반도체 (System on Chip: SoC) 가융합된지능형전자부품을통칭 오는 2020년까지세계시스템반도체시장 10% 점유를목표로하는지능형반도체사업에업계관심이집중되고있음. 미래부에따르면, 2020년지능형반도체핵심분야사업은총 9개로나뉠수있음 [ 2020 년지능형반도체핵심분야 ] 구분분야관련제품 주력산업 미래신시장 5G 이동통신심해저해양플랜트스마트자동차지능형로봇착용형스마트기기실감형콘텐츠 - AP - 저전력칩 - RF 칩 - 시스템반도체 - 고집적회로 - 비디오칩 - Wi-Fi 칩 - 시스템반도체 - 고집적회로 - 음성인식칩 - 저전력칩 - 소형화칩 - 멀티미디어반도체 맞춤형웰니스케어 - 바이오피드백칩 복지및산업 재난안전관리스마트시스템 - 스마트센서칩 신재생에너지하이브리드시스템 - 에너지 SoC * 출처 : 과학기술정보통신부 더많은기능을작은칩에넣기위한초미세공정개발과함께위의표와같이특정목적을위한맞춤형설계및생산능력이지능형반도체산업의경쟁력으로부각되고있음 사람과사물은물론, 사물인터넷 (IoT), 자율주행등다양한기기가연결되면서지능형반도체의신규수요처가빠르게증가해반도체의집적도만으로수요를충족시킬수없는상황으로변화 미국에선구글, 인텔, IBM 등이지능형반도체최고기술을보유하고있으며, 유럽에선 ARM 을 1

10 전략분야현황분석 선두로전쟁부품, 산업용로봇, 의료로봇, 드론기업들간협업이이뤄지고있음 지능형반도체세계시장규모는 2018 년 3767 억달러, 2020 년 4559 억달러에이를것으로전망 나. 범위및분류 지능형반도체의주요응용분야는스마트인지 제어, 스마트통신, 초고속컴퓨팅으로분류되며, 분야별세부적으로파생되는신기술분야는광범위함 스마트인지 제어반도체는지능형시스템의인지및제어에사용되는소프트웨어 SoC 기술로, 최근머신러닝 (Machine Learning) 기술을기반으로한성과들이발표되고 IT 업계의주목을받고있는분야임. 세계최초집적화된형태의뉴로모픽칩이 IBM에의해발표된이후전세계적으로지능형반도체기술개발경쟁촉발 스마트통신반도체는스마트통신을구현하기위한다양한커넥티비티 SoC 기술로, 5G와같은차세대이동통신, 스마트운송, 스마트재난안전서비스등고속데이터통신기능의기반 초고속컴퓨팅반도체는초고속연산처리가가능한지능형반도체소프트웨어 SoC 기술로, 사물인터넷, 빅데이터, 스마트로봇등제품구현에사용되는필수기반부품 [ 지능형반도체 3 대응용분야및세부기술 ] 구분세부기술주요제품및기술 얼굴인식 사람이상의인식률 99.15% 달성발표 ( 페이스북, 2015) 스마트인지 제어반도체 사용자취향분석을통한콘텐츠및광고추천 자동통역 넷플릭스 (Netflix), 아마존 스카이프 (Skype), 마이크로소프트 개인형음성비서및대화형교육서비스 애플시리, IBM Watson CogniDoll 고속이동통신 5G, AV 코덱등에사용되는고속이동통신기술 스마트통신반도체 광대역네트워크 광기반고속네트워크, 차량 선박 항공용통신시스템, 기저대역모뎀 HW 설계기술등 초저전력커넥티비티 근접통신, 협업미들웨어, 자율제어등 초고속컴퓨팅반도체 뉴로모픽고속컴퓨팅지능형메모리빅데이터고속처리 IoT 프로세서 자율학습및판단을가능하게하는기술로, 뉴로모픽 뉴로시냅틱 칩신경망등의분야에응용 SSD, UFS 등메모리와 PU/Controller 가융합돼독립적인기능담당 다량의정보실시간분석, 고속연산처리지능형반도체분야로 Multi-Thread, Parallel Processing, 빅데이터등에응용 사물인터넷디바이스를위한소형, 저전력프로세서, 다양한응용을위한주변장치 IP 기술 * 출처 : KOTRA 글로벌윈도우 2

11 지능형센서 2. 국내외정책동향 가. 해외정책동향 미국, EU 등주요국들은일찍이지능형반도체선점을위해정부정책을발표하고적극적인투자로다양한프로젝트진행 해외주요국은지능형반도체분야의경쟁우위확보를위해국가적차원의중 장기적대응방안을수립하고관련산업성장기반을조성중 미국, EU, 일본등선도국들은민 관협력에기반한원천기술개발과응용제품의경쟁우위확보를위한지능형반도체고부가가치화에집중 중국, 대만등도정부주도의공격적투자로핵심요소기술확보에주력하고전문인력확충등산업성장기반조성에매진 미국은 2008년방위고등연구계획국 (DARPA) 이인간의뇌구조와유사한형태를지닌데이터처리칩셋인뉴로모픽칩개발을위해시냅스 (SyNAPSE, System of Neuromorhic Adaptive Plastic Scalable Electronics) 라는프로젝트를착수하였으며, IBM의주도로연구기관및대학들이참여하여개발 유럽에서도미국과마찬가지로인간뉴런을모사한지능형반도체개발을위해휴먼브레인 프로젝트 (Human Brain Project: HBP) 에착수하였으며, 2013 년부터 10 년간총예산 10 억 유로 ( 한화 1 조 2000 억원 ) 를투자 일본의경우반도체시장의경쟁력회복을위해 MIRAI, ASUKA 등과같은민 관협동 정부사업을실시하고, 차세대반도체개발을집중적으로지원 중국은반도체분야에전방위투자를진행하고있으며, 특히, 파격적인투자, 세제혜택을 통한핵심요소기술의국산화에주력 [ 주요국지능형반도체관련정책 ] 국가 미국 주요내용 민 관협력을통해지능형반도체원천기술개발에주력 - (DARPA) IBM 의지능정보칩프로젝트에투자, 고속지능정보처리기능을지능형반도체에구현하기위한프로젝트를진행 EU EU 의대학, 연구소등을중심으로인공지능칩개발에중점 - 인간뉴런을모사한인공지능칩등개발을위한 Human Brain Project(HBP)' 추진, 총예산 10 억유로 ( 한화 1 조 2000 억원 ) 규모투자 일본 지능형반도체 + 응용제품기술개발을위한지원프로그램제공 - MIRAI(2001~2007 년 ), ASUKA(2006~2011 년 ) 등정부사업을통해 1 조 2818 억원을투입, 시스템반도체집중투자 3

12 전략분야현황분석 국가 주요내용 중국 - 파격적투자 세제혜택을통한핵심요소기술의국산화에주력 - 반도체생태계의전방위적지원을위해 190 억달러규모의 National IC Industry Investment Fund 조성계획발표 ( ) 년이후 10 년간반도체분야약 180 조원투자결정 대만 - 정부주도로인력양성 유치, 기업생태계조성등강력추진 - (Si-Soft 프로그램, 2003~2007) SoC 분야인력양성에총 2.5 억달러투자 년신주과학산업단지조성, 기업 (TSMC UMC 등 ) 육성토대마련 * 출처 : ETRI 4

13 지능형센서 나. 국내정책동향 정부가미래부 산업부중심으로지능형반도체관련사업추진 지능형반도체는스마트카, 사물인터넷, 웨어러블디바이스등의스마트기기가지능형서비스를제공할수있도록하는 SW 및 SoC 융합기술로반도체관점에서는시스템반도체, SW 관점에서는시스템소프트웨어및부가서비스구현 SW 등이모두포함 특히지능형반도체는산업적파급효과가큰핵심부품이자기반요소로, 향후다양한주력산업과융합한시너지창출기대 * 출처 : 임채덕외, 2013 * 출처 : 산업연구원 [SW-SoC 융합개념도 ] [ 지능형반도체범위 ] 정부는상대적으로열악한시스템반도체육성을위해 2010년부터종합발전계획을수립, R&D사업신설등체계적인지원방안을추진 시스템IC 2010사업, 시스템IC 2015사업 ( 시스템반도체상용화기술개발 ) 을통해시스템반도체개발을지원하고, 중소 중견팹리스및파운드리업체육성을장려 시스템반도체및장비산업육성전략은 2015년까지약 1조 7,000억원을투입하여시스템반도체와장비의국산화및세계적기업육성등을목표로함 임베디드 SW 분야는 2013 년말발전전략을수립하고, 이를토대로주력산업연계형 R&D, 고급인력양성, 시장활성화및산업생태계개선정책추진중 산업부는 월 임베디드 SW 발전전략 을발표하고, 이에따라주력산업별 SW + SoC + 플랫폼 등의통합개발을추진 최근에는 SoC-SW 플랫폼등융합기술의중요성이강조되면서관련사업이활발하게추진중 5

14 전략분야현황분석 지능형반도체분야의핵심원천기술과시장선점을위해중장기지원방안을수립하고관련성장기반조성중 과학기술정보통신부는 2014 년에지능형반도체를 13 대미래성장동력중하나로선정하고, 기술개발, 인력양성, 생태계조성을지원하기위해 2015 년과 2016 년종합실천계획을발표 지능정보기술기반의제 4 차산업혁명에대응하기위해수립한 K-ICT 전략 2016 에서는지능형반도체를 ICT 선도사업차세대경쟁력확보전략의첫번째핵심과제로선정하고, 기초 원천기술확보에주력 2016 년 12 월에발표된지능정보사회중장기종합대책에서는지능정보기술확보의일환으로초고성능컴퓨팅핵심요소기술및지능형반도체기술고도화와뉴로모픽칩연구를추진 산업통상자원부에서는지난 3 월시스템반도체산업경쟁력강화방안을발표하고저전력 초경량 초고속반도체설계기술확보에 2,210 억원을투자한다고발표 [ 국내지능형반도체관련정책 ] 주요정책 주요내용 미래성장동력종합실천계획 ( ) - 미래성장동력선정, 기술개발 인력양성 생태계조성지원 - 인간지능형컴퓨팅지능형반도체핵심요소기술개발, 아키텍트급고급인재양성, 중소기업연구개발환경및창업활성화지원등 K-ICT 전략 2016 (2016.5) - ICT 선도사업차세대경쟁력확보전략핵심과제로선정 - 지능형반도체의기초 원천기술확보에주력 지능정보사회중장기종합대책 ( ) - 지능정보기술확보의일환, 지능형반도체에전략적 R&D 투자 - 지능형반도체기술고도화, 뉴로모픽칩선도연구추진 시스템반도체산업경쟁력강화방안 ( ) - 시스템반도체산업선도국도약위한정책과제제시 - 저전력 초경량 초고속반도체설계기술확보, 인력양성등 * 출처 : IITP 융복합소재, 부품개발을효율적으로지원할수있도록소재, 부품인프라개선 현재 15개신뢰성센터를 5개융합얼라이언스체계 ( 금속, 화학, 섬유, 세라믹 / 전자, 기계 / 자동차 ) 로개편 첨단소재, 부품정보를수집. 재생산할수있는빅데이터플랫폼, 상용화기간. 비용을단축할수있는가상공학플랫폼을구축 첨단소재. 부품산업인력양성을위해소재. 부품분야를총괄하는인적자원협의체를지정하고, 가상공학전문인력등융, 복합소재. 부품관련인력양성을확대할계획 소재. 부품기업의글로벌진출역량을향상시키지위한지원을강화하고지속확대 6

15 지능형센서 3. 산업이슈및동향 가. 산업이슈 센서는 4 차산업혁명을주도하는핵심아이템 센서는특정대상에서아날로그데이터를선택적으로검출하여유용한전기및디지털신호로 변환하는장치이며모든사물인터넷 (IoT) 기기에부착되어압력, 온도, 속도, 이미지등 아날로그정보는물론다른 IoT 기기에서생성되는디지털정보등도측정 인간이오감을통해주변환경을인지하고상황을추론하는것처럼모든 IoT 기기는센서로 데이터를수집, 분석하고부가가치를창출 스마트카와스마트폰을주축으로全분야에서수요가급증, 2025 년경에는센서 1 조개 (Trillion) 시대도래예상 Big 2 수요처인자동차와핸드폰이계속해서시장을주도 자동차대당센서사용은 15 년 200 개에서자율주행시대에는더욱증가 일반폭에서는이미지와음향한대에 2 개의센서만사용되었지만차세대폰에는한대에 20 개 이상의센서가사용될전망 현재매년 10 억개의센서가출하. 생산량은연평균 50% 이상증가하는추세이며 10 년 전후로매년 1 조개가생산될것으로예상 자료 : Trillion Sensor Summit, LG 경제연구원 (2014) 재인용 [ 주요기업의센서글로벌수요전망 ] 7

16 전략분야현황분석 모바일센서기술의확대적용 모바일센서는스마트기기에점차다양한기능을제공하기위해어플리케이션별로개발및 탑재되는형태를보이고있음 기본적인센서기술이 MEMS, 나노등의미세기술과반도체의 SoC 기술등이전반적인 기술적융합및진화를거듭함으로써복잡한기능을제공할수있게변화중임 주로모바일기기의제어, 판단, 저장, 통신등의기능을높이는차원에서센서기술이 적용되었던반면에, 최근에는인간의가장가까운곳에서존재하는기기로써여러 어플리케이션을적용할것으로예상됨 또한스마트기기의변화에따라기존의센서들을물리적으로소형화시키거나투명화시킬수 있는기술에대한개발도진행중임 8

17 지능형센서 나. 핵심플레이어동향 해외업체동향 독일의 인더스트리 4.0 이당초정보통신산업협회 (BITKOM), 기계산업협회 (VDMA), 전자산업협회 (ZVEIBITKOM) 등산업협회주도의연구중심프로젝트였다면 2015년출범한 플랫폼인더스트리 4.0 은범국가적으로민 관 학이모두참여하는형태로바뀌었다는특징이있으며이는표준화연구중심으로인한더딘진행, 인더스트리 4.0에대한중소기업의인식부족, 그에따른확산저해등에대응하여실용성과실행력을강화하는차원에서추진주체를확대한것이며, 인더스트리 4.0 추진을본격화하면서개별기업의범위를넘는공통과제를선도하고기업간이해관계조정을위한정부의조정자역할이필요하였기때문 독일은종합적인비전과함께달성과정에서발생할이슈에대한구체적인실행방안을제시하고있으며독일인더스트리 4.0의최종목표는 전국가의스마트공장화 이며이를통해첫째, 규격품뿐아니라고객주문형상품도대량생산할수있는다품종대량생산시스템을실현시키고 2020년이후에는독일제조업전체가스마트공장으로연결되어거대플랫폼화하는로드맵을제시하고있으며둘째, 독일내의모든공장을단일의가상공장환경으로만들어국가단위의생산및수요예측이가능한 21세기공장생태계를실현하고자하며이러한비전은전체국가가나아갈방향을제시하고추진동력을확보할수있다는측면에서의의가큼 일본정부는 2013년 6월부터산업경쟁력강화및성장전략으로서 재흥전략 을수립및운영해왔으나, 정책수립차원에서 4차산업혁명을인식하기시작한것은 2015년일본재흥전략개정판부터이며 2011년부터미국정부가첨단제조파트너십 (Advanced Manufacturing Partnership) 정책을, 독일정부가인더스트리 4.0을추진한데비하여정책대응이다소늦은편인데, 이는초기일본정부가 4차산업혁명의핵심요소기술인산업용 IoT 등을기업단위의대응문제로인식한데따른것으로보임. 일본은 4차산업혁명에대응한전략수립에있어, 제조강국으로서강점을최대한활용하려고하며특히, 부품소재등기반산업, 센서, M2M(Machine to Machine) 등공장자동화분야에서세계최고수준의경쟁력을보유하고있어, 미국이압도적으로앞서있는가상데이터 (virtual data, 이하 virtual data로표기 ) 분야는과감히포기하고제조현장데이터 (real data, 이하 real data로표기 ) 활용에집중하고있음 9

18 전략분야현황분석 국내업체동향 삼성전자가모바일 AP, CMOS 이미지센서, DDI( 디스플레이구동칩 ) 등에서세계적경쟁력을 확보중이며, 일부 2-3 개社을제외한대부분팹리스社들은 1,000 억원미만의영세한 중소기업임 낮은기술경쟁력으로인해핵심 SoC 의국산화율은 5% 미만 자동차, 모바일등수요산업과연계하여시장규모가크고단기상용화가가능한 시스템반도체 (SoC) 핵심요소기술확보및국산화추진 산업통상자원부 ( 이하산업부 ) 의보도에따르면, 국내센서내수시장은 12 년약 54 억달러 규모에서 20 년 99 억달러규모로연평균 10.4% 성장할것으로전망 국내내수시장은세계시장보다높은성장률이예상되나국내기업의내수시장점유율은 10.5% 수준으로매우낮은상황 국내기업의생산액은 12 년기준 13.3 억달러규모로세계시장에서차지하는비중은 1.9% 로매우낮은수준 정부는 첨단스마트센서육성사업 에 15 년부터 6 년간 1,508 억원을투자할계획이며, 20 년기준 42 억달러생산과 21 억달러수출을달성할것을목표로설정 국내수요기업은국내제품의신뢰성, 첨단센서의성능문제등으로센서수요를해외기업으로부터 주로조달 센서수요기업은성능 신뢰성등을이유로해외제품을사용하고국내센서기업은영세성과 기술력부족등으로혁신을회피하는악순환형성 10

19 지능형센서 4. 시장동향및전망 가. 세계시장 각국가별시장동향 세계센서시장은 2016 년 3,452 억달러에서 2021 년 3,669 억달러로연평균 3.1% 의고성장을 지속하고있음 [ 세계반도체시장규모및전망 ] ( 단위 : 백만달러, %) 구분 CAGR 세계시장 345, , , , , , * 출처 : Gartner , '13-'15 년연평균성장률자료를참고하여 년전망치추정 2012년기준으로북미지역이 224억달러, 점유율 33% 로가장크고, 유럽, 아 태지역순으로형성되어있으나 2019년기준으로중국을포함한아 태지역이유럽을제치고 2번째큰시장을형성할것으로예상됨 이미지센서, 압력센서, 바이오센서순으로시장비중이큰것으로조사됨 자동차산업 (24%) 과장치산업 (18%) 이가장높은비중을차지하고있으며, 모바일등소비재산업 (17%) 과의료산업 (11%) 에적용되는센서비중이높아지고있음 이외에도기계및제조업, 건설산업, 항공기및선박건조등다양한산업분야에센서적용이확대되고있음 일본닛케이베리타스전망에따르면 10년후세계센서수요는현재보다 100배인 1조개로늘어날것으로보고있음 ( 지구촌인구가약 72억명으로계산하면한사람당약 140개의센서가주변에있다는것을의미함 ) 스마트카용센서시장은 2014년 199억달러규모에서연평균 9.7% 성장하여 2020년에는 352억달러규모로성자할전망 응용유형별로는 Power train 부분의센서시장이가장큰비중을차지하나, Driver support와 safety/security 부문이향후성장을주도할전망 기술별로는 Rotational motion 센서가가장큰비중을차지하나, 향후성장은무인주행과스마트운행에대한필요성증가로인하여 Image/vision 센서와 Radar/lidar 센서부문이주도할전망 11

20 전략분야현황분석 나. 국내시장 국내센서내수시장은 2016 년 51 조 5912 억원에서 2021 년 54 조 9,438 억원규모로 연평균 3.2% 성장전망이나, 국내기업의내수시장점유율은 10.5% 수준으로매우낮음 [ 국내반도체시장규모및전망 ] ( 단위 : 억원, %) 구분 CAGR 국내시장 515, , , , , , * 주 : 시장규모는생산 + 수입 - 수출 * 출처 : 과학기술정보통신부, 한국정보통신진흥협회, 한국전자정보통신산업진흥회연도별자료를참고하여 년전망치추정 내수시장에서도 10.5% 정도만국산센서가사용되고, 센서전문기업의 63% 가연간매출액이 50억원에도미치지못하는영세기업으로구성 센서수요기업은성능, 신뢰성등을이유로해외제품을사용하고국내센서기업은영세성과기술력부족등으로혁신을회피하는악순환형성 글로벌화된수요기업은검증된해외센서를사용하고국내센서기업은저가센서조립생산및이로인한첨단센서개발역량취악의악순환지속 우리정부는 월 센서산업발전전략 을발표하면서센서산업육성을위해적극추진중이며향후 6년간 (2014년 ~ 2019년 ) 약 3,300억원의자금지원중 7대산업분야선정 : 자동차, 모바일, 로봇, 보안, 바이오 의료, 환경, USN 7개핵심소자 ( 자기센서, 압력센서, 관성센서, 영상센서, 레이더센서, 화학및광학센서 ) 와 2개기반기술지원 국내센서기반 IoT 활용서비스 비지니스추진의어려움 타산업분야로의확산은아직미진하며, 사업성이검증된성공사례가드물어관련기업은도전적투자주저 ( 전체제조업에서의 IoT활용비율은 5.6% 등전반적인확산미진 ) 대기업은시장불확실성으로대규모투자를주저하고, 중소기업은가치사슬내다양한사업자를모아서비스를만들어낼역량부족 수요자는사물인터넷제품, 서비스에대한필요성을크게느끼지못하는상황 ( 사물인터넷제품및서비스를있으면좋지만반드시필요하지않은것으로인식 가트너 2014년 ) 12

21 지능형센서 5. 기술동향및이슈 가. 기술동향 (1) 해외기술동향 반도체및전문센서업체들이자동차용센서시장의주도권을확대하고있음 미국 : Freescale, Systron Donner, Analog Device 등의업체에서압력센서와능동안전시스템을위한다양한센서개발및생산 일본 : Denso, Panasonic, Tamagawa Seiki, Hitachi Auto Motive 등의업체에서아시아지역을타겟으로능동안전시스템용센서개발및생산 유럽 : 독일의 Bosch, 프랑스의 Schneider Electric 등이 MEMS 등을생산 이스라엘 : Mobileye가단안카메라센서모듈로시장선점 ( 약 80% 정도 ) 이외에콘티넨탈, 보쉬, 덴소, 델파이등대형부품업체가카메라, 레이더센서모듈의주요공급물량을독점하고있음 (2) 국내기술동향 국내의경우바이오센서기술개발은주로기초중심의연구가대부분인것으로확인됨 바이오센서연구는 1999년부터 2013년까지총 752건이며그중 752건이며그중시스템측면에서접근한종합적성격의연구는 71건으로 10% 수준임 바이오센서시스템연구는 2001년부터시작되었으나바이오센서를구성하는시스템에관한연구로이것이실제제품화하는측면까지고려되지않았으며, 현재까지도기술개발이가장긴혈당측정기구와관련된제품이제대로출시되지않는상황임. 주요바이오센서시스템관련기술개발동향 투명유연센서 한국전자통신연구원에서 투명촉각센서 를세계최초로개발하였고, 실용화를위한다양한연구를 진행중임 ( 대덕넷, ) 국내자동차용센서 가속도, 자이로, 압력센서등자동차용 MEMS 센서는안전관련고신뢰성을요구하는품목으로완제품을전량해외수입을통하여조달하거나관련소자를수입하여패키징하는단계 국내자동차용센서시장규모는 14년기준 10억달러규모로커지고있으나국내업체의시장점유율은 23% 수준에불과하며, 고부가가치첨단센서의경우 100% 수입산에의존하고있는실정 선진업체의 R&D, 생산등에대한투자확대로후발업체와의격차는더욱확대될전망 13

22 전략분야현황분석 * 출처 : 한국자동차산업연구소, 자율주행차핵심요소기술및업체전략, 2015 [ 지능형센서관련주요기업 ] 14

23 지능형센서 나. 주요업체별기술개발동향 (1) 해외업체동향 스마트폰센서별업체시장점유율 ( 단위 : %) 감성인지센서 : 시각, 청각, 미각, 후각, 촉각등영역별로진행하고있는수준이며상당히오랜기간동안연구개발투자를진행하고있는것으로나타남 시각 : 도요타, 토비테크놀로지가다양한분야 ( 업체 ) 에서가장활발히기술개발이이루어지고있는상황이며이는이미지센서의기술수준이여타센서에비해높기때문임 도요타는얼굴표정을정보로인식하는센서를통해슬프거나화가난상태를판단하여운전자에게미리경고하는시스템을개발중 (MSN Money, 2012) Tobii Technology: 사용자의시선을센싱하여커서를움직이게하는새로운입력장치를개발중 청각 : 기술개발중인내용을살펴보면우선청각장애인에게실제소리를제공할수있는전달체로써의센서와기계가사람의목소리를알아듣고판단할수있는센서로크게진행중 NTT 도코모 : 기계가인간의음성을인식하고의미를해석하여사용자와대화할수있도록하는 말하는컨시어지 서비스를출시 ( 中深 郞, 2013) 촉각 : 주로실제사람의손이나발형태로만들어진로봇에인간의근육움직임, 손과발동작등의움직임을인식하는촉각센서를개발중 USC(University of South California) 의 Viterbi 연구팀이개발한촉각센서는 117개의재료를 95% 수준에서구분가능함 스위스로잔공대연구팀이주관하고프랑스와독일연구진이참여한인공손이식실험을 13년말시행하였고그결과를 14년 2월미국의학저널 사이언스트랜스래이셔널메디슨 에실림 후각및미각 : 아직인간의정보전달물질에대한기초학문의발달이미진함에따라사람처럼냄새를맛거나맛을느끼는센서에대한연구는미흡한수준임 6~24개의센서가각각특정물질에대응함으로써맛과냄새를센싱하고이를기구축된데이터베이스와비교하여판별하는형태이며, 아직센서의가격이 2~10만달러수준으로높게 15

24 전략분야현황분석 나타남에따라기술개발이더딘상황임 최근인간이나동물의후각또는미각수용체의매커니즘을이용한바이오센서를통해미각과후각 정보를센싱할수있는센서에대한기술개발이진행중인것으로나타남 투명유연센서 유연한재질을사용할시에도센서가제기능을발휘할수있도록하는기초원천기술로주로미래의디스플레이형태와관련이있음 미국 Amtel 社는플렉시블디스플레이를위한터치스크린관련콘셉제품을지속적으로제시하고있으며, 3M 社역시투명유연터치스크린개발에참여하고있는상황 자동차용센서 Freescale: 압력센서, 에어백및 ESC용가속도센서등을주로생산 Systron Donner: 항공기, 우주선등에사용되는센서를공급하는업체로자동차용각속도센서시장에우위를점하고있음 Analog Devices: 에어백용가속도계, 각속도계등을생산 Sensata: 압력센서, NOx, 가속도센서를주력으로생산하고있으며, 압력센서의경우글로벌시장점유율이 31% 에이르고있음 Denso: 도요타와혼다등을주요고객사로하는아시아지역의공급업체 Panasonic: 최근 GPS와 ESC용각속도계를강하게추진 Tamagawa Seiki: 하이브리드모터관련센서에전문화되어도요타, 혼다하이브리드차종에센서를공급 Hitachi Auto Motive: 능동안전시스템용통합센서개발에주력 Bosch: 현재까지자동차용 MEMES 센서에서선두업체 Schneider Electric: 압력센서와각속도계를생산 16

25 지능형센서 (2) 국내업체동향 엘바이오 : 2004 년에중소벤처기업부의중소기업기술혁신개발사업으로 바이오센서를이용한무채혈혈당측정시스템개발 이수행되었으나해당연구기업은무채혈혈당측정제품을상용화하지못한것으로조사됨 KMH 社 : 2008 년에무채혈혈당측정기를식약청으로부터허가를받았지만실제로제품이판매되지않았고, 2009 년감사의견의견거절에의해유가증권시장상장폐지됨 주로소규모단년도과제 ( 최대 20 억이내, 2~3 년이내 ) 로써특정기술이제품화하기까지중장기적기획및사업이없는실정 다. 기술인프라현황 센서는 4 차산업혁명을주도하는핵심아이템 모든사물인터넷 (IoT) 기기에부착되어압력, 온도, 속도, 이미지등아날로그정보는물론다른 IoT 기기에서생성되는디지털정보도측정 스마트카와스마트폰을주축으로전분야에서수요가급증, 2025 년경에는센서 1 조개 (Trillion) 시대도래예상 센서산업에 SW 업체, 전문생산기업, 사용자그룹이새롭게진입, 기존강자와 4 자구도를형성하고경쟁과협력 (Coopetition) 증가 소프트파워역량 ( 데이터분석력, 생산노하우, 업계전문성 ) 을경쟁우위요소로내세우며 SW 업체, 전문생산기업, 사용자그룹의위상강화 기존강자는 SW 역량강화및전문생산업체 (Foundry) 제휴등을통해시장수성노력 센서사업의중요성에도불구하고우리나라는대부분의센서를해외에서수입, 국내의설계및생산기반은매우열악 국내수요약 70 억달러중 90% 를해외에서수입하는구조 국내생산업체의 85% 가매출액 300 억원미만인소기업으로구성 4 차산업혁명에대비하기위해센서산업육성이시급하며산업재편이일어나려는지금이진입적기, 생산업체를주축으로수요, 설계,SW 업체가동반성장하는산업생태계구축이필요 최우선적으로국내생산인프라확충이절실 센서산업을측정하고자하는핵심기능을중심으로압축하고, 설계 - 생산 -SW- 수요업체들이참여하는중장기마스터플랜설계 향후센서경쟁력에서는소프트파워역량이중요하기때문에생태계에 SW 업체의참여가무엇보다중요 17

26 전략분야현황분석 6. 중소기업시장대응전략 Factor 기회요인위협요인 정책 정부의지능형센서에대한지속적인육성의지 지능형센서부품산업활성화및저가격화 정부의지능형센서개발지원정책제고 중국, 미국등전세계주요국가의경쟁적인 지능형센서산업지원 국내개발자, 사용자, 수요자의협동연구부족 산업 대기업을중심으로활발한투자확대 지능형기반기술확보용이 최근중국및미국등과의반도체개발로국내제품경쟁력위협 글로벌기업이세계시장기술선점으로인한국내중소기업초기진입애로 시장 Wellbeing, 고령화, 핵가족화, 삶의질 향상등에 대한사회패러다임의변화에따른수요급증 인터넷 국제화시대 에의한반도체필요성급증 기술력이낮은제품의경우중국의저가품유입으로 인해시장점유경쟁치열 빠른속도로반도체관련제품들의출시지속 기술 모바일용 SoC등세계선도제품개발기술력보유하여차세대 SoC에적용가능 산업과 ICT의융합에따른단기사용화가능분야적용군확대 낮은기술경쟁력으로인해핵심 SoC의국산화율은 5% 미만 전문인력및개발비용이커서중소기업들이접근하기쉽지않은분야 정부의반도체산업적극적지원및생태계조성 4 차융복합산업에대응필요 경쟁력있는분야를기반으로기술적용 개발자, 사용자, 수요자의협동연구체계수립 고령화, 핵가족화, 삶에질향상소비자니즈부합 중소기업의시장대응전략 기술력향상, 수요확대등을위해정부의적극적인지원정책필요 정부, 대기업중심에서원천기술인지능형서비스에대한투자를적극장려하는한편, 중소기업은아이디어와기술력을집약시킨부품및부분품의개발 기경쟁력을확보하고있는분야를기반으로타분야적용가능하도록제품개발 18

27 지능형센서 7. 주요기술개발테마현황 가. 기술개발테마별개요 기술개발테마 광학부품및기기 개요 렌즈를통해들어온이미지를디지털신호로변환시키는부품이며, 모듈을구성하는이미지센서와렌즈모듈, IR-filter Package 등의개발및양산기술포함 반도체검사장비 반도체제조공정에서공정이완료된후웨이퍼와패키지상태에서반도체칩이제기능을올바로수행할수있는지를확인하고불량유무를결정하는장비 반도체공정장비반도체패키징소재전력반도체소자고주파반도체 SoC 부품반도체센서반도체화학소재 반도체회로설계, 웨이퍼제조등반도체제조를위한준비단계부터웨이퍼를가공하고칩을제조하는단계까지의모든장비를지칭 반도체칩에필요한전원을공급하고, 반도체칩과메인 PCB 간에신호연결을위해전기적으로연결하고외부의습기나불순물로부터보호할수있도록포장하는데필요한소재 전력반도체전력을시스템에맞게배분하는제어와변환기능을가진소자로에너지절약및제품의크기를축소하기위해전력변환장치에사용 고주파수대역신호를고속처리할수있는고주파시스템에사용되는고주파반도체소자 스마트폰, 태블릿등차세대이동통신기기에필수적으로내장되어동영상 멀티미디어콘텐츠, 웹콘텐츠등의다양한데이터서비스를지원할수있는관련부품외부로부터의갖가지신호를전기신호로변환하는것으로, 반도체의여러가지효과가이용되고있으며, 이것을이용한다양한센서를통틀어반도체센서라고함 반도체용화학소재로박리성, 도전성및정전기차폐등의기능성이부여된소재및고성능반도체소재를지칭함 광계측및센서 빛을생성하는광원, 광을송신 / 수신하는광학측정, 평가기술 LED 광소자 외부에서에너지를흡수하여임으의형태로방출하는소저 반도체잔류가스제거장치 반도체건식식각장비 반도체제조공정과정에서발생하는오염과이상반응에따른웨이퍼잔류가스제거장치 반도체소자에필요한각종박막을플라즈마와각종반응성가스를이용하여패턴을형성하는장비 반도체세정장비 다양한종류의기판의오염물을제거하는세정공정을수행하는반도체장비 19

28 전략분야현황분석 나. 기술개발테마별동향및전망 기술개발테마 광학부품및기기 동향및전망 국내광학부품및기기업체는지속적이신기술개발을통해점차신제품에서가시적인성과를나타내는중 반도체검사장비 현재종합반도체검사장비시장은외국계기업의시장점유율높지만, 메모리검사장비분야에서국내중소기업들이높은경쟁력보유 반도체공정장비반도체패키징소재전력반도체소자고주파반도체 SoC 부품반도체센서반도체화학소재광계측및센서 국내반도체장비및핵심부품의국산화율은 20% 이하수준이지만, 국내업체들은증착장비분야에서강점을보임 세계주요공급업체들의높은진입장벽으로국내기업의시장진입여의치못했으나, 최근차세대기술확보통한기술격차좁힘 국내중소기업은글로벌기업의과점으로인해어려움을겪고있는상황이지만, 신재료개발을통한중소기업틈새시장공략가능 우리중소기업의강점인반도체기술을기반으로한무선송신 IC 와디지털및아날로그 IC 와의통합기술이용시장선도가능분야 SoC 부품은다양한서비스를이용할수있도록변화하는중이며동영상, 멀티미디어에강점을가진국내중소기업이뛰어들수있는다양한분야존재스 세계반도체센서시장은 IT 융합의진전으로급성장중이며반도체미세공정에강점이있는국내중소기업의활약가능 반도체소재산업은첨단산업이면서도중소기업이참여가능한산업으로서공정용가스및화학약품등은수요가적고품목이다양하여전문기술을보유한중소기업에적합 중소기업진출가능한다양분시장존재 LED 광소자 LED 산업은중소기업이시장진출하기매우용이한분야 반도체잔류가스제거장치 반도체제조공정에가스잔류제거장치의비중이점점증가하는추세 반도체건식식각장비 반도체건식식각장비는반도체공정에서필수분야 반도체세정장비 반도체세정장비는반도체공정필수장비로중소기업진출가능 20

29 지능형센서 8. 중소기업기술개발테마 가. 중소기업기술수요 중소기업, 대기업, 공기업등에대하여설문조사및방문조사를통하여기술수요조사를실시 조사결과광학설계기술, 반도체패키지기술, 핵심센서칩제조기술, 회로설계, 특수소재의원재료개발, 웨이퍼크기의정밀한고분자필름 cutting기술, 고집적반도체구현기술등의수요가있는것으로조사 중소벤처기업부 R&D지원사업에신청한과제를반도체산업을반도체주요품목별로분석한결과 SoC, 반도체장비용핵심부품및제조장비, 측정 / 검사장비, 기타반도체장비, 기타반도체소자, Si소자순으로중소기업이기술개발에관심을보이는것으로분석 주요품목별기술개발과제가증가하는추세를살펴보면노광트랙장비의증가율이가장높게나타났으며, 센서용소자, 기타반도체소자, 반도체재료순으로기술개발이증가하는것으로나타남 주요반도체분야별신청과제에대한내용을분석하여각분야별로중소기업이관심을갖는제품을파악 반도체소자및시스템분야에서는플립칩패키지용범프표면처리공정, CMOS 기반 (MEMS) 의마이크로어레이 (microarray) 바이오센서, IoT 기반의헬스케어를위한웨어러블산화물반도체디바이스및측정플랫폼, 전력반도체테스트모듈등과관련된기술개발에대한수요가높은것으로나타남 반도체장비분야에서는차세대기술융복합형 SSD 테스트장비, 차세대포토마스크및포토레지스터 master, 친환경고효율열처리기술을기반한 Heat Exchanger 장치, 플렉서블디바이스응용을위한고효율플라즈마원자층증착기 (Plasma-Enhanced Atomic Layer Deposition), 반도체 / 디스플레이공정용플라즈마화학반응계수최적화프로그램, 플라즈마처리장치의트레이 (Tray) 의개량및개선, 적층형반도체패키지용보이드제거및플라즈마세정일괄공정시스템개발등과관련한수요가높은것으로나타남 21

30 전략분야현황분석 [ 중소벤처기업부 R&D 지원사업신청과제현황 ] 주요품목 과제건수 합계 점유율 (%) 평균증가율 (%) MEMS 소자 센서용소자 반도체소자및시스템 Si소자 SoC 기타반도체소자 반도체재료 설계 Tool 화합물소자 지능형센서 기타반도체장비 노광트랙장비 반도체장비용핵심부품및제조장비 세정장비 반도체장비 에칭장비 열처리장비 이온주입장비 증착장비 측정 / 검사장비 패키징장비 폴리싱 (CMP) 장비 합계 ,

31 지능형센서 나. 중소기업기술개발테마 [ 지능형센서분야기술개발테마 ] 기술개발테마 개요 광학부품및기기 렌즈를통해들어온이미지를디지털신호로변환시키는부품이며, 모듈을구성하는이미지센서와렌즈모듈, IR-filter Package 등의개발및양산기술포함 반도체검사장비 반도체제조공정에서공정이완료된후웨이퍼와패키지상태에서반도체칩이제기능을올바로수행할수있는지를확인하고불량유무를결정하는장비 반도체공정장비 반도체회로설계, 웨이퍼제조등반도체제조를위한준비단계부터웨이퍼를가공하고칩을제조하는단계까지의모든장비를지칭 반도체패키징소재 반도체칩에필요한전원을공급하고, 반도체칩과메인 PCB 간에신호연결을위해전기적으로연결하고외부의습기나불순물로부터보호할수있도록포장하는데필요한소재 지능형센서 전력반도체소자 고주파반도체 전력반도체전력을시스템에맞게배분하는제어와변환기능을가진소자로에너지절약및제품의크기를축소하기위해전력변환장치에사용 고주파수대역신호를고속처리할수있는고주파시스템에사용되는고주파반도체소자 SoC 부품스마트폰, 태블릿등차세대이동통신기기에필수적으로내장되어동영상 멀티미디어콘텐츠, 웹콘텐츠등의다양한데이터서비스를지원할수있는관련부품 반도체센서 외부로부터의갖가지신호를전기신호로변환하는것으로, 반도체의여러가지효과가이용되고있으며, 이것을이용한다양한센서를통틀어반도체센서라고함 반도체화학소재 반도체용화학소재로박리성, 도전성및정전기차폐등의기능성이부여된소재및고성능반도체소재를지칭함 23

32

33 SoC 부품 기술개발테마현황분석

34

35 SoC 부품 정의및범위 SoC 부품은컴퓨터, 모바일기기, 가전, 자동차, 산업용전장기기운용을위해사용되는아날로그, 디지털및혼성신호들의수신, 가공, 변환, 생성, 전송하는일련의기능을수행하는반도체집적회로부품을통칭 임의의특정시스템이갖는다양한기능들을반도체회로에집적하고, 소프트웨어와결합하여운용함으로써시스템의고성능화, 소형화, 저전력화및지능화화를주도하는기술정부지원정책 2014년정부는지능형반도체 (SoC부품에 SW 기능을강화한반도체 ) 를 13대미래성장동력중하나로선정하고 2015년및 2016년에종합실천계획을발표하였으며생태계조성지원을비롯한중소기업연구개발환경및창업활성화지원 4차산업혁명에대응한 K-ICT 전략 2016에서지능형반도체를차세대경쟁력확보전략의첫번째핵심과제로선정하여핵심. 원천기술확보에주력 2017년 3월, 시스템반도체산업경쟁력강화방안의일환으로저전력, 초경량, 초고속반도체설계기술및핵심인력양성육성책발표 모바일 SoC 수요급증에따라, 해외의존도가큰중소팹리스용모바일 CPU 코어국산화기술개발 : 국산 CPU 코어 (Aldebaran, EISC 등 ) 를활용한 middle-tech SoC 제품중점지원중소기업시장대응전략 강점 (Strength) ( 환경 ) 국내시스템경쟁력 ( 가전, 모바일, 자동차 ) ( 기술 ) 우수한 IT 인프라 ( 정책 ) 중소. 벤처육성정책수립기회 (Opportunity) ( 환경 ) IoT, 웨어러블기기등신규틈새시장대규모근접중국시장 ( 기술 ) 기반기술연구 개발 ( 정책 ) 정부와업계의높은니즈 약점 (Weakness) ( 환경 ) 대기업. IDM 메모리집중, 유기적협력부재 ( 기술 ) 핵심원천기술미흡 ( 정책 ) 산업계연계미흡위협 (Threat) ( 환경 ) 글로벌기업토털솔루션제공, 지배력강화중국의급격한성장, 저가격물량공세 ( 기술 ) 글로벌업체와의기술격차 ( 정책 ) 대기업호황으로중소업체강화정책소극적 중소기업의시장대응전략 대기업 ( 수요기업 )- 중소기업및중소업체간협업상생모델구축 ( 개발자원공유, 제도개선 ) 4 차산업혁명의틈새시장발굴노력 ( 모바일기기파생제품, IoT, 웨어러블디바이스등 )

36 핵심요소기술로드맵

37 SoC 부품 1. 개요 가. 정의및필요성 SoC 부품은컴퓨터, 모바일기기, 가전, 자동차, 산업용전장기기운용을위해사용되는아날로그, 디지털및혼성신호들의수신, 가공, 변환, 생성, 전송하는일련의기능을수행하는반도체집적회로부품을통칭하는것으로서, 임의의특정시스템이갖는다양한기능들을반도체회로에집적하고, 소프트웨어와결합하여운용함으로써시스템의고성능화, 소형화, 저전력화및지능화화를주도하는기술 * 출처 : 한국산업기술평가관리원, 소재, 부품산업산업기술 R&BD 전략보고서, 2017 [ SoC 부품개요 ] 한국의반도체산업이대기업 IDM 위주로메모리산업에집중되면서, 현대자동차, 삼성전자, LG 전자등글로벌경쟁력을갖춘셋트업체가있음에도불구하고수요기업 - 팹리스 - 파운드리간 유기적인협력이취약하여중소 SoC 부품회사들이기회를살리지못하고있음 수요기업은글로벌기업과의협력강화로인하여국내팹리스기업과의공동제품기획및 소극적 R&D 에 국내파운드리설계자산 (IP) 및지원공정의다양성부족등으로, 팹리스기업은대만등해외 파운드리서비스에의존하여경쟁력약화및제작비용상승요인으로작용 주력산업부가가치확대를위한경량 SoC 부품솔루션기술개발및생태계구축필요 국내파운드리 ( 삼성전자, SK하이닉스, 동부하이텍, 매그나등 ) 기반소량다품종중소업체형 SoC 핵심 IP 개발및검증필요 SoC 부품솔루션기술 (SW-SoC 융합플랫폼 ) 공유체계구축 29

38 기술개발테마현황분석 나. 범위 (1) 제품분류관점 반도체주요기능별분류 기존의주요기능별반도체분류로는그제조방법에따라시스템반도체 (SoC), 메모리반도체, 및 특화소자등으로구분 [ 주요기능별반도체분류 ] 구분기능별분류제품기술비고 SoC 마이크로 (MPU, DSP, MCU) 주문형반도체 (ASIC, ASSP) RF, Analog, Mixedmode MPU: Micro Process Unit DSP: Digital Signal Processor MCU: Micro Controller Unit ASIC: Application Specific ICs ASSP: Application Specific Standard Product 반도체 범용 (FPGA, PLD) Sensor FPGA: Field Programmable Gate Array PLD: Programmable Logic Device DRAM DRAM: Dynamic Random Access Memory 메모리 특화소자 SRAM NVM (Flash, ROM, PRAM) 광소자 (LED) 전력소자단위, 개별소자 SRAM: Static Random Access Memory NVM: Non-Volatile Memory LED:Light Emitting Device 30

39 SoC 부품 주요응용분야별 SoC 부품분류 SoC 공통기술을기반으로주요분야별 SoC 부품을구분 [ 주요응용별 SoC 부품분류 ] SoC 제품주요시장주된소요기술고려사항 Foundry 공정기술 IP 기술 소요 IP 여부 ( 디지털및아날로그 ) 공정별특화된 PDK 확보여부 SoC 공통기술 임베디드 SW 플랫폼연동성, 통합성, 형상관리 e-cad CAD Mixedmode 통합시뮬레이션 SW IP RF SoC 무선통신, 무선랜, 레이더, WiFi 등 RF/Analog 설계 RF/Analog PDK 디스플레이 SoC TV 디스플레이모바일디스플레이 Analog 설계 고속, 저 / 고전압혼성신호 멀티미디어 SoC TV, 게임기, 영화 Digital 설계 VR/AR 고해상도, 고속처리 바이오 / 의료 SoC 웨어러블, 헬스케어, 의료기기 Mixedmode 설계 개인정보보호법등규제 식약청인허가의소요시간 센서반도체 CIS, MEMS Mixedmode 설계 재현성, 신뢰성확보 스토리지 SoC SSD, emmc, USB Digital 설계임베디드 SW 활용 자동차 SoC 자동차모듈업체 Mixedmode 전력 / 에너지 PMIC 반도체전력소자 Analog 회로 4G, 5G, WLAN, 통신 / 방송 SoC WiFi, Zigbee, Digital 설계 Bluetooth.. CPU 전자기기일반 Digital 설계 GPU Big Data, AI, 자율주행, AR/VR Digital 설계 디스플레이 인터페이스 SoC AI Mixedmode 모바일기기 ISO26262 규정준수신뢰성 (Fault Tolerance) 신뢰성, 정합성무선통신규격틈새시장공략 (Zigbee, IoT 등 ) ARM IP Licence 비용컴파일러, IP, DeV Kit 제공여부저전력, 고속연산 HDMI, MIPI 등표준준수 Master 칩 ( 예 :AP) 기반 31

40 기술개발테마현황분석 (2) 공급망관점 반도체는기능및수요특성에따라공급형태가차별화됨 메모리는대표적인소품종대량생산방식인반면, SoC 부품은이와비교하여다양한품종을중. 소규모로생산공급하는형태임. - DRAM, NAND Flash와같이시장수요규모가큰메모리반도체의경우는, 일정한규격의제품을얼마나빨리, 높은수율로고급할수있는가가경쟁력의핵심임 - SoC 부품의경우는필요로하는셋트 ( 예스마트폰이나 TV 등 ) 와같은특정분야의기능으로특화시킨형태임 (Analog, ASIC, Microcomponents 등 ) 2016년반도체시장은탈스마트폰화가가속되면서전기차 / 자율주행차, 스마트홈 / 스마트팜, 빅데이터, 로봇산업군등새로운응용분야의등장으로해당시장이향후잠재적인성장모멘텀으로부각되고있음 IoT, 자동차의스마트화, 인구의고령화, 친환경, 빅데이터등의 IT 시장에서요구되는반도체는제품주기가짧고, 기술발전에의한 Cost down이빠르기때문에과거와는달리효율적인반도체생산방식이요구되고있음 AI 산업의부각으로관련반도체의수요증가가예상되면서반도체기술의원칩화 (SoC), TSV, 뉴로모픽스칩등새로운반도체응용의수요가증가할것으로전망 파운드리 (SoC 부품제작및공급 ) 특성 SoC 부품산업의 key player인팹리스기업은저렴한가격으로칩을생산하고싶어하지만, 공급자인파운드리기업은팹투자비용과위탁물량 (Capa), 공정개발비등으로낮은가격에칩을공급할수가없음 - 12인치 WSPM 100K인파운드리기업팹구축비용 : 약 50억달러 * - 12인치 22nm급 Logic 공정개발비용 : 1억 8천만달러 - 8인치 90nm급전공정비용 ( 장 ) : 600달러, 12인치 45nm급전공정비용 ( 장 ) :2,500달러 * 출처 : 한국산업기술진흥원 (2016) 국내팹리스기업은 DDI, CIS, PMIC 제품을제외하고소량으로반도체를주문 / 생산하고있는데기술경쟁력부족으로거대시장보다는틈새시장을주로공략하고있음 틈새시장에진출하는팹리스는투자위험성으로큰물량을파운드리에위탁할수없기때문에작은물량을제조할수있는파운드리의요구는높아지고있음 국내순수파운드리인동부하이텍의경우 8인치웨이퍼기반의월 11만장의생산 Capa를가지고있고 0.35um~90nm 공정기술을통해시장규모가큰제품등을생산할수있는기반을갖추고있음 16년도한국반도체협회가진행한팹리스기업대상설문조사에따르면국내팹리스의월웨이퍼생산량은 10만장내외로국내파운드리기업에서 43%, 해외에서 57% 를사용하고있는것으로조사됨 국내팹리스기업과파운드리기업간의생태계를조성하기위해서는 소량의물량도서비스가 32

41 SoC 부품 가능한파운드리기업 이필요하며, 특히가장수요가높은 90nm~45nm 공정기반의다양한제품 포트폴리오를서비스할수있는파운드리가필요함 [ 국내파운드리공급능력현황 ] 팹명가동일 Capacity Tech Wafer Size (mm) 기타사항 삼성전자 S ,000 32,28,14nm 300 mobile AP S , ~65nm 200 Logic, RF, eflash, DDI, PMIC SK 하이닉스 M ,000 65nm 200 PMIC, DDI, CIS 동부하이텍 Fab , nm 200 Foundry 전용 Fab ,000 90nm 200 Foundry 전용 Fab , nm 200 Analog, Logic 매그나칩 Fab , nm 200 Analog, Logic, Discrete * 출처 : KSIA

42 기술개발테마현황분석 2. 외부환경분석 가. 산업환경분석 (1) 산업의특징 SoC부품산업은대표적인지식집약적산업 SoC 부품산업은첨단대규모공정장비및대량생산을특징으로하는메모리반도체와비교하여, 시스템및서비스의요구에대응한반도체설계및생산서비스 ( 파운드리 ) 를통해수요자에게부품을공급하는대표적지식집약적산업임 [SoC 부품산업특징 ] 특성 SoC 부품메모리반도체 시장성 응용분야별특화된기능제공 범용대량생산 기술성 반도체회로설계및 SW 미세집적공정및대량생산기술 진입장벽 기술 가격 경기변동 상대적으로둔감 민감 경쟁력핵심 설계기술임베디드 SW 기술우수한설계인력 설비투자자본력 * 출처 : ETRI, 시스템반도체현황및발전전략 : 장기발전방안 SoC 부품은 IT 융. 복합시스템산업경쟁력의원천및기반 전기전자시스템의신호 정보 에너지프로세싱 ( 연산 / 제어 / 전송 / 변환등 ) 기능을단일칩에통합하여경제성, 편의성, 생산성을극대화하는 다기능융 복합반도체 로진화발전하고있음 주력산업의고도화및융합신산업의경쟁력과고부가가치화는시스템반도체기술력확보에좌우 우수설계인력과임베디드소프트웨어경쟁력확보가필수적인지식집약산업이며파운드리와연계한생태계구축이중요 시스템반도체는칩의기능에따라제품군이형성되어사용자의요구에따라설계에특화된 다양한제품과시장을형성하고있는데, 부가가치가높아메모리반도체대비가격이 안정적인것이특징 34

43 SoC 부품 (2) 산업의구조 SoC 부품산업은종합반도체기업 (IDM), 팹리스 (Fabless), 파운드리 (Foundry), 패키징및테스트등의기업군으로형성되어있음 IDM은자체설계기술과생산라인을동시에갖추고있어반도체생산전과정을수행하는종합반도체기업으로, 대규모 R&D 인력및설비를갖춤 - 대표적기업 : 인텔, 삼성전자, TI 등 팹리스 (Fabless) 는생산시설인팹 (Fab) 이없이시스템반도체의설계와개발 / 판매만을전문적으로수행하는업체로생산은파운드리에위탁하며, 창의적인인력및기술력, 마케팅능력이필요한기업군으로고정비의대부분은 R&D, 인건비가차지하고있음 - 대표적기업 : 퀄컴, 아바고, 미디어텍등 파운드리 (Foundry) 는외부업체 (Fabless, IDM) 가위탁하는반도체설계를제품으로만들어생산 공급하는기업으로팹을가진전문생산기업으로초기설비투자규모가크고, 적정규모의생산량 (Capa) 과가격경쟁력, 신뢰성확보가요구됨 - 대표적기업 : TSMC, Global Foundry, 동부하이텍등 패키징및테스트 (OSAT: Outsourced Semiconductor Assembly & Test) 기업은가공이완성된웨이퍼의조립, 패키징및테스트를수행하는기업으로 IDM, Foundry에이어설비투자에많은비용이요구되고축적된경험과마케팅확보가중요 - 대표적기업 : ASE, Amkor, JCET, 하나마이크론등 SoC 부품산업은글로벌기업들이다양한제품을토털솔루션으로독점공급하는방향으로변화 동영상코딩, 그래픽, 프로세서 메모리혼합구조, 통신, HMI, 인식, OS(Operating System), 컴파일러, 임베디드소프트웨어등의다양한기술이통합되어 Solution on a Chip 형태로발전 SoC 부품산업은동종, 이종기술의융복합화를진행하여新시장을창출하고시스템의지능화, 고성능화, 소형화및저전력화를주도 지능화, 고성능화및소형화요구에따른인공지능구현을위한컴퓨터아키텍처및고집적화, 미세화기술등이향후중요한기술적이슈로대두 융복합화에의한신기술확산으로인해웨어러블, 바이오, 사물인터넷등신시장창출형기술의전략적육성필요성증대 부가가치확대를위해서는자동차, 에너지, 건강, 환경 재난, 보안등의문제해결에필요한다양한서비스와의융합필요 최근스마트기기 (HW) 가사실상표준화되면서부품저가격화, 중국진입에따른경쟁격화등으로한계에봉착하여새로운성장동력발굴필요 스마트기기에서고부가가치인플랫폼을삼성, 애플등이주도하며단품중심인중소업체들은표준화된부품을저가격으로공급 35

44 기술개발테마현황분석 롱테일마켓이존재하는 IoT 웨어러블디바이스환경및제품의활성화로중소 중견기업의경쟁할수있는틈새시장이존재 웨어러블디바이스, 사물인터넷등유망분야의고부가가치 IP 개발을통한기술경쟁력강화와함께칩리스전문기업육성필요 시스템반도체기술 ( 플랫폼 ) 과타산업 ( 콘텐츠 ) 의융합제품이어느정도서비스시장에파급력을미칠수있는가가중요 기존의시스템업체의존에서탈피하여시장주도를위한새로운가치창출및제품의고부가가치화필요 [ SoC 부품산업구조 ] 후방산업 SoC 부품전방산업 반도체제조 (Foundry), 패키징, 반도체장비및재료, 임베디드 SW RF/Analog 디스플레이 SoC 멀티미디어 SoC (GPU, CPU 등 ) 방송통신용 SoC (4,5G, WiFi 등 ) 센서및바이오 / 의료용부품전력반도체 컴퓨터모바일기기통신. 방송시스템스마트가전자동차산업기기 36

45 SoC 부품 나. 시장환경 (1) 세계시장 16 년세계반도체시장은 3,779 억달러이며, 21 년에 4,343 억달러로성장전망 [ 반도체세계시장전망 ] ( 단위 : 백만달러, %) 구분 CAGR 메모리 886,00 91,800 95,200 98, , , SoC 241, , , , , , 개별소자 48,100 49,100 50,500 52,100 53,600 55, 합계 377, , , , , , * 출처 : isuppli 시스템반도체가상대적으로성장률이높아 16년 2,412억달러에서 21년 2,735억달러의시장규모를형성할전망 반도체시장의경우, 상위 10개업체가전체시장의 52% 를점유하고있으나, 산업용반도체시장은이들업체가 37% 정도를차지하고있어, 후발주자에기회가많은영역임 스마트폰, DTV 등디지털가전, 자동차등시스템의성능을결정하는시스템반도체는고부가가치제품으로스마트폰의경우원가에서메모리반도체비중은 10~15% 이나시스템반도체 (AP, 통신칩등 ) 의비중은약 40% 내외임 15 년국가별시스템반도체생산규모및점유율을살펴보면, 미국이 1,410 억달러 (68.8%) 로 압도적인 1 위이며, 한국은 88 억달러 (4.3%) 로 5 위 [ 15 년도국가별 SoC 생산규모및점유율 ] ( 단위 : 억달러, %) 미국유럽대만일본한국중국 1,410 (68.8%) 200(9.7%) 142(6.9%) 128(6.2%) 88(4.3%) 73(3.6%) * 출처 : IHS

46 기술개발테마현황분석 주요응용분야로모바일기기, 자동차, 산업용반도체분야가고성장세를보이며시장규모확대예상 모바일기기의 AP는미국의퀄컴, 한국의삼성전자, 대만의미디어텍, 자동차분야는네덜란드의 NXP, 전력전자분야에서는미국 TI, 일본의미쓰비스가시장지배력을가짐. 최근 IoT와스마트폰과같은휴대용전자기기의대중화로아날로그, 마이크로컴포넌트반도체시장역시지속적으로성장하여시스템반도체시장의성장을견인하고있음 자동차용반도체시장은 14년 299억달러에서규모이며차량의스마트화및자율주행등시장확실한시장견인요인에의해연평균 6% 이상의고성장이전망되어 18년 401억달러수준에이를전망 특히자동차의전장시스템비율이증대됨에따라서유럽, 미국, 일본의자동차관련기업의도로도입된기능안전국제표준인 ISO 26262를만족하는고안전차량용반도체시장이확대될전망 응용분야별로는파워트레인과 Safety 분야반도체수요가가장크게증가하고있으며부품별로는 MCU, 센서및액츄에이터가가장크고, Analog 및개별소자의시장증가율도높은편 산업용세계반도체시장은 15년 474억달러에서 18년 586억달러수준으로고성장전망 특히보안및감시, 건물및홈컨트롤분야가고도성장할것으로예상 [ 주요응용처별반도체시장규모 ] ( 단위 : 억달러, %) 구분 CAGR 스마트폰 태블릿 PC TV 자동차 산업용 * 출처 : IHS

47 SoC 부품 (2) 국내시장 16년국내반도체생산은 1조 6,850억원으로세계시장의 17.4% 를점유하며, 미국에이은세계 2위의반도체생산국지위를유지 메모리는선제적인투자와앞선공정기술로압도적인경쟁력을보유 ( 점유율 57.7%) 하고있으나시스템반도체는여전히취약한상태 ( 점유율 4.3%) [ SoC 부품분야국내시장규모및전망 ] ( 단위 : 억원, %) 구분 CAGR 통신 / 방송 SoC 자동차 SoC 스토리지 SoC 1,266 1,860 2,008 2,169 2,364 25, ,983 17,054 18,622 20,336 22,207 24, ,601 5,760 6,024 6,302 6,881 7, 합계 16,850 24,674 26,654 28,807 31,452 56, * 출처 : 세계시장과국내시장모두참고자료를바탕으로추정함. Global Industry Analysts inc(2009.2), isuppli 2015, 년국내시스템반도체생산액은 2조 4,674억원으로세계시장의 4.3% 를차지하였으며, 16년 1조 6,850억원에비해증가 이는국내시스템반도체매출의약 85% 를차지하고있는삼성전자의 AP 매출증가에기인 - 삼성전자의 15년시스템반도체매출액은 74억달러로 13년 65억달러에비해 9억달러증가 - 대기업 SoC 부품및파운드리생산액을제외하면실제 SoC 부품점유율은 1% 미만임 가전, 스마트폰등에서대기업과협력에성공한일부기업만생존 - 00년대초국내 1~2위설계기업인 C, M 사등은피처폰의카메라 IC로성장했으나, 스마트폰의등장과대기업의 AP 내재화전략에따른시장변화에적응하지못해쇠퇴 - 대형셋트업체는대부분외국산 SoC 부품을사용하며, 국내반도체산업과의연계는미흡 삼성전자, LG전자가세계휴대폰시장을주도하면서모바일 AP와모뎀, RFIC, PMIC 등일부시스템반도체의국산화에성공하였으나프로세서, 자동차반도체등핵심품목은여전히대부분수입에의존 국내팹리스들의주력품목은 DDI(Display Driver IC), CIS(CMOS Image Sensor), PMIC, 모바일멀티미디어 IC 등소수에불과 진입장벽이낮은제품으로성장한국내팹리스들은미세공정의도입에따른투자규모증대, 중국팹리스의급속한성장과낮은가격을앞세운공세등으로어려움을겪고있음 39

48 기술개발테마현황분석 (3) 무역현황 SoC 부품으로무역현황을분석하는데한계가있어수출품목중시스템반도체품목의무역현황을살펴보았으며, 메모리반도체가지속적으로무역흑자를기록함과대조적으로시스템반도체는국내셋트업체의국내생산 SoC 부품의채용여부에크게좌우되는형태 SoC 부품은 2012년 6700만달러의무역흑자를나타낸반면, 2016년은오히려무역적자를나타낸바있으며 2017년 7월현재까지는소폭흑자를기록중 2017년도 1~7월사이의증가세는파운드리물량의증가에힘입은바큼 [ SoC 부품관련무역현황 ] ( 단위 : 천달러, %) 구분 CAGR 수출금액 수입금액 , 무역수지 ,300 20,224 7,946-3,057 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 SoC 부품의우리나라수출순위는세계 7위로서약 5% 내외의점유율을보임 홍콩을포함한중국이 25.6% 로가장큰시장점유율을나타내고있으며, 대만, 싱가포르, 미국등이상위권을형성 세계 SoC 부품수출시장은중국과대만이상승한가운데한국은 2011년의 5.4% 에서 2016년은 4.7% 로하락 반도체는 2017년현재최대의수출실적을나타내고있음에도불구하고비메모리특히 SoC 부품분야는여전히경쟁력을확보하지못하고있을뿐아니라, 중국의대규모투자에의한추격으로인해, 우리나라시스템반도체산업의장래가불투명함 우리나라반도체수출구조는메모리반도체중심으로전개되고있어 SoC 부품중심의세계시장구조와는괴리된구조를보임 반도체제작공정기술은세계적경쟁력을보유하고있으나, SoC 부품설계기술은선진국에비해열세 40

49 SoC 부품 다. 기술환경분석 (1) 기술개발트렌드 SoC 부품주요응용분야별기술트렌드 SoC 부품은동종, 이종기술의융복합화를급속히진행하여신시장을창출하고시스템의고성능화, 소형화, 저전력화및스마트화를촉진 SoC 부품은동영상코딩, GPU, CPU, 메모리, 통신, OS, 임베디드소프트웨어등의다양한기술을통합하여 Solution on a Chip 형태로발전 IoT, VR, 빅데이터, 차세대이동통신, 스마트자동차등의산업이발전함에따라 SoC 부품의고성능화, 소형화, 저전력화및 SW융합기술등이발전하고있음 반도체미세공정이한계에이름에따라, 3차원구조의소자제품이개발되고있으며이와동시에 TSV 기법을통해 SoC 칩들을 3차원으로적층시키는 SiP 제품이출현하는등소형, 저전력을위한새로운제품기술들이속속등장하고있음 * 출처 : 한국산업기술평가관리원, 소재. 부품산업산업기술 R&BD 전략보고서 (2017) [ SoC 기술발전전망 ] 41

50 기술개발테마현황분석 SoC 부품주요응용분야별기술트렌드 스마트기기의소형화, 저전력화를위해통신 방송모뎀, AP 및기타멀티미디어기능을단일칩에집적한 SoC 가일반적이며, 사물인터넷, 재난안전서비스등 Always-Connected 응용을위해다양한 Connectivity 기술을내장하는추세 자동차분야에서는자율주행과안전주행등을위한 ADAS 및 ECU 용 SoC 개발이본격적으로이루어지고있으며, 자동차전장시스템의기능안전성표준인 ISO26262 국제표준의개정작업이 2018 년을목표로이루어지고있으므로 ISO26262 Part 11, 기능안전성반도체표준을기준으로제품개발필요 - 자동차에는메모리 / 비메모리반도체, 센서등대략 200 여개의반도체가사용되며, 하이브리드자동차의경우일반차량에비해 10 배이상의반도체관련부품이소요 - 고도의신뢰성및성능등이요구되는산업적특성에따라진입장벽이높은고부가시장 프로세서코어는스마트폰, 웨어러블디바이스, 사물인터넷등을위한공통요소기술로서제품성능개선을위한연구개발과함께초저전력화, 보안및신뢰성강화를위한연구개발도진행중이며, 인공지능및 VR/AR 처리를위한 GPU 의성능향상도활발히진행중 듀얼카메라, 360 도카메라등을사용한입체감있는영상을촬영하고이를재생하는 3D 영상처리, VR/AR 시장이확산되고있음 생체신호를검출하고처리하기위한바이오프로세서개발이진행중이며, 최근대기업을중심으로센서내장형바이오프로세서를개발하여팔찌형, 패치형웨어러블기기에연계하는추세 웨어러블기기, 사물인터넷등응용을위해센서반도체와무선통신모듈의일체화및보안기술적용이요구되고있으며센서용반영구적전원공급기술과자가진단 / 보정등의지능형신호처리기술에대한관심증가 스토리지 SoC 에서는낸드플래시기반의스토리지기술발전이두드러지며모바일기기에이어데스크톱 PC, 노트북, 서버에장착되는비율도증가 디스플레이패널의대형화추세와 UHD TV 보급확산으로더욱실감있는초고해상도및실감형멀티미디어기술개발에대한관심증가 가상현실 / 증강현실시장의성장에따른고해상도마이크로디스플레이기술개발이활발히진행 고주파반도체는다중밴드 다중모드저전력트랜시버기술이더욱고도화 고집적화될것으로예상되며, 밀리미터파 /THz 대역소형센서및시스템개발로의료 / 영상 / 레이더분야의기술개발활발히진행 프로그래머블로직반도체는다수의임베디드프로세서, 고속 I/O, 다양한특정용도 IP 등을내장한융합 SoC 플랫폼으로발전하는추세 반도체집적도의물리적한계를극복하기위해 TSV 기반 3D 패키징기술및 14nm FinFET 기반 SoC 출시본격화 전기자동차, 스마트그리드등의부상으로전력 / 에너지반도체기술개발에대한관심이증가하고있으며, 특히 1,200V 이상의초고전압용전력반도체소자개발가속화와함께전력반도체소자와제어용로직회로를함께집적하는지능형전력 / 에너지반도체에대한관심증대 인공지능에대한산업적기술적관심도가높아지면서인식율을높이기위한뉴럴넷 (Neural Network), 딥러닝 (Deep Learning) 알고리즘개발이활발히이루어지고있고, CNN, RNN 등다양한뉴럴넷의연구개발이이루어지고있으며, 특히, 저전력초소형인공지능반도체의연구개발초기단계에있음 42

51 SoC 부품 국내외기술개발주요정책 국외동향 - 미국, 일본, 유럽, 대만, 및중국은국가주도의 SoC 부품육성정책을시행 - 특히중국은 14년국가반도체산업발전추진요강에서 2020년까지세계수준목표를발표 - 대만의경우, 특유의협업생태계와함께 70년대부터파운드리육성, 고급설계인력유치등의강력한국가지원정책에힘입어세계 2위팹리스국가로부상 [ 주요국가별 SoC 부품정책 ] 국가핵심전략주요프로그램 미국 o 장기적로드맵기반지능형반도체, 3D 반도체등원천기술확보전략 o 축적된원천설계기술기반고부가가치시스템반도체시장주도 o 애플, 퀄컴등서비스특화 SW 및 SoC 융합전략 o EDA SW 에대한원천기술확보및세계최고수준의경쟁력유지 Sematech - 반도체제조및장비기술개발 DARPA - 고신뢰 SW 기반기술 상황인지, 증강현실, IoE 등 MIT/Stanford/UC Berkeley 를중심으로 EDA SW 원천기술확보 일본 o 1990 년대이후메모리사업보다 SoC 산업에역량집중 o Digital Consumer, 게임콘솔, 네트워크분야집중투자 o 정보가전, 에너지디바이스용임베디드 SW 개발및적용 ASUKA 프로젝트 - 설계기술연구및교육 Mirai 프로젝트 : 미래지향적기술개발 VDEC 프로그램 - 설계툴, 장비제공및교육 TRON : H/W 와 OS 를동시개발 대만 중국 o 중장기적으로파운드리중심의산업생태계구축 o 2003 년부터 Si-Soft 국가 SoC 프로젝트진행 o 차세대반도체기술혁신과중장기적인산학연중심의교육집중 o 오픈소스기반 OS 개발전략 Si-Soft 프로그램 - 해외교수유치지원 - 전문인력양성, 기술개발 - 모듈 - 수요업체연계로팹리스지원 CIC 프로그램 - 반도체설계툴, IP 지원및 MPW 칩제작지원 국가반도체산업발전추진요강 ( 14 년 ) ZCI 프로그램 - 학부및대학원수준의교육과정개발 * 출처 : 한국산업기술진흥원, 국내동향 - 정부는 SoC 부품산업의중요성을일찍부터인식하고오랜기간집중적인지원정책을시행 - 그럼에도불구하고, 자생적생태계부재, 수요대기업의 SoC 내재화경향및협업미진, 활발한 M&A 유도를위한제도적뒷받침미흡등으로인해세계 SoC 부품생산량의약 1% 내외의비중에그치고있으며, 그나마중국의급속한발전으로인해미래가불투명함. 43

52 기술개발테마현황분석 [ SoC 부품산업관련주요정부사업 ] 구분사업세부내용부처 산업융합원천기술개발사업 실시간지능형서비스지원을위한소프트웨어융합지원 IP 개발 ( 14~ 17) 산업부 시스템반도체상용화기술개발사업 수입의존형시스템반도체국산화 ( 14~18 ) 산업부 기술개발 산업융합원천기술개발사업 SW 컴퓨팅산업원천기술개발사업 Multi-domain 자동차전장구조를위한 ECU 용 SoC 및임베디드 SW 개발 ( 14~ 18) 이종멀티코어클러스터기반스마트디바이스용하이퍼커넥션서비스지원 SW-SoC 융합플랫폼핵심요소기술개발 ( 14~ 17) 산업부 미래부 산업융합원천기술개발사업 국내주력제품용 SW-SoC 융복합미래형반도체기술및플랫폼개발 ( 14~ 17) 산업부 전자정보디바이스산업핵심요소기술개발사업 스타팹리스시스템반도체세계화기술사업 ( 11~ 17) 산업부 인프라 산업융합원천기술개발사업 공공 R&D 과제를활용한반도체인력공급 ( 14~ 20) 산업부 해외인재스카우팅사업해외인재스카우팅사업 ( 14~ 18) 미래부 44

53 SoC 부품 (2) 기술환경분석 4 차산업혁명도래에따른 SoC 부품산업의환경변화 [SoC 부품산업의 3 대핵심경쟁요인변화 ] * 출처 : 산업자원부, 시스템반도체산업경쟁력강화방안, 2017 무어법칙의종료에따른신개념반도체기술의등장 [More than Moore] - 초미세집적화, 발열, 신호간섭등의한계극복을위한 SiP 등신개념반도체기술대두 - 뉴로모픽칩등신개념저전력프로세서등장 IoT, 인공지능, 자율차등새로운시장수요대두 - 기존스마트모바일기기용 SoC 부품은소수지배적기업에의해독점 - IoT, 빅데이터, AI, 자율차분야의새로운 SoC 부품시장형성 다품종소량생산생태계 - IoT 등다양한기기수요에대한소량다품종 SoC 부품공급체계 - 파운드리-설계전문중소기업-셋트및시스템업체의협업생태계조성이필요 초고집적미세공정기술은최고수준을유지하고있으나, SoC 부품설계기술은여전히미흡 자체개발한 IP 의수준이나종류가부족하여해외기업에의존도가높음 모바일기기등셋트업체의선전에도불구하고, 국내 SoC 부품의장착시도는실패 현대자동차, 삼성전자, LG 전자등글로벌경쟁력을갖춘셋트업체 (SoC 부품수요업체 ) 가 있음에도불구하고수요기업 - 팹리스 - 파운드리간유기적인협력이취약 45

54 기술개발테마현황분석 ( 팹리스-수요기업 ) 수요기업은글로벌기업과의협력강화로인하여국내팹리스기업과의공동제품기획및 R&D에소극적 ( 팹리스-파운드리 ) 국내파운드리설계자산 (IP) 및지원공정의다양성부족등으로, 팹리스기업은대만등해외파운드리서비스에의존하여경쟁력약화및제작비용상승요인으로작용 - 대만의 TSMC는빠른설비투자, 높은가격경쟁력, 다양한 IP 보유등을기반으로전세계고객의다양한수요를충족시키고있으며이를규모의경제효과로연결 90 년대말정부의벤처기업육성책에따라 00 년까지설계전문중소기업창업이 급증하였으나 10 년이후감소추세 초기개발비 ( 약 8 억원 ) 에대한부담및위험증가로창의적아이디어실현에한계봉착 설계인력의절대부족 ( 매년 500명이상부족 ) 과대기업편중 ( 종사자의 59%) 으로중. 소설계기업의구인난지속 국내대학의인력양성기반 / 자금등이취약하여선진국에비해석 박사급설계인력이부족하고, 이는중소팹리스의우수인력확보부족및경쟁력약화로연계 * 인력규모 ( 15 년 ): 美퀄컴 (31,300 명 ), 臺 MediaTek(12,748 명 ), 韓실리콘웍스 (437 명 ) 46

55 SoC 부품 3. 기업분석 가. 주요기업비교 (1) 해외기업 RF SoC 업체명 Qualcomm ( 미국 ) Broadcom ( 미국 ) Maxim ( 미국 ) Lattice ( 미국 ) RFaxis ( 미국 ) 노르딕반도체 ( 노르웨이 ) 사업영역및주요내용 2G/3G/4G 이동통신용통신칩셋의 RF Front-end부터디지털 Backend까지모든솔루션구축 RF 송수신기및 PA를포함한 MMMB(Multi-band, Multi-mode) 단일칩셋솔루션제공 케이블모뎀을시작으로성장후 Wi-FI 솔루션업체로사업확장 a/b/g/n/ac/ax, Bluetooth, NFC, FM, GPS등다중모드칩셋위주로다양한제품군구축 Avago사와합병으로 FEM solution확보로규모의경제를통한경쟁력확장 아날로그통합솔류션업체로써, 전장 / 국방 / 미터링 / 에너지등의다양한산업분야에서아날로그 IP 및칩솔류션을제공함 ad RF Tranceiver IC를개발하여무선기가비트전송과 4K UHD 영상스트리밍전송가능 자회사인 SiBEAM은 ad 칩셋을이용하여 WiGig용무선 USB3.0 솔류션개발완료 CMOS 공정을활용한 RF 프론트엔드통합 IC 설계기술보유, WLAN/M2M/ 블루투스 /BLE등의제품군으로사업화진행중, 최근사물인터넷용 Sub-GHz대역 CMOS RF 프론트엔드칩셋제품군을출시함 BLE4.2 와 NFC 를통합한 RF 칩셋출시 디스플레이 SoC 업체명 Synaptics ( 미국 ) Renesas ( 일본 ) Novatek ( 대만 ) BOE ( 중국 ) ST Micro 사업영역및주요내용 세계최고수준의디스플레이터치입력기술을보유한기업으로스마트폰, 노트북등의시장을중심으로독보적인솔루션을구축 소형에서대형까지디스플레이드라이버분야의시장을장악하고있으며, 현재까지저온디스플레이구동드라이버분야에서도가장앞서나가고있음 DDI 시장에서급성장하여삼성전자와함께선두권을형성 중국최대디스플레이패널업체인 BOE 는디스플레이 SoC 시장진출을선언 다양한디스플레이 SoC 를제공하고있음 47

56 기술개발테마현황분석 멀티미디어 SoC 업체명 MediaTek ( 대만 ) Broadcom ( 미국 ) Google/ Movidius ( 미국 ) Microsoft ( 미국 ) 사업영역및주요내용 DTV 수신칩, 블루레이칩등을세계멀티미디어반도체시장을주도하며, 최근 3G/4G 모바일 AP, 와이파이칩셋등을본격양산 DSP 및비디오코덱을내장하고개발플랫폼이잘갖추어진 STB 용칩셋등과무선 Connectivity Combo 칩셋등의멀티미디어보조 SoC 등을중심으로시장을확대 스마트폰에영상인식 /AR 등의기능을지원하는 Google 의 Project Tango 를지원하기위해서 Movidius 사에서영상처리칩개발 마이크로소프트社의홀로렌즈 (HoloLens) 솔루션에서 VR/AR 기능강화를위하여 HPU (Holographic Processing Unit) 을개발 바이오 / 의료 SoC 업체명 Texas Instruments ( 미국 ) Microsemi ( 미국 ) 사업영역및주요내용 X-ray 반도체, ECG/EEG/EKG 측정반도체및센서, 인슐린펌프용반도체, 심장관련 Pulse 측정용반도체, 블러드시약검사기및모니터기용시스템반도체, 초음파스캐닝용시스템반도체, 의료용무선통신 RF 반도체생산 임플란트를제외한모든분야에의료용시스템반도체및 RF 반도체를세계선도 의료디바이스세계최고기업인 GE에납품 임플란트관련의료반도체및 MICS-RF 반도체전문기업으로임플란트디바이스관련모든반도체및연동시스템반도체를제공함 임플란트세계최고기업인 Medtronic, ST.JUDE, BIOTRONIK에제공, 임플란트 MICS-RF 반도체부분선도 센서 SoC 업체명 STMicro ( 스위스 ) 사업영역및주요내용 각속도, 가속도, 관성콤보 ( 가속도 / 각속도 ), 마이크로폰, 압력센서등의 MEMS 센서및 CIS, 온도, 근접, 지자기등의 IC 센서와같은각종가전용센서생산 9 축모션센서및엔진기술세계선도 48

57 SoC 부품 스토리지 SoC 업체명 인텔 /Micron ( 미국 ) Marvell ( 미국 ) SMI ( 대만 ) 사업영역및주요내용 스토리지 SoC의대표제품인 SSD는현재삼성전자가세계시장의 40% 를점유하는 1위업체이나, 미국의인텔과마이크론은연합전선을구축하면서 3D Xpoint 메모리를이용한차세대 SSD를개발하는등삼성전자의아성에강력히도전하고있음 마벨은전통적으로스토리지 SoC가주력제품군으로매출의절반가까이를차지하고있으며 SanDisk 등다수업체에낸드플래시컨트롤러 SoC 공급 SMI 는모바일스토리지 SoC 개발을중심으로성장하였으며, 현재는 SSD 스토리지 SoC 분야의개발을활발하게진행중 자동차 SoC 업체명 Renesas ( 일본 ) Infineon ( 독일 ) NXP ( 네덜란드 ) 사업영역및주요내용 르네사스는휴대전화와자동차용시스템반도체를생산하고특히, MCU 제조사중에는가장큰기업중에하나이며최근에는자동차반도체에역량을집중 LCD 드라이버, RF 칩, 혼성신호집적회로및칩기반시스템 자동차, 산업, 통신및범용반도체와시스템솔루션을제공 고전압차량용반도체기술분야에서는세계최고수준이며자동차에필요한기능을총망라하는차량용반도체의광범위한제품군을생산 호주의코다와이어리스와협력하여 V2X 통신용모뎀, RF 칩을개발하였으며, 미국교통부에서추진하는 스마트시티챌린지 에 V2X 기술의제공자로참여 아시아, 미국및유럽의시범사업및단말기제조업체와의활발한공동개발진행중 전력 / 에너지 SoC 업체명 Maxim Integrated ( 미국 ) Infineon ( 독일 ) Toshiba ( 일본 ) Mitsubishi ( 일본 ) TI ( 미국 ) Cree ( 미국 ) 사업영역및주요내용 스마트폰, 휴대단말기기, 자동차, 의료기기, 지능형전력망관련 PMIC, 소형용 BMIC, DDI, 모터구동IC, 중대형용 BMIC 및 SMPS 기술주도 전력기술과아날로그기술을접목한통합전력에너지반도체기술보유 자동차, 산업, 가전용등다양한분야의전력반도체생산 전력반도체분야세계최고수준이며, 최초로 300mm 웨어퍼에서전력반도체를생산하고있으며, 차세대 SiC, 및 GaN 전력반도체연구에진행 지능형파워반도체소자, PMIC, 모터드라이버등다양한전력반도체소자를생산 지능형파워반도체, thyristor, IGBT 등다양한전력반도체소자를생산 최근수년간여러반도체회사와의 M&A 를통하여 PMIC 를비롯한다양한파워솔루션을제공하고있음. 1,200 1,700V, 3 60A 급 SiC MOSFET 을양산중이며, 1,200V 100A/300A 2in1 SiC MOSFET 과 SiC-SBD 파워모듈을양산중임 49

58 기술개발테마현황분석 통신 / 방송 SoC 업체명 Qualcomm ( 미국 ) Broadcom ( 미국 ) NTT 사업영역및주요내용 2G/3G/4G 이동통신용통신칩셋, 멀티미디어통합모바일 AP 반도체세계시장을주도 WiFi, Bluetooth 등 Connectivity 솔루션을자사 AP 및이동통신모뎀과함께단일칩화하기위해 CSR을인수 합병하는등 Connectivity 기술확보에주력 차량인포테인먼트용 AP와스마트시티를위한모뎀칩라인업, 드론시장을노린중저가 AP인스냅드래곤플라이트 (3G+4G LTE 모뎀 ) 등을출시함 RF칩분야에대규모투자하여스마트폰보다한층더고도화한무선연결성기술을확보하고자함 케이블모뎀을시작으로성장후 Wi-FI 솔루션업체로사업확장 a/b/g/n/ac/ax, Bluetooth, NFC, FM, GPS등다중모드칩셋위주로다양한제품군구축 싱가포르반도체기업인아바고테크널러지스에인수합병됨 ( 합병존속법인명칭브로드컴 ), 15년반도체업종 M&A 거래규모중사상최대, 이번인수는반도체업체간경쟁이치열해지면서아바고가규모의경제를추구하며매출을증대시키고신성장동력모멘텀을확보하기일환 디지털방송의표준은 2세대 (DVB-T2,S2,C2) 에서 DVB-S2X로확장되고있으며, 브로드컴에서는 DVB-S2X 칩제작완료함 4K HEVC LSI 칩을개발하여다른 FPGA 및 S/W 방식의인코더에비해소비전력과프로세싱효율을높이는데성공. 또한, MMT, MPEG-4 ALS, LDGM FEC 등을같이개발하여대역폭, 전송비용, Flexibility, IP 전송의안정성등을향상 프로그래머블로직 (FPGA) 업체명 Xilinx ( 미국 ) Intel-Altera ( 미국 ) 사업영역및주요내용 PLD 및 FPGA 분야세계 1위업체 (`15년기준 49%) 네트워크 / 통신, 컴퓨팅분야의전통적인시장이외전력 / 에너지반도체분야등으로시장을확대하고있으며, 기존 20n급대비 2X-5X인 16nm FinFET 제품을출시하여, 5G, LTE, ADAS, IoT, 클라우드컴퓨팅시장공략 Altera와 Intel의합병으로자극을받은 Xilinx사는 IBM과공동으로 FPGA기반가속클라우드서비스를위한기술협약을진행 FPGA를포함하는 PLD시장의세계2위기업 ( 15년기준 38%) 인 Altera 社를인텔창사이래최대액수를투입한합병을통하여, 차세대서버에소프트웨어를장착한하드웨어가속기능과 IoT시장대응을위한제품을위한기술확보로차세대먹거리확보 PLD 및 FPGA 분야세계 2위업체 (`15년기준 38%) 50

59 SoC 부품 프로세서 SoC 업체명 인텔 ( 미국 ) ARM ( 영국 ) 엔비디아 ( 미국 ) 사업영역및주요내용 데스크탑 PC/ 서버등에탑재되는프로세서, 네트워크칩, 그래픽칩, 플래시메모리등고성능컴퓨팅관련반도체세계시장주도 모바일프로세서, 그래픽프로세서, 온칩인프라스트럭처등반도체핵심지적재산의적극적인개발및대기업지원에의한시장주도 인공지능, VR, 멀티미디어, 그래픽처리를위한데스크탑 PD/ 서버용 GPU 시장을주도하고있으며, 모바일용 GPU 로시장확대추진 인공지능 SoC 업체명 NVIDIA ( 미국 ) IBM ( 미국 ) HP ( 미국 ) 사업영역및주요내용 초병렬뉴럴넷컴퓨팅을위하여매니코어구조의쉐이더 (Shader) 구조인 Maxwell 등을개발하여딥러닝관련연구자및학계중심의하드웨어가속기시장에진입및 Tegra-KAP 개발을통한이동형인공지능임베디드시장을적극적으로공략 현재컴퓨터동작기술인폰노이만 (von Neumann) 구조와다른 SNN(Spiking Neural Net) 구조의뉴로모픽칩을개발하여뉴로시냅틱컴퓨퓨팅기술, 시각, 청각및복합감각과같은인지컴퓨팅기술개발 기억과스위칭을기능을가진 ' 멤리스터 (Memristor)' 소자를이용한초고용량의 SSD 및시냅스모사기능의시냅틱소자개발진행중 인터페이스 SoC 업체명 Lattice ( 미국 ) Synopsys ( 미국 ) 사업영역및주요내용 HDMI 전문기업인 Silicon Image 를인수하여 HDMI 솔루션제공업체로성장 DTV 기업과협력하여다양한기능의 HDMI SoC 솔루션제공 세계최대의 IP 기업으로인터페이스분야에서도가장높은기술력을보임 국내외대부분의반도체기업을고객사로확보하고있음 51

60 기술개발테마현황분석 그래픽신호처리 SoC (GPU) 업체명 Intel ( 미국 ) ARM ( 영국 ) NVIDIA ( 미국 ) AMD ( 미국 ) Qualcomm ( 미국 ) Imagination Technology ( 영국 ) 사업영역및주요내용 높은데스크탑 PC/ 서버시장점유율을가지는자사 X86 프로세서칩내부에내장그래픽 IP를통합한형태로 GPU를구현. Discrete/Integrated를포함한총 GPU 시장의 72% 의높은점유율보유. 고성능보다는 Volume이높아 Market Share가높은상황 모바일프로세서코어뿐만아니라 GPU 코어도개발하여많은 mobile application processor에탑재되고있음 IP 판매에주력하므로자사의 Cortex CPU에 ARM Mali GPU를통합하여 SoC IP 를기반으로임베디드시장공략중. 저전력모바일 GPU 시장에서그래픽뿐아니라 OpenCL등 GPGPU 기술을통해높은전력효율을목표로함 Discrete Graphics 시장에서기술선도업체이며, 고성능그래픽처리뿐아니라 CUDA 등 GPGPU 원천기술을기반으로 VR, 딥러닝기반인공지능, 자율주행자동차등여러분야에걸쳐 GPU 응용확산에주력하고있음. 자사 Graphics와동일한 Core IP를공유하는 NVIDIA Tegra 등의모바일제품으로 Portfolio 다각화진행중 PC용그래픽가속카드개발에서시작하여서버용그래픽가속기인 Tesla 시리즈를개발하여최근의대부분의데이터센터기반의인공지능시스템의주요부품으로사용 Integrated GPU에주력하는인텔과달리 Radeon 등 Discrete GPU 제품을보유하고있어자사의 X86 CPU와연계한고성능 Heterogeneous System Architecture 를통해 HPC 시장으로확장중. AMD의 GPU는 NVIDIA대비연산성능및 Memory 대역폭이높아특정 GPGPU 어플리케이션에서선호도가있음 IP에집중하는 ARM과달리 Qualcomm의경우 CPU, Modem, GPU를통합한 One-chip Solution (Snapdragon) 제품군이이점이있음. GPU Core부분은과거 ATI ( 현 AMD) 의소유였던 Adreno GPU를 Qualcomm이인수하여 IP화하였음. 자율주행자동차제품군등 Connected Car 환경을위한 SoC 제품군을통해관련시장진출 AMD의모바일 GPU 기술을인수하여이를기반으로 Snapdragon 시리즈에탑재되는 GPU 인 Adreno를자체설계하여사용하고있으며, 이러한기반기술을바탕으로인공지능에활용할수있는 neural network 프로세서개발도진행하고있음 임베디드그래픽시장에서 Tile Based Rendering 등의기술을통해타제품대비성능및에너지등이점이있기때문에많은 SoC에채택되어주도적시장점유율을보유하고있음. Apple iphone 시리즈를비롯하여모바일 GPU 시장에서가장높은점유율확보 Apple iphone 전모델에탑재되는 GPU IP인 PowerVR을설계하고있으며, mobile application processor용 GPU에서는가장높은시장점유율을확보하고있음 52

61 SoC 부품 (2) 국내기업 RF SoC 업체명 아이앤씨테크 사업영역및주요내용 모바일 TV, 지능형교통시스템 (ITS) 용협대역무선통신및 Wi-Fi 용 RF 칩개발 라온텍 에프씨아이 ISDB/DVB/ATSC 등모바일 TV 용 RF 칩및 IEEE802.11n/ac WLAN 용 RF 칩개발 LTE-A 기지국용전력증폭기내재형 RF 칩개발진행중 DMB( 한국형 T-DMB, 일본 ISDB-T) 용 RF 튜너와 Demodulator 를 SoC 형태로개발하여 Mobile TV IC 솔류션으로다양한전자제품에적용중 디스플레이 SoC 업체명 실리콘웍스 사업영역및주요내용 애플등에공급되는디스플레이구동 IC 전문회사이지만, 차량용반도체시장에 Accelerator Position Sensor 개발에성공 진출하여 라온텍 VR, AR 및차량전장용디스플레이 HUD 시장분야의핵심 udisplay 패널, 콘트롤러 IC 개발 아이에이 영상및음성처리프로세서반도체전문회사였으나최근에는자동차전장분야를업을전개 중심으로사 멀티미디어 SoC 업체명 사업영역및주요내용 텔레칩스 CPU, GPU 및 VPU 를내장한셋톱박스용및자동차인포테인먼트용 SoC 등을생산 넥셀 칩스앤미디어 넥스트칩 3D Graphic IP 기술을바탕으로모바일용 AP 솔루션 SoC를개발 CCTV 등의영상신호처리를위한보안용 SoC를개발 멀티미디어영상신호처리 IP(H.264, HEVC 등 ) 등을개발하여 TV, 모바일에서사용되는멀티미디어 SoC를개발기업에판매하고있으며, 최근자동차, 드론용멀티미디어솔루션등으로시장확대추진 고화질 CCTV, 지능형자동차등을위한영상신호처리및영상인식기능이강화어 SoC를개발 된멀티미디 53

62 기술개발테마현황분석 바이오 / 의료기기 SoC 업체명삼성전자에이티아이케이맥옵토레인 사업영역및주요내용 바이오프로세서개발을통하여 PPG, ECG, GSR, 체온, 체지방등을측정할수있는 single-chip solution을개발 모바일헬쓰케어시장의솔루션으로적용분야확대 바이오자동화장비용시스템반도체및약물토출기, 판독기, 줄기세포관련검사장비시스템반도체를개발 반도체광학검사장비회사에서바이오자동화장비관련신사업계척 실시간 PCR기술과 DNA 칩을융합해차세대분자진단기술과최적플랫폼개발기술보유 반도체기술을기반으로분자진단분야로확대 이미지센서기술을활용하여 PCR(polymerase chain reaction) machine을개발하여바이오진단에활용할예정 혈액검사결과조기도출을위한바이오진단시스템개발 반도체기술을기반으로바이오융합분야로확대 케이헬쓰웨어 헬스케어전용 SoC 를개발하였으며, 이를기반으로심전도검사기, 손목형혈압계등을개발중 센서 SoC 업체명 실리콘화일 픽셀플러스 사업영역및주요내용 삼성전자 ( 세계시장점유율 3위 ) 와함께국내 CIS 생산업체 ( 12년기준세계시장점유율 10위 ) 로최근 SK하이닉스자회사로편입 이미지센싱기반바이오진단기기분야의신사업개척 휴대폰카메라, 자동차블랙박스, 보안 감시카메라, 의료영상장비용도의 CIS 칩및구동칩을비롯한주변회로 SoC 설계기술보유 스토리지 SoC 업체명삼성전자티엘아이디에이아이오 사업영역및주요내용 낸드플래시세계 1위업체의장점을특화하여 SSD, emmc, UFS 등스토리지시스템과 SoC 를동시에생산하고있으며, 2014년기준 SSD 세계시장점유율 1위업체 디스플레이드라이버용 TCON을주로생산하던 TLI는 13년센서반도체사업진출에이어 14 년부터모바일용낸드플래시 (emmc) 컨트롤러사업착수하고 15년부터 UFS 컨트롤러기술개발 디에이아이오는 11년부터모바일용낸드플래시 (emmc) 컨트롤러사업착수하고 15년부터양산시작 54

63 SoC 부품 자동차 SoC 업체명 아이에이 사업영역및주요내용 영상및음성처리프로세서반도체기술을바탕으로영상전화기, DMB, 광대역통 BcN, 디지털초고속인터넷 (IP/LAN) 기술을확보 최근에는자동차전장분야를중심으로사업을전개 신네트워크 넥스트칩 CCTV, DVR 등으로구성되는영상보안시스템기술력을바탕으로차량용카메라시장에진출 실리콘웍스 애플등에공급는디스플레이구동 IC 기술력을바탕으로차량용반도체 Position Sensor) 개발에성공 (Accelerator 전력 / 에너지 SoC 업체명실리콘마이터스아이에이동부하이텍 사업영역및주요내용 노트북및 PC 등중형디스플레이용 60V급 PMIC 기술보유 모바일기기용 PMIC 및 AP용대전류멀티패이즈 PMIC 기술보유 자동차용반도체전문기업아이에이가트리노테크놀로지와하이브론인수 트리노테크놀로지는 600V 및 1,200V급 IGBT 설계기술보유, 파운드리를통한전자생산 하이브론은자동차용 Power Steering Module을개발하여현대모비스에공급 BCD 공정 (40~85V 및 700V) 보유, 파운드리서비스제공 력전자소 LS 산전 Infineon 과의합작법인인 LS Power Semitech 를통해 IGBT 지능형파워모듈공급 통신 / 방송 SoC 업체명 아이앤씨테크 사업영역및주요내용 I&C 는모바일방송수신, WiFi, LTE 등관련 SoC 개발 라온텍 ISDB/DVB/ATSC 등모바일 TV 수신칩및 IEEE802.11n/ac WLAN 용모뎀 SoC 개발 AP 위성통신 GMR-1 3G 규격의이동위성용 RF 및모뎀칩셋개발진행중 아이칩스에프씨아이어보브반도체뉴라텍시그마디자인스 WAVE/DSRC 단말용 RF 및모뎀통합칩셋개발진행중 모바일 TV 표준 (ISDB-T) 을호환하는 RF 튜너내장된모바일영상 SoC 칩을개발하여삼성,LG, 소노, 교세라등의휴대폰업체에공급확대진행중 SK텔레콤과공동으로사물인터넷 (IoT) 기기용시스템온칩 (SoC) 개발에성공함, 저전력블루투스 (BLE) 와 MCU를통합한제품이며내년양산목표임. 비콘, 웨어러블기기등다양한제품에탑재예상 ah 기반 2Mbps급저전력 / 장거리광역와이파이칩셋을국내최초로개발하여사물인터넷시장에서 Zigbee와 Z-Wave등의무선통신방식과경쟁예상 업계최초로 UHD TV HDR 칩셋양산돌입, 다양한 HDR 표준을지원하여우수한 UHD 시각경험제공함 55

64 기술개발테마현황분석 프로세서 SoC 업체명 어보브반도체 ADC 사업영역및주요내용 모바일과소형가전, TV 등전자제품에탑재되는중저급 MCU 를성공적으로양산하고있으며, 최근사물인터넷용 MCU 개발중 ADC 는자체개발한 16/32 비트기반 CPU 를기반으로각종 SoC 개발 인공지능 SoC 업체명 넥스트칩 사업영역및주요내용 자동차비전인식하드웨어개발및뉴럴넷구조의가속기개발중 SK 하이닉스 삼성전자 SK 하이닉스는 HP 사와공동으로차세대메모리소자 Memristor 개발진행중 연산기능의프로세서와기억에해당하는메모리기능합쳐진인공지능형반도체개발추진하고, 뉴로모픽시스템에대한연구를진행중 GPU 업체명실리콘아츠미루웨어넥셀넥서스칩스 사업영역및주요내용 VR 및실감영상구현에필수적인실시간레이트레이싱기술을반도체칩으로개발하여저전력 MIMD 구조를개발 NVIDIA GPU 하드웨어제품에소프트웨어솔루션을통해그래픽, HPC, CUDA 솔루션을구축하여제공 자체 GPU Core 를탑재한 SOC 가천만개이상양산되었으며, 현재도자체 GPU Core 를사용한 SOC 를개발하고있음 자체 GPU Core 를개발한적이있으나, 상용화에실패하였고현재는더이상 GPU 기술개발에대한투자는없는상태임 인터페이스 SoC 업체명 알파칩스 사업영역및주요내용 HDMI, MHL, DisplayPort 등의인터페이스 IP 와관련 SoC 삼성전자 시스템 LSI 사업부내에서 foundry 사업을위한인터페이스 IP 제공 LG 전자 자사의 DTV SoC 를위한 HDMI IP 를일부개발하고있음 56

65 SoC 부품 국내중소기업사례 텔레칩스는자동차용 SoC processor 개발역량보유업체 아이에이는자동차시스템통합제어를위한핵심반도체개발및반도체용칩, 모듈, 솔루션등의분야에서강점보유 지니틱스는 System IC 전문기업으로, Touch controller, AF driver, Moter driver IC 등다양한반도체를개발 / 양산 넥스트칩은영상보안시장에서필요로하는반도체를개발해온 fabless 기업 픽셀플러스는보안, 자동차, 메디컬, 바이오등다양한분야에서활용가능한이미지센서를전문으로연구개발하고생산하는 fabless 업체 실리콘알엔디는 CMOS IC, RF 모듈전문개발업체 [ 주요중소기업비교 ] ( 단위 : 백만원,%) 국내업체자산총계매출액 매출액증가율 영업이익율 당기순이익율 R&D 집중도 ( 주 ) 텔레칩스 114, , ( 주 ) 아이에이 77,751 55, ( 주 ) 지니틱스 33,758 47, ( 주 ) 넥스트칩 63,671 60, ( 주 ) 픽셀플러스 109,697 73, ( 주 ) 실리콘알엔디

66 기술개발테마현황분석 나. 주요업체별기술개발동향 (1) 해외업체동향 해외선도기업의기술경쟁력 인텔, 퀄컴, 브로드컴, TI 등이월등한원천기술보유하고시장표준주도및고영업이익지속 일본은르네사스및도요타의자동차반도체그룹을중심으로 ISO 준수반도체설계기술, 자동차용 MCU, 광학기반이미지처리기술, 전력반도체등에서우수원천기술보유 유럽은 IMEC, 인피니온, STMicro 등을중심으로자동차, 통신등의전통적주력분야뿐아니라신규응용분야에서활발한연구개발진행중 중국은미국, 일본, 유럽업체의생산거점으로서기술도입및정부 / 모기업의지원으로급성장중 대만은 TSMC 등파운드리와미디어텍등팹리스들이긴밀한협력관계를형성하여 low-middle end 시장에서저가의차별화된솔루션을제공하고있으며멀티미디어및모바일기기솔루션을중심으로시장확대중 사물인터넷, 빅데이터, 자동차관련반도체신시장선점을위한업계 M&A 활발 * 아바고테크놀로지스는브로드컴과 LSI, 인텔은알테라, NXP 세미컨덕터는프리스케일인수, 퀄컴은 NXP 세미컨덕터인수 인공지능컴퓨팅뉴럴넷기술관련 NVIDIA는프로세서코어와 256개의 Maxwell 코어를집적한 Tegra X1 등의제품군을내세워저전력고성능뉴럴넷반도체를개발하였으며, 뉴로모픽기술관련하여 IBM은인간두뇌와같은원리로동작하는새로운컴퓨팅구조의 시냅스 칩소자를삼성전자의 28nm 공정기술로제작 - 얼굴인식 : 사람이상의인식률 99.15% 달성발표 ( 페이스북, 2015) - 사용자취향분석을통한콘텐츠및광고추천 : 넷플릭스 (Netflix), 아마존 - 자동통역 : 스카이프 (Skype), 마이크로소프트 - 개인형음성비서및대화형교육서비스 : 애플시리, IBM Watson CogniDoll (2) 국내업체동향 국내대기업현황 SiC 부품의경우, 삼성전자의경우모바일 AP, CIS, DDI를생산하나다른분야에서는아직이렇다할성과를내지못하고있고, SK하이닉스또한제한적인기술로 CIS, PMIC 등제한된분야에만진출하고있음 SoC 제작서비스인파운더리의경우, 첨단제조기술을확보하고있는삼성전자는애플, 퀄컴등세계유수의기업을중심으로파운드리서비스를하며선전하고있으나, 전문파운드리인동부하이텍의경우보유공정과 IP 부족, 제한된 Capa로경쟁력이낮음 58

67 SoC 부품 팹리스 ( 설계전문중. 소기업 ) 현황 국내에는스마트폰, DTV, 자동차등시스템산업의발달로확실한 Captive Market이존재하지만주요부품의국산화율은낮음 Multimedia SoC로팹리스업계를대표하던 M, C사등은스마트폰시장의대응실패로하락추세이며, 실리콘웍스, 실리콘화일, 아나패스등대기업협력및 M&A 관련기업위주로성장 정부및민간투자현황 [ 정부및민간 \\\\\\\\\\\\\\ [ SoC 부품산업관련정부및민간 R&D 투자현황 ] 분류민간 R&D 투자동향정부투자동향 SoC 공통기술 고주파반도체 삼성전자는 10nm급메모리양산의성공에이어서, 16년말예정으로성능향상 (15%) 과소모전력 (15%) 이적은 10nm급 FinFET 공정개발진행및 7nm FinFET 공정개발착수 LG전자는모바일 AP, 모뎀칩용 10nm FinFET Analog IP 연구개발중 IoT, 웨어러블, CIS17), PLD, 차량용칩에적용되는 20nm급 FD-SOI 공정도입과적용으로원가절감 (18%), 성능향상 (15%) 삼성전자, LG전자는웨어러블디바이스, IoT 제품을위한고성능, 저전력외에특화된기술개발을위하여지속적인투자를진행중 중소 중견기업은세트기업과의연계및자체제품의경쟁력확보를위한기술고도화매진중 I&C, 라온텍등에서 DMB, OneSeg 및 Wi-Fi용 RF 칩개발 5.8GHz 이상무선랜및 77GHz 이상레이더용 RF 기술개발은기술적난이도가높고많은투자가필요하여개발하지못하고있는실정 주파수부족해결및고속전송속도지원등을위해 60GHz 이상대역에서의무선통신기술개발추세 IoT, 웨어러블기기시장의급격한성장과중소 중견기업의참여가가능한롱테일시장의기회를위한초저전력 / 저비용의반도체설계및핵심 IP 확보에지속적인 R&D 지원필요 다양한응용분야와격변하는시장에대응하기위한인프라구축으로지속적인성장, 신규사업에대한시장적기출시 공정미세화와더불어발생하는신뢰성문제를극복하기위한설계기술확보를위한 R&D 지원필요 무어의법칙이적용되지않는현황에맞는틈새제품용 R&D 향후사물인터넷, Always-Connected 환경을대비하여 Connectivity 기술및제품의중요성이지속적으로증대될것으로예상되므로이를위한체계적인 R&D 지원필요 또한, 고주파반도체의특성상우수한공정에서설계및검증할수있는환경구축필요 59

68 기술개발테마현황분석 분류민간 R&D 투자동향정부투자동향 자동차 SoC 넥스트칩, 아이에이, 실리콘웍스, 텔레칩스등국내기업들이영상기반의 ADAS 및인포테인먼트를위한기술개발과샤시 (Chassis, 브레이크제어기등 ) 용자동차반도체개발을진행중 샤시등기능안전성과밀접한관련을가지는부품에서는 ISO 를적용한기능안전성기술개발진행 파워트레인, 샤시등의자동차핵심부품 SoC 기술개발에대한투자를최근활발히진행중이며, CAN 컨트롤러등자동차인터페이스, 커넥티드카를위한 V2X 통신관련원천기술개발에도투자 ISO nd Edition 개정작업이 ISO TC22/SC32/WG8 을중심으로활발히이루어지면서국내중소기업에서의자체대응에한계가있어, 정부주도로전장시스템기능안전성을가지는자동차 SoC 관련국제표준화에적극적으로대응필요 자동차분야혁신의주체가완성차업체에서전장시스템부품업체로넘어가고있는상황에서자율주행과능동안전기술관련정부투자를통해신산업육성가능 전력 / 에너지반도체 통신 / 방송 SoC 실리콘마이터스는디스플레이용뿐만아니라모바일용 PMIC 시장에도성공적으로진출 아이에이는트리노테크놀로지와하이브론을인수하여파워반도체소자시장에진출 지능형전력망, 백색가전, 자동차용 V 급및 1,200V 이상전력에너지반도체기술개발에대한투자취약 화합물기반전력에너지반도체기술개발에대한투자취약 이동통신의기능과성능이급증함에따라국내대기업외에는통신반도체에규모있는투자가이루어지지않음 중소팹리스는모바일방송수신칩, WiFi 칩, ZigBee, BLE 칩등에투자를진행하고있음 Wi-Fi 기술은와이기그 (60GHz), 슈퍼와이파이 (Sub-1GHz) 등무선기가비트전송기술로진화중 방송을위한 4K UHD 급 HEVC 코덱은국내대기업및팹리스업체중심으로개발중이며 4K 급디코더는이미양산중이나인코더와통합된코덱개발은극히초기단계 삼성은 MPEG 기반미디어전송기술인 MMT 기술을활용하여 8K 디지털방송서비스인슈퍼하이비젼시험방송개시할예정 에너지효율향상회로설계기술분야에정부투자지원필요 V급자동차, 백색가전및 1,200V이상급수송기기 ( 전철, 선박등 ) 분야를위한전력에너지반도체 ( 화합물소자포함 ) 기술개발을위한정부투자필요 산자부와부산시공동으로신산업창출파워반도체상용화사업추진중 향후사물인터넷 (IoT) 용반도체시장규모가확대됨에따라사물통신 ( 사물인터넷 ) 및재난안전서비스를대비하여각종 Connectivity 솔루션이필요할것으로예상되므로정부R&D 투자를통해핵심요소기술을확보필요 이동통신분야에서는 4G LTE 서비스한계를넘어 1Gbps급모바일서비스제공을위한밀리미터파기반의광대역이동통신원천기술확보해야함 기가급 Wi-Fi 기술 (802.11ac/ad) 역시스마트폰, TV, PC 등가전단말간초고화질, 초고용량데이터전송을위한근거리무선통신기술로관련시장이확대될것으로예상되므로정부투자가필요함 UHDTV의관심이높아지면서, 4K 이상의초고화질영상에대한압축 / 복원방식에대한이슈가크게증가 60

69 SoC 부품 분류민간 R&D 투자동향정부투자동향 디스플레이 SoC 멀티미디어 SoC 바이오 / 의료기기 SoC 센서반도체 차세대 Display 로써 VR, AR, HUD 분야의핵심마이크로디스플레이 (udisplay) 장치개발중 삼성전자, 실리콘웍스, TLI 등에서 LCD, LED 등디스플레이구동칩을활발히개발 가전및모바일용 4K/8K 시대가확산되면서터치 / 햅틱기술을융합한디스플레이구동칩개발 4K/8K Display 지원을위한핵심요소기술로 HDMI 3.0, edp, MIPI 인터페이스에필요한 IP 개발이활발히진행. 알파칩스는최근에 MHL 기술을확장하여 HDMI, MIPI 등다양한인터페이스 IP 및칩개발진행 국내대기업은 UHD 급 DTV 및듀얼카메라 /VR 지원스마트폰신호처리칩기술을보유하고있으며스마트가전기기칩도활발히개발 팹리스기업은감시카메라, 스마트가전등기존시장뿐만아니라자동차, 드론등새로운시장의멀티미디어솔루션개척 삼성은생체신호수집및처리기능을갖는바이오프로세서개발 일부중소 / 중견기업에서헬스케어및의료기기상용화개발에적극투자 제약업체의의료기기분야진출과이동통신사와대형병원과의헬스케어합작회사설립에따른관련 R&D 투자증가 삼성전자, SK 하이닉스가 CMOS 이미지센서 (CIS) 개발에대규모투자 CIS 등일부센서 R&D 투자에집중되어있으며, MEMS 센서및 ROIC 칩과같은핵심요소기술개발투자는취약한실정임 고해상도디스플레이에대한시장요구가증대됨에따라융합기능 / 저전력 / 고속 TFT 디스플레이구동칩및인터페이스칩개발에대한 R&D 지원필요 udisplay에대한 VR, AR, HUD18) 시장요구증대에따라 LCoS, uoled 및 udisplay영상보정기술에대한 R&D지원필요. 업체별 OLED 구동방식에대응할수있는구동칩개발모델수립필요 플렉시블디스플레이용초저전력 / 멀티플렉싱구동칩개발 세계최고수준의디스플레이패널기술을뒷받침할수있는관련기업의기반기술강화필요 프리미엄급뿐아니라수입에의존하는보급형 / 저가형 DTV칩개발역량강화필요 최근중국의급성장세를감안하여정부의팹리스 / 칩리스기업성장지원필요 VR/AR, 고해상도처리, 영상인식기능지원등고부가가치기술개발을위한정부지원필요 민간 R&D 투자가시스템위주이므로바이오 / 의료기기분야부가가치신제품개발에필수적인핵심부품기술개발에정부지원필요 다양한센서반도체와함께 MEMS 센서및 ROIC 칩기술개발에정부지원강화필요 스토리지 SoC 삼성전자, SK 하이닉스가낸드플래시메모리고부가가치제품으로 SSD, emmc, UFS 를집중육성 삼성전자가 48 층 TLC 3D VNAND 기반 SSD 를출시하는등기술을선도하고있으며 TLi, The AIO 등국내팹리스기업들의기술개발도활발히진행중 삼성전자, SK 하이닉스는인텔이발표한 3D 크로스포인트 메모리기술과같은차세대비휘발성메모리로 ReRAM 개발중 플래시기반스토리지 SoC 는모바일뿐아니라데스크탑, 데이터센터, 기타 IT 기기시장에서고성장이기대되며향후 PRAM 등차세대비휘발성메모리와융합형스토리지기술개발에대한정부차원의 R&D 지원필요 61

70 기술개발테마현황분석 분류민간 R&D 투자동향정부투자동향 프로세서 SoC 인공지능반도체 프로세서코어를적용한제품을개발하는중견, 중소업체를중심으로한국형프로세서응용사업추진 시스템반도체 2010 사업에서개발된프로세서기술이상용화되어있으나동작주파수는수백 MHz 급이며소프트웨어및 HW 성능개선을위한추가투자필요 삼성전자도 ARM 아키텍처라이센스확보를통해독자개발한커스텀 CPU 코어인몽구스 (Mongoose) 를최신스마트폰에적용하여상용화 최근의인공지능연구는 DNN(Deep Neural Net) 과 SNN(Spiking Neural Net) 등의뉴럴넷 (Neural Net) 과뉴런자체의소자수준모델링을위한뉴로모픽기술로분류됨 뉴럴넷기술은인공지능알고리즘과밀접한연관이있으며, 초병렬아키텍처멀티코어또는매니코어 (>100 개코어이상 ) 프로세서로구성 뉴로모픽기술은뉴런및시냅스의기능을모사하는소자 (Device) 설계기술로서현재는연구수준 삼성전자, SK 하이닉스는차세대반도체산업으로연산기능의프로세서와기억에해당하는메모리기능합쳐진인공지능형반도체개발추진 SK 하이닉스는 HP 사와공동으로 Memristor 개발진행, 삼성전자는뉴로모픽시스템에대한연구를진행 대학에서는산발적인뇌신경계시냅스모사연구, 뉴로모픽컴퓨팅소자 / 칩, 인지시스템기술개발을진행하고있음 프로세서코어는전자제품의두뇌로서작용하며제품의기술적차별화를위한핵심요소기술일뿐만아니라제품의가격경쟁력을결정하는주요요소로서정부 R&D 투자를통해국내산업경쟁력을확보해야함 국내의중견중소업체들에서개발하던소형, 저가의제품뿐만아니라중형 AP 에이르기까지 2015 년부터거의모든제품에초소형코어및중형의코어들이집적되는상황이나해외의고가프로세서코어의도입이여의치않은상황이므로국가적인지원필요 중저급의국산프로세서코어의보급확산을위하여, 정부 R&D 를통해 한국형 CPU 코어상용화 사업진행중 초저전력, 고성능의차세대 CPU 코어원천기술인 NTV (Near Threshold Voltage) 및 PIM (Processor in Memory) 기술개발지원중 인공지능관련산업발전방향을인공지능알고리즘, 인공지능컴퓨팅뉴럴넷반도체, 그리고뉴로모픽기술로분류하여진행하여야함 인공지능컴퓨팅뉴럴넷반도체기술은초병렬구조의퍼셉트론반도체를멀티코어 AP 에집적한형태이며단기간에산업구조의혁신을가져올기술이므로정부의직접적인투자필요 뉴로모픽기술관련하여정부는최근, 뇌신경계정보처리원리모사컴퓨터개발을중점연구후보분야로선정, 시냅스모방소자개발에투자진행 62

71 SoC 부품 분류민간 R&D 투자동향정부투자동향 GPU Security 인터페이스 SoC 최근빅데이터처리, 가상현실, 딥러닝, 자율주행등높은데이터연산처리능력에대한수요가증가하면서 GPU 가 High-Performance Computing (HPC) 분야에서널리활용되고있음 2016 년 4 월에개최된 NVIDIA GPU Technology Conference (GTC) 에서차세대파스칼아키텍처기반 Tesla P100 GPU 를공개하였고, 향후 GPU 가활용될수있는인공지능, 로봇공학, 엔터테인먼트등의산업을주요세션으로소개함 Mobile AP 분야에서강점을보이고있는 ARM 은 Mali GPU 의개발을통해 GPU 시장에진출하였고, Qualcomm 역시 AMD 모바일그래픽사업부를인수하여 Adreno Mobile GPU 를생산함으로써 GPU 시장에뛰어듦 국내에서는유일하게넥셀이자체 GPU 개발및상용화를지속하고있음 코드의안전한실행을하드웨어적으로보장하는보안아키텍쳐인 TEE (Trusted Execution Environment) 를기반으로보안솔루션을개발 ARM TrustZone 이나 Intel SGX 등에서는 TEE 가구현되어있으나, 국산의경우, TEE 를위한하드웨어지원이전무한상황 IoT 시장을겨냥하여프로세서외부에 TPM(Trusted Platform Module) 같은제한적보안모듈을부착하여 SoC 형태로구현된보안플랫폼은존재 네오와인, 라닉스, 이더블유비엠등국내팹리스를중심으로보안 IP 및 SoC 기술개발진행중 최근인터페이스기술은단독칩보다는 AP, 응용칩등에필수 IP 로사용되고있다. 알파칩스는최근에 MHL 기술을확장하여 HDMI, MIPI 등다양한인터페이스 IP 및칩개발진행 삼성전자는일부인터페이스 IP 를자체확보하고있으나선진외국기업에비해기술수준이높지않음 스마트파이가 HDMI 전문기업으로창업하여활동하다가 2015 년알파칩스와합병하여인터페이스 IP 를국내외고객사에제공하고있음 Nvidia 의 Tesla 구조기반의 GPU 기술은인공지능시스템의연산을담당하는데이터센터의핵심부품에현재널리사용되고있으며, 향후에는그수요가더폭발적으로증가할것이확실함 Intel 의 Xeon PHi 와같은슈퍼컴퓨터의연산 co-processor 역시 GPU 와유사한기술을바탕으로설계되고상용화된기술임 국내범용 GPU 설계기술은극소수의중소기업에의해서시도되고상용화되었으나매출규모는미미함 국내 GPU 설계기술수준의한계를극복함과동시에가파르게성장하고있는세계 GPU 시장에진입하기위해서는 HPC 시스템의원천기술인 GPU 관련 R&D 분야에지속적인지원및투자필요 외산업체들이장악한고성능프로세서들과는달리, 경량임베디드프로세서에특화된보안아키텍처연구는전세계적으로아직초기단계이므로, 경량보안아키텍처가내장된국산프로세서개발을위한지원이필요. IoT/ 웨어러블제품에서보안 IP 및 SoC 기술의활용도가매우높을것으로보이며, 특히, 암호화및사용자인증등의응용을위한보안솔루션개발을위한적극적인노력필요 인터페이스기술은 SoC 가요구하는다양한 IP 를개발할수있는인프라와최신사양의 IP 를빠른기간내에개발할수있도록투자진행하여야함. 인공지능, big data, cloud computing 등의새로운컴퓨팅환경에서대용량데이터를빠르게전송할수있는초고속인터페이스기술에대한투자필요 디스플레이의해상도가 UHD 이상으로진화함에따라 HDMI 표준 2.1 이 2016 년하반기또는 2017 년상반기에발표될것으로예상되므로이에대한 R&D 투자필요 63

72 기술개발테마현황분석 4. 기술개발현황 가. 기술개발이슈 SoC 부품에 SW 융합기능이강화된지능형반도체의대두 지능형반도체는스마트카, 사물인터넷 (IoT), 웨어러블디바이스등의스마트기기가지능형서비스를 제공할수있도록하는소프트웨어 (SW) 와시스템반도체 (SoC, System on Chip) 의융합기술의미 지능형반도체는주요 3대응용분야에적용진행 스마트인지. 제어반도체 - 지능형시스템의인지및제어에사용되는소프트웨어 SoC 기술로, 최근머신러닝 (Machine Learning) 기술을기반으로한성과들이발표되고 IT 업계의주목을받고있는분야임. 세계최초집적화된형태의뉴로모픽칩이 IBM에의해발표된이후전세계적으로지능형반도체기술개발경쟁촉발 스마트통신반도체 - 스마트통신을구현하기위한다양한커넥티비티 SoC 기술로, 5G와같은차세대이동통신, 스마트운송, 스마트재난안전서비스등고속데이터통신기능의기반 초고속컴퓨팅반도체 - 초고속연산처리가가능한지능형반도체소프트웨어 SoC 기술로, 사물인터넷, 빅데이터, 스마트로봇등제품구현에사용되는필수기반부품 국내지능형반도체시장현황 국내지능형반도체시장현황 - 국내시스템반도체시장은 2014년글로벌시장점유율 3.8% 를기록해글로벌 5위수준을기록함. 2020년까지시장점유율 10% 를목표로관련분야육성추진중 - 한국반도체산업협회는총 3단계에걸쳐지능형반도체사업을추진중 - 정부는국가적미래수요대응을위해 미래성장동력종합실천계획 을발표하고, 지능형반도체를포함하는 19대미래성장동력 분야로선정 국내지능형반도체기술개발의주요잇슈 국내팹리스기업은선진기업들에비해자본의규모, 기술의원천성, 상품화및마케팅역량등에서상대적으로열악하며산업생태계또한취약함. 생태계에대한근본적인개선은따라서이들중소업체의생존에매우중요하나, 어느정도의시간이필요함. 따라서산업생태계인프라의지속적인개선과함께무엇보다기업간인수 합병 (M&A) 활성화를유도할금융, 세제등제도적장치가시굽히요구되고있음. SoC 제품의초기개발비용이계속증가하고있어자금, 핵심 IP, 우수설계인력확보에어려움을겪는중소팹리스업체입장에선, 제품개발에필수적인설계 Tool, IP등을공유하고유통채널등을협업하는등, SoC 부품산업인프라구축이요구됨. 64

73 SoC 부품 지능화, 서비스화, 플랫폼화, 친환경화등의메가트렌드와기술경쟁력, 시장성을고려한 10 년간의미래산업에선제적진입을위한유력 SoC 제품발굴 향후 [ 차세대반도체산업유망제품 ] SoC 제품주요시장고려사항 개요 지능형자동차, 스마트폰, 스마트워치등에인공지능을구현하기위해필요한반도체 인공지능반도체 선정사유 - 구글알파고, 무인자동차등인공지능을구현한시스템시장확산 - 국내에는인공지능구현에필요한반도체제품부재 - 자동차, 스마트폰, 스마트워치등기술개발테마의지능화를통해세계 1 위수준경쟁력확보 주요기업 / 기관 ( 국내 )ETRI, 네이버, 한국과학기술원 ( 해외 )AMD, GE, Google Intel, IBM 개요 IoT/ 웨어러블제품의배터리사용시간연장을위한저전력반도체부품 IoT/ 웨어러블반도체 선정사유 - 전세계적으로 IoT/ 웨어러블제품시장의급성장추세 - 해당제품에필요한핵심부품은대부분수입에의존 - 1 회충전으로제품사용시간을 5 배이상개선 주요기업 / 기관 ( 국내 ) 어보브반도체 ( 해외 )Intel, MediaTek, Inc., Qualcomm,, STMicro, TI 개요 스마트자동차구현에필요한각종센서, Actuator, MCU 등을포함한다양한반도체부품으로, 향후급성장이예상되는분야임. 특히자율주행자동차와전기자동차등은반도체부품을통한제품차별화, 경량화, 지능화등을모색하고있으며, 핵심차량용반도체부품및모듈개발 차량용반도체 선정사유 - 국내에는지능형자동차에필요한반도체제품부재 - 자율주행자동차, 전기자동차등차세대자동차의반도체부품수요급증예상 - 자동차전장화에따른차별화핵심요소기술로등장 주요기업 / 기관 ( 국내 )LG 전자, 넥스트칩, 엠텍비젼, 텔레칩스, ETRI ( 해외 )Infineon, Nvidia, Qualcomm, Renesas, Bosch 65

74 기술개발테마현황분석 SoC 제품주요시장고려사항 개요 과거메모리반도체는단품위주의시장을형성하였으나, 최근 SSD, UFS, SCM 등메모리응용제품의시장이급격하게성장. 메모리응용제품구동에핵심적인시스템반도체및 SW 개발 지능형메모리스토리지반도체 선정사유 주요기업 / 기관 - 메모리를이용한지능형스토리지시장의급성장 - DRAM, NAND 용량증가및가격하락으로다양한응용사례도출 - 차세대 IT 응용제품의핵심부품 ( 국내 ) 삼성전자, SK 하이닉스 ( 해외 )Intel, Micron Technology, SanDisk 개요 시스템반도체협력 / 지원플랫폼을구축하여국가기술개발테마를위한설계자산 ( 반도체 IP) 을개발 / 확보하고, 파운드리에 Porting 및팹리스활용지원. 팹리스기업의시제품공동제작을지원 SoC 부품생태계플랫폼 선정사유 - 국내팹리스는초기개발비에대한부담및위험증가로신규창업이급감하고창의적아이디어의실현에한계 - 국내 IP 신뢰성, 파운드리보유여부등을이유로해외 IP 에대한의존도가높고, 이로인한로얄티유출로가격경쟁력악화 - 파운드리 - 팹리스연계를통하여기술개발테마에요구되는국산 IP 를확보하고, 공동시제품제작지원등을통하여팹리스기업의설계경쟁력강화 주요기업 / 기관 ( 국내 ) 반도체설계재산유통센터, ETRI SW-SoC Center ( 해외 )MOSIS, National Chip Implementation Center, TSMC 개요 IoT/ 웨어러블제품들간유기적데이터통신을내장한통합프로세서제품 Connectivity 반도체 선정사유 - 인터넷을통한데이터공유는 IoT/ 웨어러블제품의핵심기능 - 원칩 (One-chip) 으로구현되는제품들의경쟁력강화를위해서는통신기능이강화된부품개발이필수적 - 5G, LPWA 등차세대통신표준을지원함으로써통신속도 10 배향상, 전송거리최대 20Km 달성 주요기업 / 기관 ( 국내 ) 뉴라텍, 어보브반도체 ( 해외 )Broadcom, HiSilicon,, MediaTek, ualcomm, STMicroelectro 66

75 SoC 부품 SoC 제품주요시장고려사항 개요 GaN, SiC MOSEFT 기반에파워 IC 및전력반도체가융합된열특성향상, 도강화, 고전압 / 고전류가능및스위칭손실최소화등이가능한고효율력에너지반도체및모니터링모듈개발 전력 / 에너지반도체 선정사유 주요기업 / 기관 고효율을위한파워반도체기술개발에선진국의투자가이루어지고있는상황으로, SiC 및 GaN 기반전기자동차관련된상용화된차량반도체가증가하고있으며, 이에대한국내기술확보와자립이요구되는기술로파워 IC 와전력반도체가융합된 IPM 통합모듈기술이요구됨 ( 국내 ) 동운아나텍, 실리콘마이터스, 실리콘웍스 ( 해외 )Maxim, Qualcomm, STMicro, TI * 출처 : 한국산업기술진흥원,

76 기술개발테마현황분석 나. 특허동향분석 SoC 부품특허상주요기술 주요기술 SoC 부품은디지털회로설계기술에인공지능 SoC 설계기술, 인공지능 SoC 응용기술이있고, 이종반도체집적기술은지능형메모리코어기술, 메모리스토리지연동기술, 디바이스간의유기적연계를위한 connectivity 반도체기술로분류되며, 통신용 SoC 기술은 IoT 저전력 SoC 기술, 웨어러블 SoC 기술, 자율주행을위한통합 SoC 기술, 저전력 SoC 플랫폼 IP 연계기술로구분됨 분류요소기술설명 디지털회로설계 이종반도체집적 통신용 SoC 인공지능 SoC 설계기술 인공지능 SoC 응용 지능형메모리코어기술 메모리스토리지연동기술 디바이스간의유기적연계를위한 connectivity 반도체기술 IoT 저전력 SoC 기술 웨어러블 SoC 기술 자율주행을위한통합 SoC 기술 저전력 SoC 플랫폼 IP 연계 디바이스의인공지능화를구현할수있는 SoC 설계기술 인공지능디바이스로의 SoC 응용설계기술 모바일기기및스마트기기등이동통신단말에사용되는지능형메모리 SoC 기술 SoC 내부에메모리를내장하는기술 SoC 내부에메모리를내장하는기술 IoT 디바이스의저전력화를구현할수있는 SoC 기술 웨어러블디스플레이에지원가능한유연 SoC 기술 차량, 선박, 항공등다양한분야에서응용할수있도록광대역네트워크를지원할수있는 SoC 기술 와이파이, 비콘등저전력을지원하는근거리무선통신 Connectivity 기술 68

77 SoC 부품 세부분야별특허동향 주요기술별국가별특허동향 SoC 부품의요소기술별주요국가별특허정보데이터입수하였으며, 최근 10 년간의특허데이터를 비교분석함 분류요소기술한국미국일본유럽계 디지털회로설계 이종반도체집적 통신용 SoC 인공지능 SoC 설계기술 인공지능 SoC 응용 지능형메모리코어기술 메모리스토리지연동기술디바이스간의유기적연계를위한 connectivity 반도체기술 IoT 저전력 SoC 기술 웨어러블 SoC 기술 자율주행을위한통합 SoC 기술 저전력 SoC 플랫폼 IP 연계 합계 22 1, ,132 국가별요소기술별특허동향에서디지털회로설계기술분야는미국이가장많은비중을차지하고있으며, 일본이상대적으로적은출원량을보유하고있음 이종반도체집적기술분야도미국이가장많은특허출원비중을보이고있으며, 일본이상대적으로적은특허출원을나타내고있음 통신용 SoC 기술분야역시미국이가장많은비중을차지하고있으며, 일본이상대적으로적은출원량을보유하고있음 주요기술별출원인동향 세부분야 요소기술 기술집중도 주요출원인 국내특허동향 디지털회로설계 인공지능 SoC 설계기술 인공지능 SoC 응용 Apple Intel Qualcomm 대기업중심 Qualcomm, NXP 등 이종반도체집적 지능형메모리코어기술 메모리스토리지연동기술 디바이스간의유기적연계를위한 connectivity 반도체기술 Intel Qualcomm Apple 대기업중심 Qualcomm, TAIWAN SEMICONDUCTOR, 한양대학교등 IoT 저전력 SoC 기술 통신용 SoC 웨어러블 SoC 기술 자율주행을위한통합 SoC 기술 Intel Qualcomm Apple 대기업중심 Qualcomm, IDT Europe, NXP 등 저전력 SoC 플랫폼 IP 연계 69

78 기술개발테마현황분석 디지털회로설계기술분야주요출원인동향 디지털회로설계기술분야는 Apple 이가장많은특허를보유하고있으며그다음으로는 Intel, Qualcomm 등이많은특허를보유하고있는등미국회사들이주류를이루고있는것으로나타남 이종반도체집적기술분야주요출원인동향 이종반도체집적기술분야는 Intel 이가장많은특허를보유하고있으며그다음으로는 Qualcomm, Apple 등이많은특허를보유하고있는등미국회사들이주류를이루고있는 것으로나타남 통신용 SoC 기술분야주요출원인동향 통신용 SoC 기술분야는 Intel 이가장많은특허를보유하고있으며그다음으로는 Qualcomm, Apple 등이많은특허를보유하고있는등미국회사들이주류를이루고있는것으로나타남 SoC 부품분야의주요경쟁기술및공백기술 SoC 부품분야의주요경쟁기술은이종반도체집적기술과통신용 SoC 기술이고, 상대적인공백기술은디지털회로설계기술로나타남 SoC 부품분야에서가장경쟁이치열한분야는통신용 SoC 기술이고디지털회로설계기술분야가상대적으로출원이활발하지않은공백기술분야로나타남 세부분야요소기술기술집중도 디지털회로설계 이종반도체집적 통신용 SoC 인공지능 SoC 설계기술 인공지능 SoC 응용 지능형메모리코어기술 메모리스토리지연동기술 디바이스간의유기적연계를위한 connectivity 반도체기술 IoT 저전력 SoC 기술 웨어러블 SoC 기술 자율주행을위한통합 SoC 기술 저전력 SoC 플랫폼 IP 연계 : 50 건이상, : 30~49 건, : 20~29 건, : 10~19 건, : 10 건미만 70

79 SoC 부품 최신국내특허기술동향 분류요소기술최근핵심요소기술동향 디지털회로설계 인공지능 SoC 설계기술 모놀리식 3D 집적회로설계기술 인공지능 SoC 응용 SoC 아키텍처를이용하여집적회로설계기술 이종반도체집적 통신용 SoC 지능형메모리코어기술 메모리스토리지연동기술 디바이스간의유기적연계를위한 connectivity 반도체기술 IoT 저전력 SoC 기술 웨어러블 SoC 기술 자율주행을위한통합 SoC 기술 저전력 SoC 플랫폼 IP 연계 보안 IP 시스템인패키징기반보안반도체칩기술 프로세서, RAM, NVM 인베디드메모리기술 SoC ARM 기반휴대용 PC, 모바일기기등을위한이동통신 SoC 기술 무선주파수간섭저감을위한무선통신 SoC 기술 국내특허동향을살펴보면모든기술분야가글로벌대기업중심으로활발하게연구개발이추진되고있는것으로나타남 경쟁이치열한이종반도체집적기술분야는대기업을중심으로 Qualcomm, TAIWAN SEMICONDUCTOR 등에서보안 IP 시스템인패키징기반보안반도체칩기술, 프로세서, RAM, NVM 인베디드메모리기술등을연구개발하고있음 가장경쟁이치열한통신용 SoC 기술분야도대기업을중심으로 Qualcomm, IDT Europe, NXP 등에서 SoC ARM 기반휴대용 PC, 모바일기기등을위한이동통신 SoC 기술, 무선주파수간섭저감을위한무선통신 SoC 기술등이연구개발되고있음 중소기업특허전략수립방향및시사점 SoC 부품분야의상대적인공백기술분야는디지털회로설계관련기술로나타남 SoC 부품분야는차량용통신시스템, 차세대이동통신시스템등에유용하게사용될수있음 SoC 부품은소수의반도체제조업체가최종수요자이고대규모의장치투자가필요한분야로주로대기업중심으로연구개발및투자가이루어지고있는분야임 하지만중소벤처기업도디지털회로설계분야등일부기술및핵심부품, 공정소재등을연구개발한다면최종수요자인반도체생산업체에납품할수있는가능성이있음 향후중소기업은상대적으로경쟁이치열하지않는디지털회로설계기술을공공연구기관의기술을이전받거나공동으로연구개발하여제품화하는특허전략을수립하는것이바람직할것으로사료됨 특히이종반도체집적회로기술은한양대학교등과공동으로연구개발을추진하는것을우선적으로고려해볼수있을것으로판단됨 71

80 기술개발테마현황분석 5. 연구개발네트워크 가. 연구개발기관 / 자원 SoC 부품기술분야주요연구개발기관 [ SoC 부품기술분야주요연구개발기관 ] 기관전자부품연구원한국전자통신연구원한국과학기술연구원한국과학기술원삼성전자엘지전자 연구내용 초고속광인터커넥트용광소자일체형 SoC 기술, 다채널라이다 (LiDAR) 센서, BLDC모토홀센서오차보정, 광-융합 SoC 등로봇및메카트로닉스부품기술, 일상생활용환경센서및리빙케어휴대이동통신용 RFIC, 무선랜 RFIC, IoT 통신용 RFIC, 자동 차용레이다등집적회로기술, 얼굴인식기술 DNPU (Deep Neural network Processing Unit) 무선딥러닝기술적용한마취심도모니터링측정기 AI 고화질변환기술 (8K QLED TV에적용 ), Exynos 9810, ISOCELL 이미지센서, 10나노 2세대핀펫공정 (10LP, Low Power Plus) 기반 SoC제품양산 ADAS 카메라, LCD 계기판등자율주행및편의장치, 올레드 리어램프등라이팅솔루션 나. 연구개발인력 한국전자통신연구원, 전자부품연구원, 한국과학기술원등에서주로연구개발을진행하고 있으며삼성, 하이닉스반도체등의대기업을중심으로연구개발인력이주도함 [ SoC 부품기술분야주요연구개발기관 ] 기관 연구부서 전자부품연구원융합시스템연구본부 (SoC 플랫폼연구센터 ) 한국전자통신연구원 한국과학기술연구원 한국과학기술원 서울 SW-SoC 융합 R& BD 센터 차세대반도체연구소 전기전자공학과 72

81 SoC 부품 다. 기술이전가능기술 (1) 기술이전가능기관 기술이전이가능한기관은한국전자통신연구원, 전자부품연구원, 한국과학기술원이있음 (2) 이전기술에대한세부내용 한국전자통신연구원 딥러닝기반조명, 표정, 포즈변환에강인한얼굴인식기술 영상내에서얼굴을검출하고분석해신원을인식하는기술, 일반 CCTV카메라부터저가의 USB 카메라환경에적용할수있음. 조명, 표정, 포즈변화에 99% 이상인식하는성능 지능형가전, 로봇서비스, 지능형영상보안서비스에적용가능 침입자를감시하고도어락이나 ATM, 이동통신에접목할수있음. 개인정보보안과전자상거래, 지능형완구에도들어감 [ 얼굴인식기술개요 ] 전자부품연구원 초고속광인터커넥트용광소자일체형 SoC 기술 인터넷데이터량의폭발적인증가로광연결기술이기존기간망에서컨슈머영역까지확대되면서, 광전모듈및능동형광케이블의핵심부품인광소자와구동회로를집적화하여성능과가격경쟁력을향상시킬기술이필요 기존에개별부품으로제작되던광소자와구동회로를칩-레벨로집적화하여기존연결부분에서의성능저하를막고가격경쟁력을향상시켜초고속광인터커넥트제품에적용할수있는 SoC기술 기간망및데이터센터, 광네트워크, 방송장비인터커넥트분야에활용 73

82 기술개발테마현황분석 초고화질디지털영상및가전분야 ( 메디컬디스플레이, 옥외대형디스플레이, HD CCTV, 디지털사이니지등 ) 에활용 차량내네트워크, 선박내네트워크및우주, 항공, 군사분야등에활용 전자부품연구원 다채널라이다 (LiDAR) 센서 고출력펄스레이저가목표물에반사돼돌아오는시간을측정, 3차원공간정보를획득하는기술. 렌즈광학계일체형으로구조가단순한반면에 200m의넓은탐지거리를소화 자율주행차, 첨단운전자지원시스템 (ADAS) 용안전센서, 지형맵핑용드론등레이저를이용한다양한분야에적용할수있어활용도가높은기술 [ 차량용라이다센서 ] 한국과학기술원 DNPU (Deep Neural network Processing Unit) 휴대폰이나로봇, 드론등에서인공지능 (AI) 시스템을구현하는반도체칩 에너지표율이알파고에사용된칩보다 4배높음 DNPU 칩을넣은로봇은주인을알아보고, 이미지를텍스트로찍어낼수있음 74

83 SoC 부품 * 출저 : 정보통신기술진흥센터 [ DNPU 칩의모습 ] * 출저 : 정보통신기술진흥센터 [ DNPU 칩을장착한로봇 ] 75

84 기술개발테마현황분석 한국과학기술원 무선딥러닝기숙적용한마취심도모니터링측정기 전기및전자공학과유회준교수연구팀이개발마취심도모니터링측정기 환자이마에접착한패치를통해무선으로신호를받음. 패치에는신호를정밀제어하는반도체칩이내장되어있음 초소형근적외선분광센서를활용해성별, 나이등에관계없이정밀하게신호측정 다중신호를이용하기때문에수술중전기잡음을유발하는전기소작기나삽관사용중에도신호왜곡없이마취심도의측정이가능 기존기기로는측정이불가능했던케타민등의약물도마취심도를측정할수있어의료분야에서응용가능할것으로전망 [KAIST 가개발한마취심도모니터링측정기센서모식도 ] 76

85 SoC 부품 6. 기술로드맵기획 가. 중소기업핵심요소기술 (1) 데이터기반요소기술발굴 [ SoC 부품분야키워드클러스터링 ] [ SoC 부품분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 system-on-c hip, vehicle 4~8 1. Vehicle measurement apparatus having a system-on-a-chip device and a sensor 2. VEHICLE MEASUREMENT APPARATUS HAVING A SYSTEM-ON-A-CHIP DEVICE, A SENSOR AND A WIRELESS ADAPTE 클러스터 02 system chip, automotive 4~7 1. A multichip automotive radar system, a radar chip for such as system, and a method of operating such a system 77

86 기술개발테마현황분석 2. APPARATUS FOR DISTRIBUTING BUS TRAFFIC OF MULTIPLE CAMERA INPUTS OF AUTOMOTIVE SYSTEM ON CHIP AND AUTOMOTIVE SYSTEM ON CHIP USING THE SAME 클러스터 03 system chip, motor 6~8 1. Steering lock having chip communicating with electronic system of a motor vehicle 2. VEHICLE MEASUREMENT APPARATUS HAVING A SYSTEM-ON-A-CHIP DEVICE, A SENSOR AND A WIRELESS ADAPTER 클러스터 04 system chip, car, control 4~7 1. Methods and apparatus for automatic fault detection 2. Hierarchical sensor network for a grouped set of packages being shipped using elements of a wireless node network 클러스터 05 system chip, car, GPS 4~7 1. Parameter-based navigation by a lumen traveling device 2. Autonomous transport navigation to a shipping location using elements of a wireles node network 3. Contextual based adaptive adjustment of node power level in a wireless node network 클러스터 06 system chip, car, ESC 5 1. METHOD AND APPARATUS FOR DETERMINING PROBABILISTIC CONTEXT AWRENESS OF A MOBILE DEVICE USER USING A SINGLE SENSOR AND/OR MULTI-SENSOR DATA FUSION 2. Circuit and method for controlling charge injection in radio frequency switches 클러스터 07 system chip, car, EPS 5 1. Augmenting ADAS features of a vehicle with image processing support in on-board vehicle platform 2. WIRELESS PAIRING AND TRACKING SYSTEM FOR LOCATING LOST ITEMS 클러스터 08 system chip, car, video 4 1. Portable digital video camera configured for remote image acquisition control and viewing 2. Hard disk drive, method for operating the same, and computer system having the same 클러스터 09 system chip, car, audio 4~7 1. System-in packages 2. Method for determining the quality of a quantity of properties, to be employed for verifying and specifying circuits 클러스터 10 system chip, car, etc 4~7 1. METHOD AND SYSTEM FOR A PERSONAL NETWORK 2. PSOC architecture 78

87 SoC 부품 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로 로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여 최종요소기술명확정 [ SoC 부품분야핵심요소기술 ] 분류요소기술출처 표준규격 ECU 설계 고신뢰성반도체설계 / 생산기술이종반도체집적기술저전력임베디드 CPU 설계기술임베디드프로그램 / 자동차 OS 기술센서설계기술구동회로설계기술 전문가추천 특허 / 논문클러스터링, 기술수요 특허 / 논문클러스터링, 전문가추천, 기술수요 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링 저전압아날로그회로설계기술 특허 / 논문클러스터링 센서및구동회로 친환경자동차구동제어기술기능구동제어기술고전압 / 고전류회로설계기술엔진제어 ECU 기술 특허 / 논문클러스터링 특허 / 논문클러스터링 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링 기능제어 ECU 기술 특허 / 논문클러스터링 고속 CAN FD transceiver 설계기술 전문가추천 차량내통신회로설계기술 특허 / 논문클러스터링 통신회로 운전자지원및자율주행회로 차량외통신회로설계기술다중센서네트워크기술 IoT 센서신호처리기술영상인식회로설계기술자율주행제어회로설계기술레이더관련회로설계기술 특허 / 논문클러스터링 특허 / 논문클러스터링, 기술수요 특허 / 논문클러스터링, 기술수요 특허 / 논문클러스터링, 전문가추천, 기술수요 전문가추천 전문가추천 79

88 기술개발테마현황분석 (3) 핵심요소기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심요소기술선정위원회를통하여 중소기업에적합한핵심요소기술선정 핵심요소기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업 적합성 (10) 을고려하여평가 [ SoC 부품분야핵심요소기술 ] 분류핵심요소기술개요 인공지능 인공지능 SoC 설계및응용기술 SoC 반도체는일반반도체에비해높은신뢰성을요구하므로이러한고신뢰성을가지는반도체제품을설계 / 생산하는기술 IoT/ 웨어러블반도체 IoT 초저전력 SoC 기술 웨어러블 SoC 기술 입력정보를사용하여각종장치에제어명령을내리는 CPU 를저전력으로설계하는기술 효율적인웨어러블디스이스적용기술과다양한상황을실시간으로처리할있는 OS 를설계하는기술 메모리스토리지반도체 지능형메모리코어기술 메모리스토리지연동기술 고전압 / 고전류가필요한부분에서동작할수있는핵심메모리회로설계기술 메모리에관련된다양한정보 ( 온도, 습도, 속도, 압력, 광등 ) 를입력받는스토리지를설계하는기술 차량용반도체 자율주행을위한통합 SoC 기술 늘어나는데이터전송요구량을대처하기위해 15Mbit/s 까지가능한 CAN FD(flexible datarate) 가최근에제시되어서, 이러한고속의 CAN FD 에맞춰데이터를송 / 수신할수있는 transceiver 를설계하는기술 SoC 플랫폼 저전력 SoC 플랫폼 IP 연계 물체인식기술을이용하여차선인식, 보행자인식, 차량인식등을수행하는영상인식가능하게하는고유 IP 설계하는기술 Connectivity 반도체 디바이스간의유기적연계를위한 Connectivity 반도체기술 영상인식회로에서인식된정보에기반하여자율주행을수행하도록제어하는기술 80

89 SoC 부품 나. SoC 부품기술로드맵 81

90 기술개발테마현황분석 다. 연구개발목표설정 분류핵심요소기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 인공지능반도체 인공지능 SoC 설계기술 인공지능 SoC 응용 설계완성도 70% 이상 80% 이상 99% 이상 응용어플리케이션완성도 30% 이상 70% 이상 99% 이상 인공지능및 IoT 반도체조기상용화 인공지능및 IoT 반도체조기상용화 IoT 웨어러블반도체 IoT 초저전력 SoC 기술 기술완성도 30% 이상 70% 이상 99% 이상 웨어러블 SoC 기술기술완성도 70% 이상 80% 이상 99% 이상 인공지능및 IoT 반도체조기상용화 인공지능및 IoT 반도체조기상용화 차량용반도체 자율주행을위한통합 SoC 기술 통합기술완성도 70% 이상 80% 이상 99% 이상 자율주행을위한 SoC 기술개발 메모리스토리지반도체 지능형메모리코어기술 메모리스토리지연동기술 코어기술완성도 스토리지연동기술완성도 30% 이상 70% 이상 99% 이상 40% 이상 60% 이상 80% 이상 스토리지기술개발 스토리지기술개발 SoC 플랫폼 저전력 SoC 플랫폼 IP 연계 플랫폼기술 30% 이상 70% 이상 99% 이상 플랫폼기술개발 Connectivity 반도체 디바이스간유기적연계를위한 Connectivity 반도체기술 Connectivity 정확도 50% 이상 70% 이상 90% 이상연계기술개발 82

91 SoC 부품 라. 핵심요소기술심층분석 인공지능 SoC 설계기술 기술개발 필요성 디바이스의인공지능화를구현할수있는 SoC 설계기술개발이필요함 고성능코어와저성능코어를혼용함으로써전력소모를감소시켜모바일플랫폼에서 효율적인컴퓨팅을구현 기술개발전략 AI 성능을제공하는이기종컴퓨팅을적절한크기의칩에설계할수있는 시스템온칩 (SoC) 개발 SoC 아키텍처를이용하여집적회로설계기술개발 No 명칭출원인 1 시스템온칩 (SOC), 설계구조및방법 IBM 2 지능형시스템온칩설계방법및장치한국전자통신연구원 3 SoC 설계검증을위한방법및장치어드밴티스트 4 반도체집적회로의블록배치및전력배선설계방법엔타시스 관련특허현황 5 시스템온칩설계를위한하드웨어 / 소프트웨어스케쥴링방법및이기능을실현하는기록매체 한국전자통신연구원 6 시스템온칩및이의레이아웃설계방법삼성전자 7 핵심요소기술피치정렬을갖는 SOC 설계 Qulcomm 8 9 시스템온칩, 시스템온칩을포함하는전자장치및시스템온칩의설계방법 탭리스스탠다드셀을포함하는시스템 - 온 - 칩의설계방법, 설계시스템및시스템 - 온 - 칩 { 삼성전자 삼성전자 적용가능분야 인공지능 관련기업 국내 : 삼성전자, 에스케이하이닉스 해외 : 퀄컴, ST 마이크로, 마이크로칩, NXP 반도체, TI 83

92 기술개발테마현황분석 인공지능 SoC 응용기술 기술개발 필요성 인공지능처리를위한고속연산기술이요구되는인공지능디바이스로의 SoC 응용 설계기술개발이필요함 기술개발전략 고성능코어와저성능코어를혼용함으로써전력소모를감소시켜모바일플랫폼에서효율적인컴퓨팅을구현 실시간인공지능처리를위한고속연산기술과차세대메모리기술을하나로집적한인공지능 SoC 부품개발 No 명칭출원인 1 시스템온칩 (SOC), 설계구조및방법 IBM 2 지능형시스템온칩설계방법및장치한국전자통신연구원 3 SoC 설계검증을위한방법및장치어드밴티스트 4 반도체집적회로의블록배치및전력배선설계방법엔타시스 관련특허현황 5 시스템온칩설계를위한하드웨어 / 소프트웨어스케쥴링방법및이기능을실현하는기록매체 한국전자통신연구원 6 시스템온칩및이의레이아웃설계방법삼성전자 7 핵심요소기술피치정렬을갖는 SOC 설계 Qulcomm 8 9 시스템온칩, 시스템온칩을포함하는전자장치및시스템온칩의설계방법 탭리스스탠다드셀을포함하는시스템 - 온 - 칩의설계방법, 설계시스템및시스템 - 온 - 칩 { 삼성전자 삼성전자 적용가능분야 인공지능 관련기업 국내 : 삼성전자, 에스케이하이닉스 해외 : 퀄컴, ST 마이크로, 마이크로칩, NXP 반도체, TI 84

93 SoC 부품 IoT 초저전력 SoC 기술 기술개발 필요성 차세대 IoT 칩설계에있어서초전력과고성능을동시에요구받고있어서 IoT 디바이스의초저전력화를구현할수있는 SoC 기술개발이필요함 기술개발전략 강력한온보드프로세서등을통해매우짧은시간안에프로토콜및애플리케이션 프로세싱작업을완료할수있도록함으로짧은시간안에슬립모드로진입함으로써 전력소모를절감하는기술개발 No 명칭출원인 1 재구성가능한시스템온칩 ( 주 ) 나오플러스 2 시스템온칩 (SOC) 및시스템을위한저전력디버그아키텍처인텔 관련특허현황 3 애플리케이션프로세서, 시스템온칩, 및이를포함하는컴퓨팅장치 삼성전자 4 애플리케이션프로세서와시스템온칩삼성전자 5 시스템온칩, 이의작동방법, 및이를포함하는장치삼성전자 저전력블루투스기기 적용가능분야 관련기업 웨어러블기기, 리테일비콘 (Beacon), 무선도어잠금장치, 스마트리모컨, 산업및의료모니터등 국내 : 삼성전자, 에스케이하이닉스 해외 : 퀄컴, ST마이크로, 마이크로칩, NXP반도체, TI 85

94 기술개발테마현황분석 웨어러블 SoC 기술 기술개발 필요성 저전력, 고성능, 소형, 플렉서블등웨어러블디바이스에서요구되는특성의웨어러블 디스바이스에대한지원가능한유연한 SoC 기술개발이필요함 기술개발전략 웨어러블디바이스에서요구되는초전력 SoC 설계기술, 플렉서블 SoC 설계기술 개발 No 명칭출원인 1 시스템온칩및이의레이아웃설계방법삼성전자 2 심전도센서칩, 시스템온칩과, 웨어러블기기삼성전자 3 시스템온칩 (SOC) 및시스템을위한저전력디버그아키텍처인텔 관련특허현황 4 워크로드를이용하여전력을제어할수있는시스템온칩, 이의작동방법, 및이를포함하는컴퓨팅장치 삼성전자 5 풀핸드세이크를지원하는시스템온칩및이를포함하는모바일장치 삼성전자 6 전력상태제어기능을구비한웨어러블장치인텔 7 손목근육들의움직임들에상응하는사용자입력을처리할수있는애플리케이션프로세서와이를포함하는장치들 삼성전자 8 프로그래머블멀티모달생체신호처리모듈및이를이용한헬스케어플랫폼 계명대학교 자율주행자동차, 속도감시및사물인식카메라 적용가능분야 자동조립장치, 물체포지셔닝크레인, 로봇등자동화장비 진단치료에필요한의료용센서시스템 관련기업 국내 : 삼성전자, 에스케이하이닉스 해외 : 인텔, 퀄컴, ST 마이크로, 마이크로칩, NXP 반도체, TI 86

95 SoC 부품 자율주행을위한통합 SoC 기술 기술개발 필요성 차량, 선박, 항공등다양한분야에서응용할수있도록광대역네트워크를지원할수 있는 SoC 기술개발이필요함 기술개발전략 차량, 선박, 항공등다양한분야에서사용될수있으며, 광대역데이터를전송하는 동시에저전력소모가필요한디지털통신에사용할수있는 SoC 설계기술및 SoC 부품개발 No 명칭출원인 1 양측파대역을차동출력비교기들을통해상보적신호를분리하고 RS 래치로복구할클럭의지터를제거하는생체이식용저전력비동기식위상편이복조회로 인하대학교 2 아날로그글리치제거회로를사용한생체이식용저전력비동기식위상편이복조회로 인하대학교 관련특허현황 3 다중모드라디오들을갖춘초저전력감지플랫폼 유니버시티오브버지니아페이턴트파운데이션 4 TCP/IP 패킷 - 중심와이어리스전송시스템아키텍처말리부네트웍스 5 개인화된리소스들을온디맨드로브로드밴드네트워크를통해소비자디바이스애플리케이션들로제공 포스월미디어 6 일반적인재입력예측텍스트입력소프트웨어컴포넌트를갖는콘텍스트 - 종속예측및학습 포스월미디어 적용가능분야 자율주행자동차 관련기업 국내 : 삼성전자, 에스케이하이닉스 해외 : 퀄컴, ST 마이크로, 마이크로칩, NXP 반도체, TI 87

96 기술개발테마현황분석 지능형메모리코어기술 기술개발 필요성 최근시장이급증하고있는웨어러블, 스마트기기, 모바일기기등이동통신단말에 사용되는지능형메모리 SoC 기술개발이필요함 기술개발전략 휴대용이동통신기기에적용되는 SoC 부품으로스마트폰 태블릿등차세대이동통신기기에필수적으로내장되어유무선데이터통신을포함 ( 방송통신융합부품 ) 하는기능을지원하며, 동영상 멀티미디어콘텐츠, 웹콘텐츠등의다양한데이터서비스를지원할수있는지능형메모리 SoC 설계기술및 SoC 부품개발 No 명칭출원인 1 이동통신시스템에서방송수신장치및방법삼성전자 2 고속무선통신모뎀에서외부장치에저장된펌웨어실행방법전자부품연구원 관련특허현황 3 블록암호를적용한무선통신용모뎀칩및이를구비한무선통신모뎀 전자부품연구원 4 수신장치, 송신장치, 난수시드값취득방법및무선통신시스템 파나소닉 5 아우터루프송신전력제어방법및무선통신장치파나소닉 6 송신전력제어방법및무선통신설비파나소닉 적용가능분야 휴대용이동통신기기 스마트폰, 태블릿 PC 등 관련기업 국내 : 삼성전자, 에스케이하이닉스 해외 : 퀄컴, ST 마이크로, 마이크로칩, NXP 반도체, TI 88

97 SoC 부품 메모리스토리지연동기술 기술개발 필요성 인공지능 (AI), 자율주행자동차분야에서기존보다성능이대폭향상된칩을원하는 데기존칩에임베디드메모리를탑재할수있는 SoC 내부에메모리를내장하는기술 개발이필요함 기술개발전략 더욱강력한성능의메모리를시스템온칩 (SoC) 안에내장하는기술을확대할 필요성이대두되어시스템반도체내부에서연산속도가속화를지원하는임베디드 메모리기술개발 저항에따른전압의세기에따라온오프상태로변화하는물질을이용한차세대 메모리반도체기술개발 No 명칭출원인 1 프로그램가능한자체테스트가통합된내장메모리장치및시스템과그의자가복구방법 한국전자통신연구원 2 내장형메모리를갖는시스템온칩반도체장치 ( 주 ) 다빛다인 관련특허현황 3 시스템온칩의내장메모리테스트장치엘지전자 4 내장형설정가능논리어레이를위한비휘발성메모리부, 회로내설정구조 마이크로닉스 5 프로세서에내장된메모리를관리하는방법및장치와그장치를탑재한시스템온칩 삼성전자 6 비휘발성메모리소자가내장된단일칩데이터처리장치및그동작방법 삼성전자 적용가능분야 인동지능 (AI), 자율주행자동차등 관련기업 국내 : 삼성전자, 에스케이하이닉스, 엘지전자 해외 : 퀄컴, 엔비디아, 인텔, 애플, TSMC 89

98 기술개발테마현황분석 저전력 SoC 플랫폼 IP 연계 기술개발 필요성 사물인터넷 (IoT) 시장의급격한성장과더불어홈자동화, 스마트기기, 노령인구 급증에따른헬스케어기기등소형장비수요급증등으로기인하여와이파이, 비콘 등저전력을지원하는근거리무선통신 Connectivity 기술개발이필요함 사물인터넷환경에서사용되는센서류등많은수의장비들이소형의저가장비라는 점에서많은비용추가되지않는정도에서의근거리무선통신 Connectivity 기술개발 기술개발전략 사물인터넷환경의다수소형장비들은배터리, 에너지하베스팅기술등의 전원공급원을채택하기때문에저전력을지원하는근거리무선통신 Connectivity 기술개발 No 명칭출원인 1 삽입가능한양방향무선신경기록및자극의장치및방법 샌디에고스테이트유니버시티리써치파운데이션 2 화상형성장치, SoC 유닛및그구동방법삼성전자 3 DRAM 메모리시스템에서절전정적이미지디스플레이리프레시를제공하기위한시스템및방법 퀄컴 관련특허현황 4 시스템온칩의절전을위한효율적인하드웨어적제어방법삼성전자 5 다중모드라디오들을갖춘초저전력감지플랫폼 유니버시티오브버지니아페이턴트파운데이션 6 무선 LAN 시스템에있어서저전력스캐닝방법및장치엘지전자 7 휴대용데이터취득및관리시스템과그관련장치및방법 유나이티드파셀서비스오브아메리카 8 전력효율적로케이션통지인텔 적용가능분야 사물인터넷 (IoT) 관련기업 국내 : 삼성전자, 에스케이하이닉스, 엘지전자 해외 : 퀄컴, 엔비디아, 인텔, 애플, TSMC 90

99 SoC 부품 디바이스간의유기적연계를위한 connectivity 반도체기술 기술개발 필요성 사물인터넷 (IoT) 시장의급격한성장에따른정보보안, 개인정보보호, IoT 정보보안 등에관련된정보보호, 빅데이터보안, 고신뢰성을지원할수있는 SoC 기술개발이 필요함 기술개발전략 디바이스마다고유의아이디 (ID) 와암호화된펌웨어이미지를생성해하드웨어기반의불법복제방지, 하드웨어기반안전부팅으로해킹이나임의조작에의해서명되지않은코드의유입이나위 변조차단, 펌웨어및데이터의암호화와전자서명수행가능등보안 SoC 기술개발 No 명칭출원인 1 장치의시스템온칩의보안모듈로의복수의액세스를관리하기위한디바이스 제말토에스에이 2 보안통신방법, 이를수행하는시스템온칩및이를포함하는모바일시스템 삼성전자 3 시스템온칩및그것의보안디버깅방법삼성전자 관련특허현황 4 모바일단말을이용한 2 채널사용자인증방법 ( 주 ) 케이스마텍 5 보안기능을가지는 SoC 및 SoC 의보안방법 ( 주 ) 이더블유비엠 6 스토리지디바이스보조인라인암호화및암호해독퀄컴 7 보안펌웨어업데이트기법마이크로소프트 8 시스템온칩상에보안엘리먼트컴포넌트들의일부를통합하기위한방법들및장치들 퀄컴 적용가능분야 사물인터넷 (IoT) 관련기업 국내 : 삼성전자, 에스케이하이닉스, 엘지전자 해외 : 퀄컴, 엔비디아, 인텔, 애플, TSMC 91

100

101 전력반도체소자 기술개발테마현황분석

102

103 전력반도체소자 정의및범위 전력반도체는전력변환, 전력변압, 전력안정, 전력분배및전력제어등을수행하는데사용되는반도체및부품인가운데, 차세대전력반도체는기존 Si기반의반도체소자외에 WBG( 화합물반도체 ) 물질 (SiC, GaN, 인공다이아몬드 ) 기반의소자로제작하는것으로열특성향상, 속도강화, 고전압 / 고전류가능및스위칭손실최소화등이가능한전력반도체 전력반도체기술은생산하기위한반도체소자, 재료및부품, 공정, 장비기술을포함정부지원정책 정부는 4차산업혁명에선제적으로대응하고주력사업을고도화할수있도록제4차소재, 부품발전계획을추진하는가운데이와관련하여 25년까지미래첨단新소재, 부품 100대유망기술을개발 전력반도체는다양한분야에연관응용되고있으며, 모바일기기의증가와전기자동차개발과맞물려적용범위가확대되고있는추세 중소기업시장대응전략 강점 (Strength) ( 환경 ) 유연한산업구조 ( 기술 ) 우수한 IT 인프라 ( 정책 ) 산업지원정책수립기회 (Opportunity) ( 환경 ) 제조패러다임의변화 ( 기술 ) 기반기술연구 개발 ( 정책 ) 정부와업계의높은니즈 약점 (Weakness) ( 환경 ) 영세한산업구조 ( 기술 ) 핵심원천기술미흡 ( 정책 ) 산업계연계미흡위협 (Threat) ( 환경 ) 생산지원인프라부족 ( 기술 ) 글로벌업체와의기술격차 ( 정책 ) 미시적 R&D 정책 중소기업의시장대응전략 소형화 저가격화, 소재다변화등의기술을접목하여제품개발로시장대응 산업기기, 태양전지, 전기차철도등파워일렉트로닉스분야시장에대응

104 핵심요소기술로드맵

105 전력반도체소자 1. 개요 가. 정의및필요성 전력반도체소자는전력변환, 전력변압, 전력안정, 전력분배및전력제어, 관리등을수행하는반도체 전력반도체의기술에는크게회로설계기술, 소자기술 ( 전력신소재기술, 소자설계및구현기술 ), 및모듈패키징기술등이있으며각기술은시스템과구조설계혹은공정방법에따라다양한기술로구분 전력을사용하는모든기기에서전원또는배터리로부터공급되는전력을자동차, 조명, 노트북, 스마트폰등다양한시스템이필요로하는전압과전류수준으로변환하고시스템전체의전력을관리하는역할을수행 전력반도체는에너지를제어하기위하여전력공급장치나전력변환장치에탑재되며, 전력용파워스위칭소자와제어 IC로구성되며, 전력을시스템에맞게배분하는제어 / 변환기능이핵심 전력을조절 / 전달하는단순한기능에서에너지효율제고및시스템안정성으로영역이확장 전력반도체는일반반도체에비해고내압화, 고신뢰성화고주파수화등이요구되어, 모바일기기, 컴퓨팅, 통신, 가전, 노트북, 자동차등의응용분야를포함하여, 최근고속스위칭, 전력손실최소화등이필수적인, 신재생 / 대체에너지, 전기자동차, HVDC, ESS 분산전원등에탑재되어에너지제어및절감에기여 [ 전력반도체기술 ] 회로설계기술소자기술모듈패키징기술 회로설계기술은주로전력 IC( 집적회로 ) 를설계하여개별소자를컨트롤하는역할을포함하여, 인덕터, 커패시터, 트랜스포머사양이매우중요한설계요소 다이오드, 트랜지스터, 저항, 콘덴서등과같은많은회로소자가하나의실리콘결정의기판에집적 각종 Driver IC로구성되며, 다수의개별소자와전자부품들을칩내에집적하여효율적인컨트롤을위한설계를연구하며, 전력스위칭소자의동작주파수와전력제어 / 관리방식이필수적 시스템에맞게전력을배분하는제어기능과전력변환기능, 배터리보호회로 (PTC) 기능, 전원소스 ( 배터리, 전원등 ) 모니터및관리기능, 다양한출력전원공급기능등이단일칩으로통합되게하는전력관리반도체회로기술 Power Management IC (PMIC) 로연계 PMIC는애플리케이션에공급되는전압을단일칩화하여, 부피를효율적으로경감하여, 비용절감의효과를얻을수있으며, 모바일기기등의배터리에핵심부품으로자리매김하고있으며, 발열 97

106 기술개발테마현황분석 문제와노이즈, 간섭등의문제로전력손실을최소로할수있는회로기술개발이중요 소자기술이란개별소자, 즉디바이스또는 Discrete이라불리는반도체소자를전력변환및전력제어등에효율적으로사용하기위해제작하는기술 정류작용을하는정류소자와 On-Off 동작을수행할수있는스위치소자로분류되며, 전력트랜지스터의분류로는전력 MOSFET(Metal Oxide Silicon Field Effect Transistor), 바이폴라트랜지스터, IGBT(Insulated Gate Bipolar Transistor) 등이있음 전력다이오드는정방향으로전류가흐르고역방향으로는전류의흐름이억제되는구조이며, 다른종류의전력반도체소자와달리고전류를이송가능 전력반도체소자 (Power Device) 는전력장치용반도체소자로, 다양한응용분야에서수요가증가하고있는추세이며, 전통적으로전력 MOSFET 소자는전력이소용량이고스위칭속도가빠른응용분야에사용되며, IGBT 소자는중용량, 스위칭속도가중간인응용분야에사용되나, 전력신소재인고에너지갭화합물을사용하여이에대한개선이이루어지고있는중 고에너지갭전력신소재인실리콘카바이드 (SiC) 반도체와질화갈륨 (GaN) 반도체등은실리콘에비해물질특성이우수해약 8배높은전압을견딜수있고전류는 100배까지흘릴수있음. 특히, Si과같은 IV-IV족화합물인 SiC의경우열전도성이매우뛰어나며, 성숙된웨이퍼기술로인하여기존의 DMOS(double-Diffused Metal Oxide Semiconductor) 구조의장점을적용하여, LDMOS, VDMOS, TDMOS에상응하는소자와 NPT IGBT도구현이가능하여, 큰주목을받으며활용되는추세 모듈패키징기술이란웨이퍼수준에서제작된개별소자들을세라믹이나플라스틱의단일 Package내에구현하거나적층하여성능을향상시키는기술 전력반도체모듈의신뢰성을높이고안정적인동작을위하여보호회로와컨트롤용파워 IC 등을추가로집적하여하나의 Package로제작 모듈 / 패키징기술의개발은, 고신뢰성전력반도체기술이필요한친환경절전형 HEV용고속 / 고효율배터리팩과배터리관리전력반도체회로기술, 모터구동에필요한고전압 / 대전류파워스위칭소자및파워스위칭구동회로등이내장된파워모듈의구현에필수적으로요구 미국, 일본, 유럽의업체는이미차세대용 HEV, PHEV, EV, 태양광용의고압, 대전류용의산업전력스위치및파워모듈을대량생산하고있으며, 최근모듈의집적도를높이면서동시에높은신뢰성을확보하고, 모듈이적용되는제품들은더축소되어사용하기편리하도록기술개발이이루어지고있는중 < 그림 > 자동차유형 98

107 전력반도체소자 나. 범위및분류 (1) 제품분류관점 [ 전력반도체기술범위 ] 기술개발테마 분류 세부기술 회로설계 저전압아날로그회로설계 저전압동작전력스케일링 (Power Scaling) 기술 스케일링가능한파라미터요소고려 모듈 / 소자 / 전력 IC 솔루션 저전압동작전력스케일링 (power scaling) SNR 확보설계기술 아날로그 - 디지털변환 ADC 설계기술 주파수및이득특성개선설계기술 고전압 / 고전류반도체설계 소자 - 회로연계설계기술 차세대전력소자및시스템산업 Eco-system 구축 소비전력조절기술 전력변환회로설계 인버터 / 컨버터회로설계 열방출및전력변환최적화위한시뮬레이션 전력반도체 소자제작 화합물기반전력반도체소자기술 WBG 전력신소재기반의소자기술확보 Ron 온저항최적화설계및제작기술 미세패턴증착기술 Doping 농도조절기술 접합계면식각기술 열특성, 스위칭특성최적화기술 고전압 / 대전류적용가능한기술확보 반도체소자에피성장기술 SiC, GaN 등 WBG 물질성장조건확립 이종 / 동종성장기술 결함제어기술 모듈제조공정기술 고온환경에서안정적인동작을위한고온용모듈재료및공정기술개발 Contact 저항최소화를위한 metal 구조및열처리조건확보를통한 Ohmic 특성향상기술 저항손실감소를위한 Multi-metal 구조안정화기술 모듈 / 패키징 소자모듈기술 PKG/ 모듈기술확보 고내압 / 대전류및고신뢰성설계기술확립 전기적저항최소화및기존 Al wire 피로수명개선 방열효과극대화를위한재료및공정개발 소자회로기술 모듈 / 패키징기술신뢰성및안정적동작확보를위한구동및보호용 IC 내장기술 고속 / 저손실소자를적용한회로설계기술 스위칭속도향상및전력손실최소화기술 모듈내회로최적화및열방출최적화기술 99

108 기술개발테마현황분석 (2) 공급망관점 기술개발테마 분류 반도체소자기판 [ 공급망단계별주요제품분류 ] 세부제품및분야 4 인치, 6 인치, 8 인치 전력반도체 반도체소자에피기판 전력반도체소자특성 에피품질, 에피두께 전력변환, 전력변압, 전력안정, 전력분배, 전력제어 전력반도체소자응용제품 IT, 가전, 자동차, 산업용 100

109 전력반도체소자 2. 외부환경분석 가. 산업환경분석 (1) 산업의특징 전력반도체산업은다품종을소량으로생산하는특성과함께, 연계된설계-소자-모듈기술의확보와함께, 높은신뢰성과내구성이요구되므로진입장벽이높은편 사용전압, 효율성, 신뢰성향상등을목적으로설계 제조 모듈 적용이일체형으로개발되며기업들의니즈에맞게다양한시스템에적용되는특성이있고, 미국, 유럽, 일본등지의대다수선진기업들도대부분수직계열화된구조보유 단일제품으로큰시장을점유하기어려워폭넓은다양한포트폴리오가요구되며, 설계 모듈기술을바탕으로수요자니즈별제품스펙을조절하여출시하는것이중요 전력반도체가손상될경우제품작동에필요한전력공급자체가중단되므로높은신뢰성과내구성이요구되므로, 새로이시장에진입하기가쉽지않음 한편전력반도체는아날로그반도체특성과함께다품종소량생산이요구되는분야임에따라중소. 중견기업의성장이충분히경쟁력을가질수있음 전력반도체산업은에너지절약, 그린에너지, 전력효율화의추세에따라세계적으로급성장중 한편높은기술진입장벽을뛰어넘을수있는투자와노력이국내에서는본격적으로이루어지지못하고있으나, 전력반도체특성상다품종소량생산이필요한분야로써중소 / 중견기업의성장이충분히가능한산업 글로벌전력반도체공급업체의경우, 중소 / 중견기업의팹리스형태에서출발하여대기업 IDM수준으로성장한사례있음 국내중소. 중견기업은전력반도체에핵심인기술력및전력부품가격경쟁력이취약함으로상대적경쟁력이열세 유럽, 미국, 일본등의국가에서는경쟁력있는전력반도체기반기술 (IC, 소자, 모듈패키징 ) 을보유하고있으나국내기업의기술수준은선진국대비약 70% 이하에불과한상황 지능형모듈에사용되는전력 IC는외부기업체에는제공되지않고자체모듈인보호회로등의경쟁력확보에이용되는경우가많으며, 선진공급업체는구동용전력IC 솔루션을자체보유하고있어 SiP, 소자단품, 모듈패키징등에최적화된기술을확보하며제공중 한편, World Premier Material 사업 ( 산업부 ), 신형연구용원자로사업 ( 미래부 ), 국가나노팹사업 ( 부처간융합사업 ) 등의소재및기반구축사업과연계하여전략적인추진을통해시너지창출가능 전력반도체산업의성장이지속적으로전망되는현시점에서메모리반도체에이어 반도체강국으로재도약및신산업창출이필요한적기 101

110 기술개발테마현황분석 전력반도체는대부분의전기전자기기의핵심부품으로써관련산업에서상당한파급효과를지니고있음 세계적으로성장가능성이유망한시스템반도체분야도메모리반도체분야에서의압도적인성장을이룩한산업구조를구축하여현재글로벌기업의기술력확보및선진기업으로의성장이절실히요구됨 (2) 산업의구조 반도체설계의경우중소반도체설계기업과국내반도체제조기업과의협업체제구축을위한연구가활발히진행중 장비의안정성과신뢰성에따라처리제품의품질을결정하는데영향력이높은문제점이있으므로, 다양한분야의융합에의한장비국산화가중요 전력반도체분야의주요시장은전기 하이브리드자동차, 신재생에너지, IT 융합산업, 항공우주등을포함 시장잠재력이크고, 미래성장가능성이높은전력반도체시장에서글로벌경쟁력을확보하기위한기업육성필요 고부가가치의전세계파워반도체시장은 19년에 390억달러수준이될것으로전망 우리전력반도체기술은선진국대비 70% 수준이며, 수입의존도가 95% 에육박하는대표적무역적자품목 국내에중전기기, 자동차, 가전, 핸드폰등다수의세계적수요대기업이존재하고있어전력반도체로진출기업육성여건은충분 [ 국가별반도체시장점유율비교 ] 전력반도체는다양한분야에서응용가능성이높기때문에우리나라의지속적인성장동력창출가능 미래국가경쟁력확보를위해선점하여야할핵심요소기술이며, 대표적수요산업인정보통신기기, 백색가전, 자동차산업은세계적경쟁우위산업으로지속적인성장을하고있기때문에이를 102

111 전력반도체소자 기반으로한전력반도체산업이중요 국내아날로그반도체수요 3.4billion 중 95%($3.29B) 를수입에의존하는상황에서전력반도체 국산화를통한국가주력산업의부가가치제고및경쟁력확보 [ 전력반도체의산업구조 ] 후방산업전력반도체전방산업 반도체소자소재산업, 반도체소자공정장비산업, 반도체소자기판산업, 반도체소자에피기판산업 SiC 전력반도체소자, GaN 전력반도체소자 (SBD, MOSFET) 전기 / 하이브리드자동차, 신재생에너지, IT 융합산업, 항공우주 103

112 기술개발테마현황분석 나. 시장환경분석 (1) 세계시장 전력파워반도체시장은메모리 (DRAM, NandFlash), CPU 시장과비슷한규모로, 광소자 시장의 2 배인시장으로전력반도체중에서 MOSFET 은약 45% 를차지하며, IGBT 는약 10% 를차지 고전압 고전류를요하는전기 / 하이브리드자동차, 신재생에너지등의전방산업의수요로인해 전력반도체시장은연평균 8% 의증가율을보이며, 16 년 172 억달러규모에서 20 년 241 억 달러규모로급성장할것으로전망 [ 전력반도체세계시장규모및전망 ] ( 단위 : 백만달러, %) 구분 CAGR 세계시장 17,721 19,141 20,675 22,327 24,131 24, * 자료 : Yano Research Institute Ltd. 2014, 반도체중소기업기술로드맵 (2015) 자료를바탕으로전망치추정 MOSFET, IGBT 와같은개별전력반도체소자시장규모는 16 년 114 억달러에서 19 년 135 억달러수준으로성장예상 실리콘기반의 MOSFET과 IGBT 등의시장은, 향후 SiC나 GaN 등의전력신소재기반시장이확대될것으로전망 휴대폰, 노트북, 에어컨, 냉장고등다양한가전제품외에하이브리드카, 전기차등에도전력신소재 SiC가적용됨에따라관련파워반도체수요가급증할것으로예상 IoT(Internet of Things) 시장의등장으로, 20년약 440억달러규모의신규반도체시장이형성될것으로예상되며, 이중에서 Smart 전력관리 SoC 시장 은약 120억달러규모로예상 Smart 전력관리 SoC는소자뿐만아니라, 회로분야산업으로활용범위를확대할수있는전력반도체를집적한시스템반도체의한부분임 104

113 전력반도체소자 (2) 국내시장 국내전력반도체시장규모는 2016년기준 2조 1280억원규모로추산되는가운데고효율인버터, PMIC 등관련전력반도체수요가증가하여연평균성장률은 2.8% 로 2021년에는 2조 4100억규모의시장으로성장할것으로예상 국내전력반도체시장을이끌고있는산업으로는전기 하이브리드자동차분야를중심으로고전력산업시장에영향력이높으며최근에는전자부품의적용이늘어가고있는추세로향후에는전자, 통신등의분야의영향이증가할것으로예상 실리콘마이터스같은전력반도체 IC 팹리스업체가 1,000억원이넘는매출을보이고있으며, KEC, AUK 같은중견기업도 MOSFET, small signal Tr 등에서 1,000억원이상의매출을올리고있으나, 품목이제한적이고핵심요소기술용고부가가치제품 (IGBT, Thyristor 등 ) 및차세대전력신소재기반반도체실적은미비 [ 전력반도체소자의국내시장규모및전망 ] ( 단위 : 억원, %) 구분 CAGR 국내시장 21,280 21,870 22,480 23,030 23,670 24, * 자료 : 아이서플라이, 반도체중소기업기술로드맵 (2015) 자료등을바탕으로전망치추정 105

114 기술개발테마현황분석 (3) 무역현황 전력반도체의세계시장은성장단계에있으나국내산업은도입단계인바, 국내기업의반도체 시장점유율은 3% 이하이며기술수준은선진국대비 50~70% 수준 전력반도체로무역현황을분석하는데한계가있어수출품목중반도체다이오드품목의무역현황을살펴보았으며, 수출량에비해수입량의감소폭이다소큰추세 전력반도체의수출현황은 12년 2억 4,200만달러에서 16년 2억달러수준으로지속적으로감소하였으며, 수입현황은 12년 5억 2,662만달러에서 16년 3억 8,200만달러수준으로감소하여무역수지적자폭이축소되었으나여전히수입량이많아무역수지적자기조지속 최근 5년 ( 12-16년) 간연평균성장률을살펴보면수출금액은 1.8% 씩감소하였으며, 수입금액은-3.7% 씩감소한것으로나타남 [ 전력반도체소자관련무역현황 ] ( 단위 : 천달러, %) 구분 CAGR 수출금액 242, , , , , 수입금액 526, , , , , 무역수지 -284, , , , ,011 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 * 주 : ( 다이오드 ) 로분류 106

115 전력반도체소자 다. 기술환경분석 전력반도체는전력을시스템에맞게배분하는제어와변환기능을가진소자이며, 에너지를절약하고제품을축소하기위하여전력공급장치나전력변환장치에사용 전력반도체는전기에너지를활용하기위해직류교류간의전력변환 (AC DC), 전력변압 ( 강압, 승압 ), 전력안정 (Power Stabilization), 전력분배 (Power Management) 및제어 (Power Control) 등을수행하는데사용되는반도체 전력반도체는전력을생산하는발전단계부터사용하는단계까지여러단계에서다양한역할을수행 자료 : 전자신문 [ 전력반도체의역할 ] 전력반도체는다양한분야에연관응용중 컴퓨팅 통신 가전 산전 자동차등의전자장치에적용되며최근에는스마트폰을비롯한모바일기기의증가와전기자동차의개발과맞물려적용범위가확대 구체적으로살펴보면고속스위칭, 전력손실최소화, 소형칩사이즈, 발열처리등과관련한 R&D가활발하게이루어져 LDI 휴대형기기 가전기기 신재생에너지 자동차등에사용되는각종부품의절전화및친환경화에중요한역할을수행 107

116 기술개발테마현황분석 * 출처 : 전자신문 [ 전력반도체의사용분야와종류 ] 전력반도체는개별소자, 직접회로모듈로구분 응용분야와내압특성에따라개별소자 (Device), 집적회로 (IC) 및다중소자를 package로집적한모듈 (Module) 로나뉘며산업응용분야에따라전력레벨이다른반도체소자가사용 개별소자는 Device 혹은 Discrete이라불리며전력변환및전력제어등에사용되는반도체소자이며이들개별소자는 Package에집적화된모듈로제품화 전력반도체소자는전력변환이나전력제어를담당하는반도체디바이스로서, 다이오드, 파워트랜지스터, 사이리스터 (thyristor) 등으로구분되며크게는키고끄는동작 (On-Off) 을할수있는스위치소자와정류작용을하는정류소자로분류 사이리스터와트랜지스터가스위치소자에속하고, 다이오드는정류소자에속한다. 파워트랜지스터의하위분류로바이폴라트랜지스터, 파워 MOSFET(Metal Oxide Silicon Field Effect Transistor), IGBT(Insulated Gate Bipolar Transistor) 등이포함 직접회로는주로 Power IC로불리며각종 Driver IC로구성 수십억개의전자부품과개별소자들을한개의칩속에집적한소자로개별소자를제어하는역할을수행하며별도의패키지를통해제품화되거나 IGBT 등개별소자와함께모듈로도사용 108

117 전력반도체소자 차량용반도체는차량내 외부의온도, 압력및속도등의각종정보를측정하는센서와엔진, 트랜스미션및전자장치등을조정하기위해사용되는반도체로안전과직결되기때문에 높은신뢰성과내구성이요구되며, 진입장벽이높은고부가가치시장 모바일, 가전용반도체시장은점차포화되고있는반면차량용반도체시장은고성장중, 차량용반도체시장은 14년기준전년대비 10.3% 성장한 299억달러규모이며, 차량의스마트화및자율주행등확실한시장견인요인에의해연평균 6% 이상의고성장이전망 차량용반도체시장성장은자동차판매대수증가보다는차량내전장부품탑재비중증가에기인하고있으며, 하이브리드및전기자동차시장확대등에따라지속성장전망 지역별로는미국과아시아의성장세가비교적큼. 국내의경우반도체업계매출의 96% 가컴퓨팅하드웨어, 유무선통신, 가전등 3대적용분야에집중되어있으며, 차량용반도체는 2% 에불과하여세계차량용반도체시장에서국내업체의점유율은 3% 에불과 현대기아차는자동차전장부품개발및반도체설계분야강화를위해현대모비스, 현대케피코와함께현대오트론을설립하고, 전자제어소프트웨어플랫폼과차량용반도체설계를핵심사업영역으로지정하면서독자개발을추진 현대모비스는지능형배터리센서, 발전제어시스템을제어하는반도체, 주차지원및차선, 영상인식을하는반도체, 차선이탈경보, 레이더, 전자제어장치 (ECU), 경보장치를지원하는반도체를개발 삼성전자는 2015년전장사업팀을신설하고단기간내역량확보를목표로초기에는인포테인먼트와자율주행의구현에집중하고, 향후삼성디스플레이, 삼성전기, 삼성SDI 등계열사간협업으로자동차관련부품사업을추진 SK하이닉스는네트워크반도체관련공정개발등차량용반도체외주생산과파운드리사업을시작하여차량용하드웨어 IP를개발하는데주력하고있으며, 일부생산라인공정을시스템반도체로전환 만도는 Freescale과협력하여자동차용반도체기술을개발하고있으며, 환경정보를이용하는지능형차량전자제어장치 (ECU) 를개발 동부하이텍은자동차용반도체파운드리전문기업으로변화하기위한노력의일환으로, 미국자동차부품협회 (AEC) 품질기준통과와함께차량용반도체생산기반을마련하였으며, 15개국이상의차량용반도체업체의디자인을양산 실리콘윅스는 4개의모터를하나의반도체로구동하는멀티채널모터구동칩을세계최초로개발하여양산하고있으며, 독일의파운드리기업인 X-Fab과제휴하여자동차의위치변화를감지하여엑셀레이터, 브레이크등에적용되는변위센서의양산을시작 네패스는차세대반도체공정기술을상용화하여자동차용첨단센서 (Advanced Smart Cruise Control) 를양산 에이디칩스는팹리스전문업체로모뎀, 차선이탈경고시스템, 지능형교통시스템용반도체개발에성공 109

118 기술개발테마현황분석 전력반도체회로설계분야 나노스케일로의 CMOS 집적화기술이심화되면서, 반도체소자및제작기술에따른온도와공정의변화에매우민감한아날로그회로설계중요성대두 이득, 누설전류, 잡음등의파라메터의최적화가중요하며, 배터리구동의장시간동작과경량화를실현하기위해 IC 의저전압저전력화의요구가높아지고있음. 배터리로동작하는기기뿐만이아니라, IC 전반에걸쳐서고속화와저전압화가중요 저전압, 저전력동작전자기기의대표적인휴대 AV 기기, 전자수첩, 전화기, 휴대전화, 각종모바일무선장치, 배터리백업장치등의동작전원크기변화로인하여, 보다저전압, 저전력화가기술개발의핵심 아날로그 - 디지털간의신호변환기 (ADC) 기술확보를위한연구개발활발 자연계에존재하는아날로그신호를잡음에둔감하고신호처리가용이한디지털신호로변환 요구되는동작속도및해상도에따라플래시 (flash), 연속근사 (SAR) 구조등이있음 구동 IC 회로는해당전력소자에맞게설계가되어야하며게이트구동 IC 의최적화에따라전력소자의좋은특성획득가능 차세대전력소자용게이트구동 IC 개발에맞춘새로운게이트구동 IC 가요구 900V/1200V/1700V 등고전압구현이필요한소자들의구동은종래기술인 Level Shifting 방식으로는구현하기어려우므로, 해외선두업체들인인피니언, 페어차일드 ( 온세미 ) STMicro, 아날로그디바이스, 아바고등해외업체의솔루션과같은방식을활용하여 Galvanic Isolation 방식의고내압 Isolation 기반신규게이트구동 IC 개발이진행 게이트구동 IC 가최적화될경우가장좋은특성을얻을수있으므로구동 IC 최적화기술은파워소자의상용화진입장벽을낮출수있는핵심요소기술 대다수선도파워반도체공급업체는자체게이트구동 IC 솔루션을보유하고있어단품, SiP, 모듈등에서최적화된회로를구현하여제공중 전력반도체선진국들은소자제작관련연구개발및산업화기반시설에적극적으로투자하고있음 해외선진국은차세대전력신소재기반전력반도체소자기술에집중하는개발방향을설정하고전력을다하고투자를증가시키고있음. 미국의경우, NY-PEMC 은 6 인치 SiC 팹, 장비구축과함께 Baseline Process 를제공하여, 대기업, 중소기업의차세대전력반도체소자및시스템산업 Eco-system 을구축하고자하고있음. 일본은 20 년 SiC 소자상용화를목표로 TPEC 를주축으로한기반시설을운용하며, TPEC 설립 ( 12.1.) 하고차세대 WBG 고에너지갭전력반도체분야에 22.7 억엔 /5 년투자 유럽의경우 LAST-POWER 와 Striking Technology for Power 프로그램에서 10 년부터차세대 WBG 전력반도체분야에약 7M /5 년투자 110

119 전력반도체소자 전력변환및분배시스템의핵심부품인 IGBT와 MOSFET은전자기기부품대다수의영역에걸쳐적용되고있음 용접기, 무정전전원장치에서부터가정용소형기기, 중형인버터를포함한전기자동차, 고속철및송배전등에적용가능한대용량인버터등에적용 전력 MOSFET의경우는고속응용회로와전력변환의핵심소자로사용 시스템전체의효율을높이기위해 MOSFET 구조에서중요한인자인 on-저항을줄이는기술개발이활발하게진행중 200V급 MOSFET의특성개선을위해서는저항부분이가장큰 Channel 영역과에피영역의저항에대한연구가필요 600V급이상의 MOSFET의 on-저항에큰영향을끼치는에피영역의저항을최소화하는방향에대한연구가필요 저항을줄이기위한트랜치게이트구조및차세대전력신소재기반소자기술개발이 진행 차세대물질기반웨이퍼는기존실리콘웨이퍼에비해고전압, 큰전류에강하고열전도특성도 뛰어나전력량을줄일수있으므로 '20 년이후송전망, 자동차, 지하철, 가전등에서사용될전망 대구경화를통한가격경쟁력을확보하여차세대물질기반웨이퍼가시장의주류로자리잡아야함 SiC 전력반도체는 Si기반의소자대비전력변환손실이적고, 재료물성이우수해산업기기, 태양전지, 전기차, 철도등파워일렉트로닉스분야에서 SiC 디바이스 / 모듈의실용화가요구 SiC 기반소자산업의경우, Si 소자에비해물성이우수한반면 2세대또는 3세대이전의 Si 공정장비를사용할수있어투자대비효과가우수 차세대 SiC 웨이퍼는기존실리콘웨이퍼에비해대전류, 고전압에강하고발열특성도뛰어나전력량을줄일수있으므로 '20년이후가전, 자동차, 지하철, 송전망등에널리사용이확장될것으로예상 SiC는 FET, LED, 압력센서, HBT, SBD 등의응용이연구되고있으며, 다이오드를중심으로상용화가시작되어 MOSFET 제품이시장에나오고있음 가격대비성능관점에서 6인치 SiC 기판을이용한공정개발이진행되고있으며저항을줄이기위해트랜치게이트구조의설계기술과소재 / 공정 / 소자특성연계최적화기술등의연구개발이진행중 111

120 기술개발테마현황분석 GaN 반도체는고속스위칭소자로써 200V급이하의 IT/Consumer 시스템에주로적용되거나, 650V급신재생에너지 ( 태양광 ;PV, 전력저장장치 ;ESS, 연료전지 ;FC 등 ) 산업등에적용가능 해외에서는 EPC, GaN Systems, Transphorm, Panasonic, TSMC 등선두기관에서는모두 6인치 CMOS 호환공정을기반으로고속, 저손실 GaN 파워반도체소자를 GaN-on-Si 기판상에구현 이러한상용화기술은 GaN-on-Si 웨이퍼기반수평구조 (lateral) 소자로서수직구조의 GaN 전력반도체개발은연구초기단계임 최근신재생에너지, Low Battery Driven Vehicle, 에너지저장분야 (ESS) 및전기차, 하이브리드차 ;EV/HEV 자동차부분에고효율및고신뢰성의모듈적용이늘어나고있는중 모듈 / 패키징을구현하기위한소재와공정의개선, Solder layer의삭제, 전기저항및열적저항을줄이는기술등을중심으로개발됨. 모듈제품가운데산업용모터구동영역이 50% 에근접하므로모듈제품개발시에주목표응용처로서우선적으로고려필요 국내진출해외모듈업체인 Infineon, Mitsubishi, Semikron, Vincotech, Fuji 등의 Agent 및자동화부문을가지고있는대기업과 UPS 관연 LS산전, 효성, 현대중공업이있다. Welder를제작하는중소기업등의연간사용모듈이주시장을형성 화합물반도체모듈및 Multi Level 모듈 SiC 및 GaN을적용한모듈들이개발되고있고, 기존의 2-Level 방식이아닌 3-Level용모듈들이개발되어지고있으며, Reverse Blocking Module도고객의요청에의해개발이되고있다. 우수한고온, 고내전압특성의 Wide Band Gap(WBG) 소자인 SiC, GaN chip의특성을극대화하고, 기존 Pb Free solder가갖고있는신뢰성부분을개선하고자 Soldering 관련다양한연구가이뤄지고있음 Chip의전기적연결기술은전기적저항을최소화하고, 기존 Al Wire의피로수명개선및이러한전기적연결을통한방열효과극대화할수있는다양한재료및공정개발 Mitsubishi의경우, Gate 및 protection을위한선연결은기존 Al Wire를사용하였지만, Emitter Side의전기적연결은 Solder를이용한 Cu Lead Frame을사용하는등의개선을시도 Terminal Interconnection의경우 Mechanical/Thermal stress에대한취약점개선이관건이며, 모듈 process에서의 Soldering 공정의최소화를위한기존 Soldering 대신 Ultra sonic welding 방식을적용하는개발이이루어짐 Chip-DBC 및 Base Plate 간연결의경우, 이 Ag Sintering 기술과일종의 Diffusion soldering의일종인 TLPS(Transient Liquid Phase Bonding) 기술이개발, 상용화 DBC 절연물질개선및 Embedded 구조 열팽창계수를 Matching시키면서, 열적 / 전기적저항을줄일수있는다양한소재들이개발되고있으며, 기존모듈과같이단일부품으로서의모듈이아닌 Application-Fit 혹은 System-Fit의중간단계의 Embedded 구조를갖는구조에대한연구개발이진행 112

121 전력반도체소자 3. 기업분석 가. 주요기업비교 전력반도체시장에서경쟁하고있는기업들은인피니온 (Infineon), 미쓰비시전기, 도시바, ST마이크로 (ST Microelectronics) 등유수의비메모리반도체기업 전력반도체시장을 60% 이상점유하고있는랭킹 20위권내기업들은과거수십년간비슷한점유율을유지하고있으며, 신규로진입하는기업이없는상당히고착화된시장구조를형성 전력반도체유형은디스크리트 (Discretes) 와파워모듈 (Power Modules) 로분류 특징적인것은시장점유율순위가유형별로도차이가있다. 디스크리트는도시바, 비쉐이 (Vishay) 등의기업이높은점유율을유지하고있는반면, 파워모듈은미쓰비시, 세미크론 (Semikron) 등이높은점유율을유지 인피니온은디스크리트와파워모듈에서경쟁우위를점하고있어서업계의절대강자 * 출처 : IMS Research [ 전력반도체기업별 / 제품형태별시장점유율 ] 주요업체별동향으로는인피니온의경우전력반도체업계의 1위로선도적인제품포트폴리오를구축을통해시장을선도 인피니언은업계최초로 300mm웨이퍼를이용한전력반도체 (CoolMOS) 를생산 SiC와 GaN 등의신소재연구를진행 MOSFET은자동차용중심으로, IGBT는산업용과신재생에너지중심으로사업을적극적으로추진 도시바는가전용 MOSFET 시장의가격압박과 IT 제품수요의침체, 환율등의영향으로 사업에난항을격는중 113

122 기술개발테마현황분석 ST마이크로는매출액의 60% 가가전 /IT기기용에편중되어있어서아시아시장에적극적으로진출중 신형스마트폰과전자기기에사용되는소형전력반도체및 MDmesh ⅡPlusTM Low Qg MOSFET을출시 또한, GM의하이브리드카볼트 (Volt) 에 DC/DC 컨버터용으로 MOSFET을공급하면서신규자동차시장에서의기술력을인정 TI 는고주파수 고효율전력관리반도체기업씨클론을인수했고내셔널세미컨덕터와합병해 LED 조명, 의료전자, 전기차, 무선충전등에진출 내셔널세미컨덕터는 100V 의고전압소자및 95% 이상효율의스위칭레귤레이터를 제공하고, 아우디 AG 에모듈식인포테인먼트장치기술용 IC 와서브시스템을제공 미쓰비시전기는다른일본기업보다균형있는제품포트폴리오를통해다양한방면으로사업 진출추진 기존 IT 관련시장뿐만아니라자동차용제품의매출비중증가 [ 전력반도체발전방향 ] 114

123 전력반도체소자 국내중소기업사례 제퍼로직은자체개발한세계최고의반도체정전기기술 (ESD) 를이용해고부가가치반도체개발추진 쎄미하우는빠른스위치특성을가지는 SMPS 단과 BALLAST단에최적의기능을발휘하는 MOSFET 설계과함께 60V~900V까지의폭넓은사양의제품을개발 메이플세미컨덕터는 sic power 소자, si rso-trench, si power device 등다양한전력반도체소자연구 & 개발 실리콘마이터스는고성능 / 고효율스마트 PMIC( 전력관리통합칩 ) 솔루션의개발, 제조및유통을전문으로하는팹리스회사로서, 다양한전자제품의성능향상을위한전력관리솔루션제공 아이에이는 17년 9월전기자동차용고전력모듈핵심부품인전력반도체국산화성공하여그동안수입에의존했던외산반도체를대체하고글로벌시장에대응할수있는핵심경쟁력확보 실리콘핸즈는아날로그 ic와전력반도체파워 ic를설계하는전력반도체 fabless 업체 [ 주요중소기업비교 ] ( 단위 : 백만원, %) 국내업체자산총계매출액 매출액증가율 영업이익율 당기순이익율 R&D 집중도 ( 주 ) 쎄미하우 14,493 16, 메이플세미컨덕터 98,870 71, 실리콘마이터스 102, , 아이에이 77,751 55, ( 주 ) 실리콘핸즈 1,

124 기술개발테마현황분석 나. 주요기업기술개발동향 국내는원천기술부족과해외특허등으로인해 2조 7천억원으로추산되는국내전력반도체시장의 90% 이상을수입에의존 Discrete 전력반도체의 90%, 고집적전력반도체의 95% 를미국 (TI, National Semiconductor, Maxim, Supertex), 유럽 (Infinion,STM) 과일본 ( 미쓰비시전기, 르네사스, 후지전기 ) 등의수입에의존 기술수준은선진국대비 50 70% 에불과할정도로진입장벽이존재 고집적 BMIC, 수소연료전지차용 PMU(Power Management Unit), Smart PFC, 오디오프로세서 등은발아기로선진국에비해기술수준이 50% 에불과 대기업군의 IDM에서전력반도체산업진출을공식화하여진행중이나 4~5년이지난현재에도괄목할만한성과는전무한수준 국내전력모듈분야의선도적기업인 LS산전등에서산업용 600V 200A급 6-PACK 수준의기술을확보하고있으며자동차용에서요구하는 Solder-Free 및고 Thermal / Electrical / Mechanical / Environmental 신뢰성의제품에는핵심원천기술이부족한상태로일본등선진기업의기술수준에크게뒤쳐진수준 중소기업의경우, 실리콘웍스, 실리콘마이터스같은팹리스업체가전력반도체분야에서일정부분매출을보이고있으나일부제품에국한돼있으며그나마성장이정체되거나느림 KEC, AUK 같은중견기업도 MOSFET, Transistor 등제한적품목에서매출을올리고있을뿐고부가가치제품인 IGBT, 사이리스터등의판매실적은미미 116

125 전력반도체소자 4. 기술개발현황 MOSFET( 금속산화체전계효과트랜지스터 ) 는수퍼-정션이대세이며, MOSFET과 IGBT( 절연게이트양극성트랜지스터 ) 는 R&D보다는제품개발관점에서진행중 IGBT는백사이드에 thinning, 얇게 50μ까지어떻게효과적으로좋은수율로얻을수있는가를중점적으로연구개발진행중 SiC는가격대비성능관점에서 6인치로, 저항을줄이기위해서트렌치모스로가야하고, GaN은기본특성은우수하지만신뢰성문제가있는데가까운장래에해결될전망 차세대웨이퍼는기존실리콘웨이퍼보다전력손실을크게줄일수있는탄화규소, 질화갈륨웨이퍼개발에주력 실리콘웨이퍼에비해대전류, 고전압에강하고발열특성도뛰어나전력량을줄일수있어, 2020년이후가전, 자동차, 지하철, 송전망등에서사용될전망 차세대웨이퍼가웨이퍼시장의주류로자리잡기위해서는대구경화를통한가격경쟁력확보가필요 117

126 기술개발테마현황분석 나. 특허동향분석 전력반도체소자특허상주요기술 주요기술 전력반도체소자는회로설계기술는설계대상에따라저전압아날로그회로설계기술, 고전압 / 고전류반도체설계기술, 전력변환회로설계기술, 배터리충전회로설계기술, 전압스케일링회로설계기술로구분되며, 소자기술은화합물기반전력반도체소자기술, 반도체소자에피기판성장기술, 실리콘기반전력반도체소자기술, 반도체소자기판성장기술로분류되며, 모듈패키징기술은전력반도체모듈제조공정기술, 전력반도체소자모듈기술, 전력반도체소자회로기술, 이종반도체집적기술로구분됨분류요소기술설명 저전압아날로그회로설계기술 고전압 / 고전류반도체설계기술 전력반도체의저전압기본공정중하나로서, 전류-전압스위칭특성의변동이큰아날로그회로설계기술 WBG( 화합물반도체 ) 물질 (SiC, GaN..) 기반의소자로열특성향상, 속도강화, 고전압 / 대전류가능및스위칭손실최소화등이가능하도록하는회로설계기술 회로설계 전력변환회로설계기술 전력반도체의핵심특성인에너지고효율개선특성에맞게소자동작시, 전력변환손실이적도록만들어주는회로설계기술 소자 모듈패키징 배터리충전회로설계기술 전압스케일링회로설계기술 화합물기반전력반도체소자기술 반도체소자에피기판성장기술 실리콘기반전력반도체소자기술 반도체소자기판성장기술 전력반도체모듈제조공정기술 전력반도체소자모듈기술 전력반도체소자회로기술 이종반도체집적기술 배너리전원을효율적으로관리하여배터리수명을연장하는배터리충정회로설계기술 시스템반도체등에서저전력소모를위해내부기능블록의전압과동작주파수를용도에따라변하는동적전압 주파수스케일링 (dynamic voltage and frequency scaling DVFS) 하는기술 WBG 소자로서 SiC, GaN 이외에 ZnO, CuI 등소자제작공정조건확보및최적화기술 MBE, CVD 등기존에확보된공정조건포함다른에피성장기술 Si 반도체기반전력반도체소자기술 대구경 SiC 성장및기판기술 웨이퍼수준에서의개별소자여러개를한 package 안에넣어서성능향상을목표로하는컨트롤용파워 IC 및보호회로추가삽입기술 전력반도체모듈의신뢰성을높이고안정적동작을위해구동및보호용 IC 내장기술 저전압, 고전압 / 대전류, 전력변환등전력반도체소자내부회로설계기술 3D 반도체 / 웨이퍼수준패키징 /SiP 등의기술을이용하여서로다른종류의반도체를하나로집적하는기술 118

127 전력반도체소자 세부분야별특허동향 주요기술별국가별특허동향 전력반도체소자의요소기술별주요국가별특허정보데이터입수하였으며, 최근 10 년간의 특허데이터를비교분석함 분류요소기술한국미국일본유럽계 회로설계 소자 모듈패키징 저전압아날로그회로설계기술고전압 / 고전류반도체설계기술전력변환회로설계기술배터리충전회로설계기술전압스케일링회로설계기술화합물기반전력반도체소자기술반도체소자에피기판성장기술실리콘기반전력반도체소자기술반도체소자기판성장기술전력반도체모듈제조공정기술전력반도체소자모듈기술전력반도체소자회로기술이종반도체집적기술 , 합계 539 1, ,358 국가별요소기술별특허동향에서회로설계기술분야는미국이가장많은비중을차지하고있으며, 일본이상대적으로적은출원량을보유하고있음 소자기술분야는미국이가장많은특허출원비중을보이고있으며, 모든국가들이많은특허출원을보이고있어연구개발이활발하게이루어지고있는것으로나타남 모듈패키징기술분야는한국과미국이많은특허출원비중을나타내고있으며, 유럽이상대적으로적은출원량을보이고있음 119

128 기술개발테마현황분석 주요기술별출원인동향 세부분야 요소기술 기술집중도 주요출원인 국내특허동향 저전압아날로그회로설계기술 회로설계 고전압 / 고전류반도체설계기술 전력변환회로설계기술 배터리충전회로설계기술 Infineon Technologies Mitsubishi Electric Semikron Elektronik 대기업중심 Semikron Elektronik, 디비하이텍, 현대자동차등 전압스케일링회로설계기술 화합물기반전력반도체소자기술 소자 반도체소자에피기판성장기술 실리콘기반전력반도체소자기술 Mitsubishi Electric Infineon Technologies TOSHIBA 대기업중심삼성전기, Mitsubishi Electric, 케이이씨등 반도체소자기판성장기술 전력반도체모듈제조공정기술 모듈패키징 전력반도체소자모듈기술 전력반도체소자회로기술 Mitsubishi Electric Semikron Elektronik 삼성전기 대기업중심 Semikron Elektronik, 삼성전기, Mitsubishi Electric 등 이종반도체집적기술 회로설계기술분야주요출원인동향 회로설계기술분야는 Infineon Technologies 가가장많은특허를보유하고있으며그다음으로는 Mitsubishi Electric, Semikron Elektronik 등이많은특허를보유하고있는등미국회사들이 주류를이루고있는것으로나타남 소자기술분야주요출원인동향 소자기술분야는 Mitsubishi Electric 이가장많은특허를보유하고있으며그다음으로는 Infineon Technologies, TOSHIBA 등이많은특허를보유하고있는등일본회사들이주류를이루고있는 것으로나타남 모듈패키징기술분야주요출원인동향 모듈패키징기술분야는 Mitsubishi Electric 이가장많은특허를보유하고있으며그다음으로는 Semikron Elektronik, 삼성전기등이많은특허를보유하고있는것으로나타남 120

129 전력반도체소자 전력반도체소자분야의주요경쟁기술및공백기술 전력반도체소자분야의주요경쟁기술은소자기술이고, 상대적인공백기술은회로설계기술로나타남 전력반도체소자분야에서화합물기반전력반도체소자기술, 반도체소자에피기판성장기술, 실리콘기반전력반도체소자기술, 반도체소자기판성장기술로구성된소자기술분야가가장경쟁이치열한분야이고, 저전압아날로그회로설계기술, 고전압 / 고전류반도체설계기술, 전력변환회로설계기술, 배터리충전회로설계기술, 전압스케일링회로설계기술로이루어진회로서례기술분야가상대적으로출원이활발하지않은공백기술분야로나타남 세부분야요소기술기술집중도 회로설계 소자 모듈패키징 저전압아날로그회로설계기술고전압 / 고전류반도체설계기술전력변환회로설계기술배터리충전회로설계기술전압스케일링회로설계기술화합물기반전력반도체소자기술반도체소자에피기판성장기술실리콘기반전력반도체소자기술반도체소자기판성장기술전력반도체모듈제조공정기술전력반도체소자모듈기술전력반도체소자회로기술이종반도체집적기술 : 1000 건이상, : 999~400 건, : 399~200 건, : 199~100 건, : 99 건미만 최신국내특허기술동향 분류요소기술최근핵심요소기술동향 저전압아날로그회로설계기술 회로설계 소자 모듈패키징 고전압 / 고전류반도체설계기술전력변환회로설계기술배터리충전회로설계기술전압스케일링회로설계기술화합물기반전력반도체소자기술반도체소자에피기판성장기술실리콘기반전력반도체소자기술반도체소자기판성장기술전력반도체모듈제조공정기술전력반도체소자모듈기술전력반도체소자회로기술이종반도체집적기술 스위칭손실저감전력반도체회로설계기술균일한전력분배를위한전력반도체설계기술스위치전압의정확한모니터링을위한회로설계기술 고항복전압트렌치형전력반도체소자등항복전압증가를위한전력반도체소자기술초접합 (superjunction) 전력반도체소자기술 방열성능향상을위한전력반도체모듈기술소자정렬및단차조절등을통해수율향상을위한일체형전력반도체모듈기술 121

130 기술개발테마현황분석 국내특허동향을살펴보면모든기술분야가대기업중심으로활발하게연구개발이추진되고 있는것으로나타남 경쟁이가장치열한소자기술분야는대기업을중심으로삼성전기, Mitsubishi Electric, 케이이씨 등에서항복전압트렌치형전력반도체소자등항복전압증가를위한전력반도체소자기술, 초접합 (superjunction) 전력반도체소자기술등을연구개발하고있음 모듈패키징기술분야도대기업을중심으로 Semikron Elektronik, 삼성전기, Mitsubishi Electric 등에서방열성능향상을위한전력반도체모듈기술등이주로연구개발되고있음 중소기업특허전략수립방향및시사점 전력반도체소자분야의상대적인공백기술분야는회로설계관련기술로나타남 전력반도체소자는전력을시스템에맞게배분하는제어와변환기능을가진소자이며, 에너지를절약하고제품을축소하기위하여전력공급장치나전력변환장치에사용되고있으며, 최근에는모바일기기의증가와전기자동차의개발과맞물려전력반도체의적용영역이확대되고있음 전력반도체제조는대규모의장치투자가필요한분야로주로대기업중심으로연구개발및투자가이루어지고있는분야임 하지만중소벤처기업도상대적공백기술로나타난회로설계분야에서우수하고차별성이있는전력반도체를설계해서 OEM 방식으로생산한다면시장진입이가능한분야임 향후중소기업은상대적으로경쟁이치열하지않는회로설계관련기술을공공연구기관의기술을이전받거나공동으로연구개발하여제품화하는특허전략을수립하는것이바람직할것으로사료됨 122

131 전력반도체소자 5. 연구개발네트워크 가. 연구개발기관 / 자원 (1) 연구개발기관 전력반도체소자분야주요연구개발기관은단국대, 울산대, 인터백스테크놀로지, 제엠제코, 큐아이티, 르코어테크놀로지, 삼화콘덴서, 테스, 삼성전기 ( 주 ), 리드텍 ( 주 ), 럭스이엔지, 대영오앤이, 윌링스, 금강중공업, 전자부품연구원, 자동차부품연구원, 한국전자통신연구원, 한국전기연구원, 나노융합기술원 ( 포항 ), 한국나노기술원 ( 수원 ) 등임 인터벡스테크놀로지석성대 48V 배터리전원대비자동차모터구동용 MDOC(Multi Device One Chip) 전력반도체스위칭소자개발 미창부, ICT 유망기술개발지원 260백만원 ( ~ ) 양방향제너다이오드가내장된내압 200V/50A RC-IGBT 소자집적화기술 b. 200V/50A RC-IGBT 전력소자제조를위한 Thin Wafer(<30μm ) 기술확보 (4000um*3500um) c. 30μm이하두께를가지는전력소자의 Back Side 전극 (Anode 전극 ) 용금속증착기술확보 한국전기산업기술연구조합이준영 공동연구제엠제코, 큐아이티, 르코어테크놀로지, 단국대, 삼화콘덴서, 60kW급에너지저장시스템을위한지능형에너지전력반도체 IC 개발 미창부전자정보디바이스산업원천기술개발, 정부지원금 3,450백만원 ( ~2017.5) Revision Gate driver IC 평가 1200V급 IPM Case module 시제품제작및최종환경신뢰성실시 IPM 적용 10kW/60kW급 ESS 전력변환모듈개발 ( 주 ) 테스오명석 고내압전력반도체에피구조설계및에피성장장비개발 미창부전자정보디바이스산업원천기술개발, 정부지원금 2,470 백만원 ( ~2017.5) 한국전자통신연구원배성범 차세대반도체소자용에피성장측정분석및전력반도체원천기술개발 미창부전자정보디바이스산업원천기술개발, 정부지원금 1,160 백만원, ( ~2017.5) 800V 급 GaN 전력반도체에피 / 소자기술개발 - 2-DEG mobility 1,800 cm^2/vs- 2-DEG sheet resistance 400 Ω/sq- HEMT current density (normally-off) 500 ma/mm- HEMT leakage current density 1 10^-3 ma/mm- HEMT breakdown voltage 800 V- On-resistance 10 mω cm^2 o SiGe CMOS 에피기술개발 123

132 기술개발테마현황분석 한국전기연구원강인호 주관전력반도체특성및불량분석을위한 TEG 기술개발 미창부, 전기연구원자체, 총연구비 750백만원 ( ~ ) 고효율, 고신뢰성전력변환장치와전력반도체의설계및제작을위한핵심기반기술인전력반도체 ( 스위치소자, 다이오드등 ) 고전압화기술개발 금강중공업안용찬 고속승강기전력반도체냉각용 2.25kW급고성능냉각장치개발 중기청중소기업기술혁신사업, 총연구비정부지원 460백만원 ( ~2016.6) 히트파이프냉각기성능실험및냉각기신뢰성평가기술개발- 히트파이프냉각기성능실험- 히트파이프히트싱크제작- 핀과블록의접합부열접촉저항개선제조기술개발- 히트파이프냉각기 2차시제품제작- 히트파이프냉각기요소열저항실험- 히트파이프냉각기특성과성능실험과평가 한국전자통신연구원문재경 고효율. 내환경 GaN 전력반도체모듈국산화 국가과학기술연구회운영비, 총연구비 1,920백만원 (2년, ~ ) 고효율 / 내환경 GaN SBD/FET 전력소자및모듈개발 >- GaN SBD, FET 전력소자핵심요소기술, 신뢰성확보- GaN 전력모듈설계및제작기술확보- GaN 전력모듈성능 / 신뢰성평가및향상기술확보- GaN FET 기반전력소자- GaN Schottky Diode 기반전력소자- GaN Power Module- GaN Inverter ( 테스트급 ) 삼성전기 ( 주 ), ( 대기업 ) 배한경. 참여기관 : 대영오앤이, 윌링스, 전자부품연구원, 자동차부품연구원, 울산대 그린상용차용대용량전력반도체모듈개발 에너지효율향상기술개발, 총연구비 6,400 백만원 (3 년, ~2015.5) 주관기관 ( 삼성전기 ) o 100V/1000A 전력반도체모듈설계최적화를통한최종제품제작 o 100V/200A MOSFET 설계최적화를최종 SPL 제작 참여기관 1 ( 대영오앤이 ) o 100V/1000A 급 6-Pack 모듈패키지최종개선사출금형제작 o 100V/1000A 급 6-Pack 모듈패키지최종개선프레스금형제작 o 100V/1000A 급 6-Pack 모듈패키지최종시제품제작 참여기관 2 : 윌링스 o 손실분석을통한최적방열설계 o 저가형 DSP 를적용한 Fixed Point 연산기반의제어알고리즘적용 o 대전류 MOSFET 모듈을구동을위한고효율 Gate Driver 회로설계 o 개선된 100V/600A 급 MOSFET 모듈과 100V/1000A 급 MOSFET 모듈을적용한 Application 향탑재형인버터최종시제품제작 참여기관 3 ( 전자부품연구원 ) o 100V, 1,000A 급최종시제품전력반도체모듈특성평가 참여기관 4 ( 자동차부품연구원 ) o 상용차용 100V/1000A 전력반도체모듈및인버터의내환경특성평가기술개발 참여기관 5 ( 울산대학교 ) o 인버터에서의전력모듈의신뢰성향상을위한 junction 온도에대한대응설계기법개발 124

133 전력반도체소자 리드텍 ( 주 ) 이정우 전력반도체용 clip bonder기국산화개발 중기청중소기업기술혁신사업, 총연구비정부지원 400백만원 (2년, ~ ) 저진동대응 main frame 개발 고속구동 Bond head Table & X 개발 고속 Dual Dispenser 기술개발 clip 절단기술및이송장치개발 side view 인식기술개발 고속용 post inspection 기능기술개발 럭스이엔지신정식 SiC-FET 전력반도체를적용한고효율독립형 / 계통연계형에너지저장장치모듈표준화개발 중소기업상용화기술개발, 민관공동투자기술개발정부지원 600백만원 (2년, ~ ) SiC-FET를적용한 5kW급 ESS Power Module 및 Li Battery Module 개발 5kW ESS Power Module화개발과 Li Battery Module의개발을통해 Back-up 시간에따라 Lithium Battery Module 용량을확장가능하도록회로인터페이스및구조표준화를통해생산성을향상 SiC-FET 적용하여기존 IGBT 적용대비 2~3배인 60kHz 스위칭으로 Power Stack 회로를설계하여 Inductor, Capacitor 사이즈를줄여모듈 Compact화 나노융합기술원 ( 포항 ) 원장박찬경 전력반도체, OLED 한국나노기술원 ( 수원 ) 원장이대훈 화합물반도체 클린룸 3,450m2, 장비 200 여대 ( 취득금액 600 억원 ) 125

134 기술개발테마현황분석 (2) 연구개발자원 중소벤처기업부에서는대학및연구기관이보유한첨단연구장비를공동활용할수있도록 지원하는연구장비공동활용지원사업을운영 중소벤처기업부 산하 중소기업기술정보진흥원을 통해 대학 및 연구기관이 보유한 연구장비를 소프트웨어의 중소기업 공동 활용을 지원하여 국가장비 활용도 제고와 중소기업 기술경쟁력 향상을도모 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원 내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 * 출처 : 중소기업기술정보진흥원 [ 연구장비공동활용지원절차 ] 126

135 전력반도체소자 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수있는인프라를제공 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의 애로사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형 현장밀착지원을수행하며효율적인지원체계운영 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 * 출처 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 127

136 기술개발테마현황분석 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양성교육을진행 * 자료 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 * 출처 : 한국화학연구원 [ 한국화학연구원시험분석이용절차 ] 128

137 전력반도체소자 나. 연구개발인력 전력반도체소자분야는전자부품연구원, 한국전기연구원, 자동차부품연구원, 나노융합기술원, 한국나노기술원, 한국전자통신연구원에서주로연구개발을진행하고있음 [ 전력반도체소자분야주요연구개발현황 ] 기관 연구내용 단국대 울산대 지능형에너지전력반도체 IC 개발 상용차용대용량전력반도체, 인버터설계 인터벡스테크놀로지 자동차모터구동용전력반도체스위칭소자개발 제엠제코 지능형에너지전력반도체 IC 개발 큐아이티 지능형에너지전력반도체 IC 개발 르코어테크놀로지 지능형에너지전력반도체 IC 개발 삼화콘덴서 지능형에너지전력반도체 IC 개발 테스 금강중공업 고내압전력반도체에피구조설계및에피성장 전력반도체 2.25kW 급고성능냉각장치 삼성전기 ( 주 ) 상용차용대용량전력반도체, 100V/1000A 전력반도체모듈설계 리드텍 ( 주 ) 럭스이엔지대영오앤이윌링스전자부품연구원자동차부품연구원한국전자통신연구원한국전기연구원나노융합기술원 ( 포항 ) 한국나노기술원 ( 수원 ) 전력반도체용 clip bonder SiC-FET 전력반도체를적용한고효율독립형 / 계통연계형에너지저장장치모듈상용차용대용량전력반도체, 6 Pack 모듈패키지사출금형상용차용대용량전력반도체, 고효율 Gate Driver 회로설계상용차용대용량전력반도체, 시제품전력반도체모듈특성평가상용차용대용량전력반도체, 모듈및인버터의내환경특성평가고효율. 내환경 GaN 전력반도체모듈전력반도체고전압화기술전력반도체공정개발화합물반도체공정개발 129

138 기술개발테마현황분석 다. 기술이전가능기술 전기연구원, 전력반도체 ( 스위치소자, 다이오드등 ) 고전압화기술 고효율, 고신뢰성전력변환장치와전력반도체의설계및제작을위한핵심기반기술인 전력반도체 ( 스위치소자, 다이오드등 ) 고전압화기술개발 ( + 불량분석및모델링기술개발 ) 한국전자통신연구원, 고효율. 내환경 GaN 전력반도체모듈 고효율 / 내환경 GaN SBD/FET 전력소자및모듈개발 > - GaN SBD, FET 전력소자핵심요소기술, 신뢰성확보 - GaN 전력모듈설계및제작기술확보 - GaN 전력모듈성능 / 신뢰성평가및향상기술확보 - GaN FET 기반전력소자 - GaN Schottky Diode 기반전력소자 - GaN Power Module - GaN Inverter ( 테스트급 ) 한국전자통신연구원, 차세대반도체소자용에피성장측정분석및전력반도체원천기술 800V급 GaN 전력반도체에피 / 소자기술 - 2-DEG mobility 1,800 cm^2/vs - 2-DEG sheet resistance 400 Ω/sq - HEMT current density (normally-off) 500 ma/mm - HEMT leakage current density 1 10^-3 ma/mm - HEMT breakdown voltage 800 V - On-resistance 10 mω cm^2 o SiGe CMOS 에피기술개발 전자부품연구원, 전력반도체모듈특성평가기술 100V, 1,000A 급최종시제품전력반도체모듈특성평가기술 자동차부품연구원, 전력반도체모듈및인버터의내환경특성평가기술 100V, 1,000A 급전력반도체모듈및인버터의내환경특성평 130

139 전력반도체소자 6. 기술로드맵기획 가. 중소기업핵심요소기술 (1) 데이터기반요소기술발굴 [ 전력반도체소자분야키워드클러스터링 ] [ 전력반도체소자분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 power, semiconductor, high 4~7 1. powerhigh-speed high-power semiconductor devices 2. powersemiconductor DEVICE, FABRICATION METHOD FOR SEMICONDUCTOR DEVICE, POWER SUPPLY APPARATUS AND HIGH-FREQUENCY AMPLIFIER 3. powerhigh voltage power semiconductor device on SiC 클러스터 power, 4~7 1. powersic semiconductor power device 131

140 기술개발테마현황분석 02 semiconductor, SiC 2. powerhigh VOLTAGE POWER SEMICONDUCTOR DEVICES ON SiC 클러스터 03 power, semiconductor, carrier 4~7 1. powerpower semiconductor module with sealing device for sealing to a substrate carrier and method for manufacturing it 2. powerlateral power semiconductor device for high frequency power conversion system, has isolation layer formed over substrate for reducing minority carrier storage in substrate 클러스터 04 power, semiconductor, nitride 4~8 1. poweriii-nitride Power Semiconductor Device 2. powergroup III nitride semiconductor device which can be used as a power transistor 3. powergallium nitride power semiconductor device having a vertical structure 클러스터 05 power, semiconduct vertical 4~8 1. powermethod FOR MANUFACTURING VERTICAL SUPER JUNCTION DRIFT LAYER OF POWER SEMICONDUCTOR DEVICES 2. powerflexibly scalable charge balanced vertical semiconductor power devices with a super-junction structure 클러스터 06 power, semiconduct, ring 5 1. powersemiconductor device with combined power and ground ring structure 2. powerstructure and method for forming a guard ring to protect a control device in a power semiconductor IC 클러스터 07 power, semiconduct, wafer 5 1. powersemiconductor Device and Method of Forming Wafer Level Ground Plane and Power Ring 2. powerwafer level packaged GaN power semiconductor device and the manufacturing method thereof 클러스터 08 클러스터 09 power, semiconductor package power, substrate 4 4~8 1. powerpower SEMICONDUCTOR PACKAGE DEVICE HAVING LOCKING MECHANISM, AND PREPARATION METHOD THEREOF 2. powercombined PACKAGED POWER SEMICONDUCTOR DEVICE 3. powerhigh speed, low loss and high density power semiconductor packages (μmaxpak) with molded surface mount high speed device(s) and multi-chip architectures 1. powerintegrated power device on a semiconductor substrate having an improved trench gate structure 2. powermulti-wire electrical discharge machining system, multi-wire electrical discharge machining apparatus, power supply device, multi-wire electrical discharge machining method, semiconductor substrate, solar cell substrate, substrate manufacturing system, and substrate manufacturing method 132

141 전력반도체소자 클러스터 10 power, IC 4~7 1. powersemiconductor DEVICE, SWITCHING POWER SUPPLY CONTROL IC, AND SWITCHING POWER SUPPLY DEVICE 2. powersemiconductor DEVICE, CONTROL IC FOR SWITCHING POWER SUPPLY, AND SWITCHING POWER SUPPLY UNIT 133

142 기술개발테마현황분석 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로 로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여 최종요소기술명확정 [ 전력반도체소자분야요소기술도출 ] 분류요소기술출처 회로설계기술 저전압아날로그회로설계고전압 / 고전류반도체설계전력변환회로설계배터리충전회로설계기술전압스케일링회로설계기술 GaN on Si/SiC 에피소재기반전력반도체 GaN 기반전력반도체 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 소자기술 수직형 GaN 전력반도체소자 특허 / 논문클러스터링 전력반도체소자제조공정기술 특허 / 논문클러스터링 반도체소자기판성장기술 특허 / 논문클러스터링 모듈패키징기술 전력반도체모듈제조공정기술 모듈, 이중반도체집적기술 전력반도체소자회로기술 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 화합물반도체제작기술 특허 / 논문클러스터링 수평형 GaN 전력반도체소자 특허 / 논문클러스터링 134

143 전력반도체소자 (3) 핵심요소기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심요소기술선정위원회를통하여 중소기업에적합한핵심요소기술선정 핵심요소기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업 적합성 (10) 을고려하여평가 [ 전력반도체소자분야핵심요소기술 ] 분류핵심요소기술개요 저전압아날로그회로설계 전력반도체의저전압기본공정중하나로서, 전류 - 전압스위칭특성의변동이큰아날로그회로설계기술 회로설계기술 전력변환회로설계 전력반도체의핵심특성인에너지고효율개선특성에맞게소자동작시, 전력변환손실이적도록만들어주는회로설계기술 GaN on Si/SiC 에피소재기반전력반도체 WBG 소자로서 SiC, GaN 이외에 ZnO, CuI 등소자제작공정조건확보및최적화기술필요 수직형 GaN 전력반도체소자 수직형 GaN 전력반도체소자제작공정조건확보및최적화기술필요 소자기술 수평형 GaN 전력반도체소자 수평형 GaN 전력반도체소자제작공정조건확보및최적화기술필요 GaN 기반전력반도체 GaN 기반소자제작공정조건확보및최적화기술필요 모듈패키징기술 모듈, 이중반도체집적기술 전력반도체모듈의신뢰성을높이고안정적동작을위해구동및보호용 IC 내장기술필요 135

144 기술개발테마현황분석 나. 전력반도체소자기술로드맵 최종중소기업기술로드맵은기술 / 시장니즈, 연차별개발계획, 최종목표등을제시함으로써 중소기업의기술개발방향성을제시 136

145 전력반도체소자 다. 연구개발목표설정 로드맵기획절차는산 학 연전문가로구성된로드맵기획위원회를통해선정된 핵심요소기술을대상으로기술요구사항, 연차별개발목표, 최종목표를도출 [ 전력반도체소자분야핵심요소기술연구목표 ] 분류핵심요소기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 저전압아날로그회로설계 SNR (fin=1khz) 80dB 90dB 100dB 100dB 회로설계 전력변환회로설계 스위칭손실저감 20% 저감 30% 저감 60% 저감 전력변환시스템설계및제어기술확보 GaN on Si/SiC 에피소재기반전력반도체 온저항 RDS(on) (VB=1200V) 50mΩ 35mΩ 20mΩ >20mΩ 에피소재기술 GaN 기반전력반도체 결함밀도개선 1ea/cm2 0.5ea/cm2 0.1ea/cm2 결함밀도개선 수직형 GaN 전력반도체소자 고온신뢰성검증 (Tjmax) 고온신뢰성향상 소자와모듈패키징기술 모듈, 이중반도체집적 전력모듈사이즈 225 kva 250 kva 275 kva 275 kva 수평형 GaN 전력반도체소자 스위칭속도 fsw 200kHz fsw 300kHz fsw 400kHz fsw 400kHz 137

146

147 고주파반도체 기술개발테마현황분석

148

149 고주파반도체 정의및범위 고주파반도체란통상이동통신, 무선랜, 유선통신, 레이다및각종 IoT 네트워크통신에사용되며 수백또는 GHz 대이상의고주파수대역신호를처리할수있는고주파시스템에사용되는고주파 소자중반도체공정을이용하여제작된반도체를의미 정부지원정책 산업통상자원부는 2025년까지시스템반도체산업시장점유율을 10% 까지높이기위해민관합동으로 4,645억원을투입하기로함. 시스템반도체 3대유망기술인저전력, 초경량, 초고속반도체기술확보에 2,645억원을투자하고관련전문인력도 4년간 2,880명이상을양성함. 과학기술정보통신부와산업통상자원부는 2조5000억원규모의범부처반도체연구 R&D 국책과제를기획하고있음. (2017) 5G, 자율주행자동차, 사물인터넷등 4차산업혁명유관산업은과거휴대폰산업이상으로국내팹리스반도체설계업계에는기회의시장임을감안하면글로벌경쟁에서밀리며고사위기의형편에놓인국내고주파반도체업계를위해과감한정책지원이필요함. 중소기업시장대응전략 강점 (Strength) ( 환경 ) RF 설계전문기업 ( 기술 ) 우수한 IT 인프라기회 (Opportunity) ( 환경 ) 대규모시장의확대 ( 기술 ) 기반기술연구 개발 ( 정책 ) 정부와업계의높은관심 약점 (Weakness) ( 환경 ) 영세한산업구조 ( 기술 ) 핵심원천기술미흡 ( 정책 ) 산업지원정책미흡위협 (Threat) ( 환경 ) 높아지는진입장벽및경쟁 ( 기술 ) 글로벌업체와의기술격차 ( 정책 ) 미시적 R&D 정책 중소기업의시장대응전략 다가올초연결시대의핵심인사물인터넷고주파통신반도체분야에서 Final Survivor가되기위해창의적기술개발과철저한시장분석에기초한대응이필요하며정부의과감한투자지원필요

150 핵심요소기술로드맵

151 고주파반도체 1. 개요 가. 정의및필요성 이동통신, 무선랜, 고속유선통신과같은통신시장의성장은고속, 고성능의통신시스템의발전을이끌었고, 다가올 5G 시대에는 4차산업혁명의핵심통신인프라인 IoT (Internet of Things) 를위해초연결네트워크통신시스템을요구하고있음. 또한최근자율주행자동차, 드론등의등장으로초고주파대역의레이다반도체분야도급성장하고있음. 이러한통신및레이다시스템을구현하기위해서필수적인기술이고주파반도체기술임. 통신및레이다기술의핵심인고주파반도체는통상수백또는 GHz 대이상의고주파수대역신호를처리할수있는고주파시스템에사용되는고주파소자중반도체공정을이용하여제작된반도체로정의됨. 무선통신용 ( 이동통신, 무선랜등 ) 초고주파반도체는능동소자와수동소자를사용해하나의반도체칩위에 RF (Radio Frequency) 회로를구현한것으로증폭기 (Amplifier), 송신기 (Transmitter), 수신기 (Receiver), 주파수합성기 (Frequency Synthesizer) 등의회로들이집적되어신호를송수신함. 레이다용초고주파반도체역시각종능동 / 수동소자를사용해하나의반도체칩위에 RF 회로를구현한것으로증폭기, 레이다신호발생기 (Signal Generator), 수신기등의회로들이집적되어신호를송수신함. [ 초고주파반도체집적회로 ] 초고주파반도체는일반적으로대량생산이용이하고저가격 / 고신뢰성제품으로여러가지소요부품들을하나의작은칩내에구현할수있음. 반도체소자로는주포화합물 (GaAs) 반도체와실리콘 (Si) 반도체가사용되는데무선통신송수신기의전단 (Front-End) 은고출력이요구되는부분은주로화합물반도체를이용하여단독칩으로제작되나최근그외대부분의부분들은집적도를높이는데유리한실리콘반도체를사용함. 143

152 기술개발테마현황분석 이동통신을무선통신의기술발전단계로구분할때 1G는음성통화가가능했던아날로그이동통신, 2G는음성통화, 문자, 이메일전송등이가능했던디지털이동통신, 3G는스마트폰, 4G는 LTE, LTE-A, 5G는초광대역및초연결의차세대무선통신기술로구분되며, 해를거듭할수록새로운기술을이용한서비스가제공되고있음. 이처럼무선통신시장이활성화되면서무선통신시스템이생활의필수품으로대중화되었으며, 사용자가급속히늘었음. 따라서사용자의증가와다양한멀티미디어서비스의증가로인한대량의통신용량을수용하기위해사용주파수및대역폭이점차높아짐. 이와같은무선통신시스템의활성화와고성능통신시스템의요구는초고속고주파반도체소자의필요성을점점더부각시키고있음. 국제전기통신연합 (ITU) 이내린정의에따르면 5G는최대다운로드속도가 20Gbps, 최저다운로드속도는 100Mbps인이동통신기술임. 또한 1km 2 반경안의 100만개기기에사물인터넷 (IoT) 서비스를제공할수있고, 시속 500km 고속열차에서도자유로운통신이가능해야함. 4G에서응답속도는 10~50ms초임. 5G에서는이응답속도가약 10배더빨라짐. 이덕분에많은양의데이터를중앙서버와끊김없이주고받아야하는자율주행차, 사물인터넷분야에서 5G가활발하게도입될것으로전망됨. 5G에서는센티미터파 (3~30GHz), 밀리미터파 (30 ~ 300GHz) 의높은주파수대역에서수백 MHz 이상의광대역폭을이용해데이터를고속으로전송할수있어야함. 에릭슨모빌리티리포트에따르면, 북미지역에서는 2022년까지전체모바일가입건수의 25% 가 5G에가입할것으로예측함. 아시아태평양지역은 2022년까지전체모바일가입의 10% 가 5G에가입할것으로예측하여두번째로빠르게성장할지역으로꼽음. [ 지역별모바일브로드밴드현황 - 출처 : 에릭슨모빌리티리포트 ] 144

153 고주파반도체 최근수년동안최첨단 IT기술이접목된자율주행차의기술개발에대한관심이고조되고있는가운데최첨단 IT 기술이접목된핵심부품에대한요구가더욱커지고있음. 자율주행차를구현하기위해서는여러가지최첨단부품과통신기술이필요한데, 그중 ADAS (Advanced Driver Assistance System: 첨단운전자지원시스템 ) 의대표적핵심부품인레이다의소형화와저가격화가관건이됨 차량용레이다시장은연간 23% 의성장률을보이며 2020년 4200만대 / 180억달러의시장규모를차지할것으로전망 자율주행차의경우차량당최소 6대이상의레이더가들어가물체감지, 자유공간인식, 자차위치파악등에사용될예정으로이들시스템이합쳐지면 360도올어라운드뷰기능을제공할수있어교차로지원이나주차지원같은새로운기능도가능할것으로전망 차량용레이다의할당주파수대역은 24GHz (200MHz), 77GHz (1GHz), 79GHz (4GHz) 등이며이중 24GHz는저가형레이더로, 79GHz는자율주행차보급에따라시장이늘어날전망임. 레이더용 RF 반도체는초고주파아날로그회로설계기술이필요하며특히장거리용 RF 반도체는화합물반도체 (SiGe) 기반으로상용화됨. 이때문에 77GHz용 RF IC를제품화한업체는인피니언과프리스케일을인수한 NXP 반도체정도임. 후발업체들은 CMOS 기반레이더용 RF 반도체개발에열을올리고있음 [ 자율주행자동차의레이다 ] 자율주행자동차레이다의약어- CTA(Cross Traffic Alert): 교차차량경고장치, LCA(Lane Change Assistance): 차선변경보조장치, BSD(Blind-spot detection): 사각지대감시장치, FCW(Forward Collision Warning): 전방충돌경고장치, CM(Collision Mitigation): 충돌저감장치, ACC(Adaptive Cruise Control): 적응형순항제어장치, EB(Emergency Braking): 긴급제동장치 145

154 기술개발테마현황분석 IoT 시대에접어들어 2022년에는 29 billion의기기들이통신을통해연결될것으로예측되며특히 Wide-area IoT 는연평균 30% 의성장세를나타냄. 고성장이예상되는 IoT는크게반도체, 모듈및단말, 플랫폼, 네트워크등 4가지기술적구성요소로나룰수있음. 반도체부분은온도, 빛움직임, 위치등을감지하는센서, 데이터송수신을위한유무선통신칩, 그리고데이터를처리하는프로세서와메모리등을포함 초기 LPWA (Low Power Wide Area) 기술은 Sigfox, LoRa와같이비면허대역의독자기술로시작하여면허대역의 MTC 디바이스를위한 LTE-M이제공되고이어서 2016년 LTE를 LPWA 응용에최적화한 NB-IoT 규격을통해면허대역에서도 LPWA 네트워크서비스를제공할수있게되었음. 최근 Sub-GHz의비면허대역을이용하는무선랜표준인 ah/af도등장함 고주파반도체는기지국과이동단말간의통신을이용하는이동통신, 위성체와기지국또는위성체와이동단말간의통신을이용하는위성통신, 전화국과전화국 / 방송국과중계국등고정된지역간의통신선로를이용하는국간통신, PC와 PC / PC와컴퓨터주변기기 / 무선랜 AP와스마트폰및 PC 등을연결하는무선랜등의무선통신뿐만아니라케이블 TV 망을이용하는유선통신, 광케이블을이용하는광통신등광범위하게사용되는핵심부품임 146

155 고주파반도체 나. 범위및분류 고주파반도체기술은소자의사용주파수를높이기위해소자의구조를개발하고, 기판재질을바꾸어고주파에서의특성을향상시키기위한연구와고주파에서출력전력을증대시키려는연구로부터시작되었음. 무선통신시스템이점차일반화되어감에따라단말기의소형화에대한요구가증대되었고, 이에따라고주파반도체소자의집적화를통한소형화연구가시작되었음. 사용자수가증가함에따라한정된사용주파수대역에서많은데이터를송수신하기위해디지털변조방식이채택되기시작하였고, 따라서반도체소자의선형성이중요하게되었으며, 최근에는고용량데이터의송수신에따른무선통신송수신기의복잡도및집적면적증가로저가격화가가장큰기술적이슈임. 이러한고주파반도체기술을여러형태로분류함으로써전체적인기술의범위와내용을파악하는것이필요하므로, 집적기술에따른관점, 기능에따른관점, 용도에따른관점, 목적에따른관점, 기술계층에따른관점으로분류하여살펴볼수있음 (1) 집적기술에따른관점 고주파반도체소자의집적정도에따라개별소자, HMIC (Hybrid Microwave IC), MMIC (Monolithic Microwave Integrated Circuit) 로분류할수있음. 1980년대이전에는마이크로파회로는대부분 HMIC가주류를이루었으나 1980년대이후에는초고주파반도체기술의급속한발전에힘입어고주파반도체소자들은점차로능동소자와수동소자를하나의반도체기판위에일괄공정으로제작하는고주파집적회로인 MMIC화되기시작함. 응용분야에따라차이가있지만근래에는 MMIC에적합한 CMOS 공정기술을이용하여디지털처리부와고주파신호처리부를한칩에집적함으로써저가격및고성능의반도체시스템을구현하고자하는것이흐름임. HMIC - 일반적인 Hybrid 회로에서는수동소자는유전체기판위에서구현되고, 능동소자는반도체상에제작되어표면실장이나와이어본딩등의방법을통하여수동소자와연결하여집적회로를구성하는방법 MMIC - MMIC는화합물반도체또는실리콘반도체의응용부품으로이동통신, 무선랜통신, 유선통신, 및레이다시장이급격히확대되면서고주파특성이우수하고, 선형성이우수한송수신단의여러능동소자 ( 트랜지스터 ) 및수동소자 ( 저항, 캐패시터, 인덕터 ) 들을단일칩으로집적이가능하게한정보통신용부품임. 147

156 기술개발테마현황분석 (2) 기능에따른관점 고주파반도체소자의기능에따른분류를아래의표와같이살펴볼수있음. 단위소자로는능동소자와수동소자로분류가되며이의응용회로는증폭기, 발진기, 혼합기, 등등으로분류가됨. 이들의복합운용분류는무선통신송수신기의예를들면 BB (Baseband) 신호를송신안테나로전송하는송신기, RF (Radio Frequency) 신호를저잡음증폭하고주파수를낮은주파수로변환하며필요한신호를골라내어 BB 프로세서로전달해주는수신기, RF 신호를송수신하는데있어서캐리어신호를생성해주는주파수합성기등등으로분류가됨. [ 기능에따른분류 ] 단위소자 응용회로 복합응용 능동소자수동소자증폭기발진기혼합기스위치위상천이기바이어스회로주파수분배 / 체배기필터디지털회로송신기 / 수신기주파수합성기 MOSFET, BiCMOS, BJT, HBT, MESFET, HEMT 저항, 인덕터, 캐패시터, 다이오드등 저잡음증폭기, 전력증폭기, 일반증폭기 전압제어발진기 주파수상향변환기, 주파수하향변환기 TDD 스위치 위상배열용 Phase Shifter BGR (Bandgap Reference) Prescaler, Frequency Divider, Frequency Multiplier 저역 / 대역 / 고역통과필터등 Logic, Memory RF transmitter, RF receiver PLL, DDFS, ADPLL 등 (3) 용도에따른관점 사용시스템에따라목적을이루기위해적용할수있는기술이달라짐. 고주파반도체는통신용시스템에가장많이사용되고있으나레이다및각종센서등비통신용으로도응용분야도급성장하고있음. 무선통신은이동통신, 위성통신, 무선랜등으로나눌수있고, 유선통신은케이블통신과광통신으로나눌수있음. 148

157 고주파반도체 [ 용도에따른분류 ] 무선통신용유선통신용레이다기타 휴대이동통신위성통신무선랜통신방송이동통신 PAN 통신 THz 통신케이블통신광통신자동차레이다각종탐지레이다고주파가열기각종무선센서 1G / 2G / 3G / 4G / 5G GPS / S-DMB a/b/g/n/ac,ax/ad,ay/af/ah T-DMB Bluetooth / UWB / Zigbee / RFID 초근거리통신 고속광케이블 고속광통신 LRR / SRR 드론등소형이동체탐지 고주파열선 Imaging 센서 (THz), 각종측위센서 (4) 목적에따른관점 특정소자나특정기능에적용된기술을이용하여이루고자하는목적을기준으로기술을 분류하는것이필요함. 시스템요구사항을만족시키려는것이고주파반도체부품기술의 목적이며저가격화, 소형화, 고집적화, 저전압화, 특성향상, 안정화등이있음. [ 기술목적에따른분류 ] 저가격화, 소형화, 고집적화, 저전압화 특성향상 안정화 저잡음, 선형성, 저소비전력, 고출력, 이득특성 전원전압변동에대한안정화, 부하변동에대한안정화, 온도변화에대한안정화, 재현성, 수율향상, ESD protection 149

158 기술개발테마현황분석 (5) 기술계층에따른관점 고주파반도체기술은제작에필요한공정기술, 단위소자의특성을향상시키기위한소자 구조기술, 소자를회로설계에이용하기위해필요한모델링기술, 회로의기능을수행하게 하기위한회로설계기술및제작된소자의패키지기술로분류할수있음. [ 기술계층에따른분류 ] 반도체제작에필요한공정기술 단위소자의특성을향상시키기위한소자구조기술 고주파반도체 소자를회로설계에이용하기위해필요한모델링기술 회로의기능을수행하기위한회로설계기술 제작된소자및회로의패키지기술 150

159 고주파반도체 2. 외부환경분석 가. 산업환경분석 (1) 산업의특징 고주파반도체산업은첨단 ICT 수요에연동된고효율, 고성장, 고부가가치의미래유망산업으로고도의설계및제작기술이복합적으로요구되며전자공학, 기계, 화학, 물리등의다양한과학기술이융합된산업의성격을가짐. 고주파반도체산업은대부분이고도의설계기술이필요한산업으로높은초기투자비용, 수준높은기술력과고급인력필요, 긴개발기간등영세기업의독립적인창업으로제품을출시하는것이최근들어더욱많은어려움이있음. 고주파반도체산업은분야별세계소수기업이지배하는특성이있어치열한경쟁에서우위를차지하기위해서는끊임없는기술개발, 적기선행투자, 시장예측등이필수적임. 고주파반도체산업은반도체제조를위한소재산업, 소재를이용하여고유기능이구현된소자및제작공정산업, 이를위한장비산업, 여러개의능 / 수동소자를사용하여설계한집적회로설계산업및이를이용한시스템형산업을포함하는융복합산업영역임 고주파반도체산업은소재, 제작공정, 칩, 패키지, 시스템의단계를거쳐대부분의산업에활용되고있으며, IoT 시대의도래에따라산업적활용도는대폭증가할전망 인간과기기및기기와기기간상호작용심화에따라모든기기가연결되고있으며, 이에따라초고주파반도체의기능도대형화 다변화 복잡화되고있음 이동통신, 무선랜, 사물인터넷, 자동차용초고주파반도체및시스템의개발과국산화를통해세계시장에서안정적으로발전할수있는전략수립이필요함. 반도체산업의핵심경쟁력은 1. 기술및원가경쟁력, 2. 시장대응능력 ( 고객확보, 제품포트폴리오 ), 3. 설비투자능력등임. 최근고주파반도체응용분야가점차다양화, 융복합화되고있어이에대한발빠른시장대응능력이필요함 고주파반도체는대부분전자제품의기능을다양화, 첨단화, 그리고네트웍화시키는핵심요소로인간간, 기기간, 그리고인간과기기간의원활한인터페이스를위해반드시필요한부품 이동통신, 무선랜, 유선통신, 사물인터넷통신및레이다등은글로벌규격화로인해대량칩제품생산이가능해글로벌전문기업육성에적합하며, 주로대기업인수요기업과의상생협력이중요한분야 기반산업, 융합기술, 첨단지식산업의특성으로양질의일자리창출등창조경제생태계조성에적합한업종 151

160 기술개발테마현황분석 고주파반도체란통상이동통신, 무선랜, 유선통신, 레이다및각종 IoT 네트웍통신에사용되며수백또는 GHz 대이상의고주파수대역신호를처리할수있는고주파시스템에사용되는고주파소자중반도체공정을이용하여제작된반도체를의미 대용량의데이터송수신이필요한최근의고성능무선통신시스템은 Multi-Band, MIMO (Multiple Input Multiple Output), CA (Carrier Aggregation) 등의기술로복잡도및크기가크게증가하여점점진입장벽이높아지고있으며이는중소팹리스업체에게도부담요인이됨. 2G/3G/4G 이동통신이 3GHz 미만의주파수대역을사용해온점에비해앞으로의 5G는수십 GHz이상의대역에서수백 MHz 이상의대역폭을사용하게됨에따라기술의난이도가점차높아지고있음. IoT로인한각종센서와의결합으로저용량의데이터송수신이가능한다양한초고주파반도체통신칩은디지털프로세서및신호처리기와 SoC (System on Chip) 형태로발전하고있음. 세계초고주파반도체시장은 IoT 시대의도래로, 필요한초고주파반도체칩의사용이 급증하고통신등의시스템첨단화추세에따라시장이급성장하고있으나, 국내산업의 경쟁력은선진국대비매우취약한상황 전세계반도체시장규모는모바일환경의확산과스마트폰, 태블릿 PC, 스마트가전, 자동차, 항공, 우주산업등수요처의다변화및고도화에힘입어지속적으로확대되고있는추세임 최근스마트폰의등장으로소프트웨어의비중이증가하고, 반도체공정의미세화로원가가상승하면서중소팹리스업체는대기업에비해시장입지가좁아졌고, 중견급기업은시장포화및대기업의시장잠식으로더딘성장세를보임 IoT로인한초연결시대의진입으로고주파반도체가대부분기기의핵심부품으로대두되어고주파반도체산업의경쟁력확보가국가산업경쟁력강화의필수요소 우리나라의경우비메모리분야중하나인고주파반도체의핵심요소기술수준이선진국대비매우낮은수준임 대표적비메모리분야인고주파반도체의경우, 선진국과의기술격차가크고설계전문중소업체의비중및규모도매우낮으며시장점유율도낮아국내에서해외제품에대한의존도높음 중소기업들이고주파반도체기술개발에대한투자는최근의높아지는진입장벽과설계난이도의증가로상용기술개발진행이점점더어려워정부정책과제를통하여개발환경여건조성이절실히필요함 고주파반도체기술산업은대형화 다변화 복잡화됨에따라국내중소기업의시장대응이느린측면과함께고가의제품이라도품질의신뢰도가높고시장에서검증된측면에서선진국제품을구매하고자하는경향이강함 고성능제품은해외수입의존도가높으므로세계최고수준의제품국산화와원천기술의확보를통해다양한분야에파급력을높여야하며, 이를통해품질경쟁력확보및수출경쟁력확보시급함 152

161 고주파반도체 [ 고주파반도체적용분야 ] (2) 산업의구조 후방산업은고주파반도체에사용되는주요핵심요소기술인소재 / 재료기술, 제작공정기술, 제작공정및테스트를위한장비기술, 고주파반도체설계를위한집적회로기술, IoT 시대를맞아날로비중이높아지고있는 SoC 기술등이있음 고주파반도체는다양한기능을처리하기위한다품종의제품을생산하는산업으로대규모의시설투자없이기술아이디어와설계인프라만있으면진입할수있는팹리스산업의특성과그반대개념으로설계디자인을위탁받아생산하는파운드리산업의특성을가진산업구조를가지고있음. 향후고주파반도체를포함한시스템반도체는팹리스산업과파운드리제조전문산업을중심으로성장할것으로예측됨 반도체의회로선폭미세화가난관에부닥치면서무어이론이사실상폐기가되어가고있는시점에서기존의후공정업체는물론장비, 재료등후방산업의격변이예고됨 국내고주파반도체업계는전방산업에비해고주파반도체를위한후방산업육성이제대로되어있지않음 국내집적회로및 SoC를위한팹리스기업들은영세해외국기업과규모경쟁에서밀림 [ 고주파반도체후방산업구조 ] 153

162 기술개발테마현황분석 전방산업으로서고주파반도체분야는이동통신, 무선랜, 위성통신, 사물인터넷 (IoT) 통신, 유선통신, 자동차레이다등의분야로구성 휴대용이동통신분야는 1G/2G/3G/4G를거쳐 5G를위해기술개발이이루어지고있으며큰시장을형성하고있는분야임. 4G를통해 Multi-band, MIMO, CA 등의기술이상용화되었으며 5G들어서는 mmwave대역에서초광대역의통신기술이상용화될예정임. 기존의근거리무선랜은 2.4/5GHz 비면허대역으로근거리에서초고속무선인터넷서비스를제공함. 무선랜은현재근거리에서는광대역대역폭을사용하여수 Gbps급이상의서비스를지향하고있으며광역무선랜서비스에서는 Sub 1GHz의비면허대역을사용하여사물통신서비스및광역무선인터넷서비스를지향하고있음. 자동차레이더부품기술의대표적인활용예인차량안전시스템이란지능형교통시스템을구현하기위한필수기술로열악한기상조건또는운전자의부주의로인해발생가능한사고를미연에방지할목적으로개발된시스템을의미함. 특히 77GHz 주파수를이용한자동차레이더시스템은가장핵심임. IoT 센서는자동차, 스마트폰, 가전기기, 스마트홈, 이산화탄소배출량을제어하는공장에는물론, 포도밭의토양조건을모니터링하기위해땅속에까지설치됨. 이러한센서를위한무선센서네트워크에관한연구는 1980년대에시작되었고산업및연구적측면에서관심이높아진것은대략 2001년부터임. 이는단일칩, 즉고주파반도체와프로세서들이 SoC로통합되면서비싸지않으면서출력이낮은소형부품의보급화때문임. 고주파반도체산업은소자, 회로설계, SoC등의기술력이완성품의기능과성능을결정하고, 타산업에적용되어기술들간융합의매개체역할을함으로써기존제품의성능과서비스를첨단화하고부가가치를창출 최신스마트폰에는광대역고성능이동통신칩, GPS 통신칩, 방송수신칩, 무선랜칩등이내장되어있으며앞으로초연결을위한 IoT용통신기능의칩이대부분의가전기기, 자동차, 드론등에도탑재될것으로예측됨 [ 고주파반도체분야산업구조 ] 후방산업고주파반도체분야전방산업 반도체소재, 반도체제작공정, 반도체장비, 반도체설계 광대역고성능통신칩, 레이다칩, 협대역저전력센서통신칩등 스마트폰등이동통신산업스마트폰, AP 등무선랜통신산업위성통신산업자동차레이다산업스마트홈, 스마트공장, 스마트그리드등 IoT 통신산업 154

163 고주파반도체 나. 시장환경분석 (1) 세계시장 이동통신, 무선랜, 고속유선통신과같은통신시장의성장은고속, 고성능의통신시스템의발전을이끌었고, 다가올 5G 시대에는 4차산업혁명의핵심통신인프라인 IoT (Internet of Things) 를위해초연결네트워크통신시스템을요구하고있음. 최근의가상현실, 증강현실, 홀로그램, 사물인터넷, 자율주행자동차, 인공지능, 로봇등으로의연구개발은고주파대역의무선통신용반도체분야시장을더욱더급성장시킬것으로예상됨. [ 5G 기반융합서비스 ] 4차산업혁명의핵심인 IoT 시대에접어들어모든기기가통신으로연결된다고할때반도체수요처인전자기기의성장전망에서자동차전장시스템시장이가장높은연평균 (2015~2020) 성장률 (4.9%) 를보이고있음. 2020년까지자동차의안전및편의시스템, 자동긴급제동, 차선이탈 / 사각탐지시스템및백업카메라등이가장많은반도체를채택할것이며, 반도체품목은아날로그 IC, MCU 및센서등의제품이큰시장을형성할것임 155

164 기술개발테마현황분석 * 출처 : IC insights 2015 [ 세계전자기기의성장전망 ] 의료 / 산업용전자시스템은웨어러블기기, 가정건강진단등의분야이며, 2020 년까지성장률 4.3% 를전망하고, 아날로그 IC 가주류를이룰것으로예상됨 통신은컴퓨터 IC 시장을추월하여성장할것이며, PC ( 데스크톱, 노트북, 태블릿 ) 에대한 수요가둔화되면서컴퓨터시스템시장은 2020 년까지가장저조한성장을보일것으로 예상됨 한편, 스마트폰의보급확대로태블릿 PC, 데스트톱 PC, 노트북 PC의출하량이급감하면서, 2017년부터휴대폰 IC 판매가 PC용 IC를추월하기시작할것임 2017년휴대폰용 IC 매출은전년대비 16% 증가한 844억달러를예측하고있는반면, PC는 9% 증가한 801억달러로전망 * 출처 : IC insights 2015 [ 휴대폰용 IC 시장이 PC 용 IC 를능가하기시작 ] 156

165 고주파반도체 2020 년에상용화가되는 5 세대이동통신을포함하여 2022 년에는스마트폰가입수가 6.8 billion 이될것으로예측됨 [ 기술별스마트폰가입수 ] IoT 시대에접어들어 2022년에는 29 billion의기기들이통신을통해연결될것으로예측되며특히 Wide-area IoT 는연평균 30% 의성장세를나타냄. 고성장이예상되는 IoT는크게반도체, 모듈및단말, 플랫폼, 네트워크등 4가지기술적구성요소로나룰수있음. 반도체부분은온도, 빛움직임, 위치등을감지하는센서, 데이터송수신을위한유무선통신칩, 그리고데이터를처리하는프로세서와메모리등을포함. * 출처 : 에릭슨모빌리티리포트 2016 [ IoT 로연결되는기기수 ] 157

166 기술개발테마현황분석 사물인터넷 (IoT) 의핵심통신기술인 LPWA 의대표기술은표준기술인 LTE-M, NB-IoT 와비표준기술인 LoRa, SigFox 등이있음 구분 LTE-M NB-IoT SigFox LoRa 커버리지 ~11km ~15km ~12km ~10km 주파수대역 면허대역 (LTE 주파수 ) 면허대역 (LTE 주파수 ) 비면허대역 (RFID-USN 대역 ) 비면허대역 (RFID-USN 대역 ) 통신속도 ~10Mbps ~100Kbps ~100bps 10kbps 로밍가능가능불가능불가능 표준화 3GPP Rel8 3GPP Rel13 비표준비표준 배터리수명 ~10 년 ~10 년 ~10 년 ~10 년 [ LPWAN 의기술비교 ] 자동차는차량자체의성능향상, 편안함, 편리함, 안전함을지키기위해전자시스템을더욱 강화하고있음. 이에많은새로운 IC 시스템이개발되고채택되어, 2017 년자동차 IC 시장은 전년대비 22% 증가한 280 억달어를기록할것으로예상됨 [ 자동차용 IC 시장 ] 마이크로컨트롤러 (MCU), 아날로그 IC, DRAM, 낸드플래시, 로직 IC등이자동차 IC를구성하고있으며, 이들이꾸준한성장을이끌어가고있음 2020년까지첨단운전자지원시스템 (ADAS) 이자동차용 IC의가장큰수요처가될것으로기대하고있음. 다양한 ADAS 시스템이자동차및운전자의도로를안전하게유도할것이며, 향후 10년이내에자율자동차의필수제품이될것임 전자기기에서반도체의비중을살펴보면, 2017년전자기기의평균반도체내장률은 28.1% 에달할것으로예측되며, 이는매우높은비중임. 전자기기시장의성장은그다지높지않은데, 반도체시장은고성장을나타내고있음. 일반적으로전자기기시장에비해반도체시장의연평균성장률이높게나타나는데, 이는전자시스템에사용되는반도체의가격상승또는채택률증가에기안함 158

167 고주파반도체 세계 IC 칩시장규모는 2016 년 119 억달러로서 2017 년부터는큰폭의증가추세를보여 2012 년에는 151 억달러로성장할것으로전망하고있음 [ IC 칩분야의세계시장규모및전망 ] ( 단위 : 백만달러, %) 구분 CAGR 세계시장 11,916 12,129 12,820 13,551 14,323 15, * 출처 : 참고자료를바탕으로추정함. Global Industry Analysts inc(2009.2), isuppli 2007, 2008, IDTechEx(2005, 2006), Gartner( , ) 향후반도체산업은대체로 IoT 성장, AI ( 인공지능 ) 반도체개발가속, 신메모리시대진입등의요인에의해크게성장할것으로예상됨. IoT 도입이확대됨에따라반도체가새로운성장을맞이할것으로전망됨. 이는 IoT 도입을통해인구증가, 고령화, 도시화등사회문제를해결하기위해각국이정책을적극적으로폎쳐나가기때문 159

168 기술개발테마현황분석 (2) 국내시장 세계이동통신시장은 90년대 773억달러 (2G) 에서 2000년대 5,047억달러 (3G), 2010년대 1조 5,500억달러 (4G) 규모로 20배성장함. 국내시장도 24.7억달러 (2G), 234.5억달러 (3G), 370.6억달러 (4G) 규모로꾸준히성장함. 5G 이동통신은 2020년상용화서비스가개시되어기존 3G, 4G 시장을점진적으로대체할것으로예상되며, 2026년 5G 시장은이동통신전체 2조 3,175억달러의 50% 인 1조 1,588억달러규모로성장할것으로전망됨. 국내의경우 2020년 5G 상용화시, 2026년국내이동통신시장 635억달러의 60% 인 381억달러규모로성장할것으로기대됨 [ 고주파반도체분야의국내시장규모및전망 ] ( 단위 : 억원, %) 구분 CAGR 국내시장 2,265 2,369 2,463 2,562 2,664 2,771 4 * 출처 : 참고자료를바탕으로추정함. 반도체재료데이터북, 2008, 파워디바이스 2009 년정보통신연구진흥원, 2008 전자신문, isuppli 2009, 지식경제부이차전지산업발전전략 ( ), OIDA report(2006) 의 Source & Detectir 부문의자료인용 * 출처 : 한국전자통신연구원 2013 [ 세계 / 국내이동통신시장전망 ] 160

169 고주파반도체 반도체전체품목에서한국은세계시장의 19% 를점유하는세계 2 위의반도체국가임. 그러나세계 1 위인미국과의격차가크며메모리에치중된산업구조이기때문에고주파 반도체등시스템반도체로의품목다변화가절실히필요함. * 출처 : IC Insight 2017 [ 세계반도체시장의국별시장점유율 ] 한국은 2013 년에역사상처음으로일본을추월하며세계 2 위반도체생산국가로부상했음 중국은 2 단계로조성하는국가집적회로산업투자기금을반도체업체인수합병에활용하려했으나 미국이기밀유출을이유로막아서자반도체설계가주력인팹리스육성에 1500 억 ~ 200 억 위안에이르는규모의 20 ~ 25% 를투자하기로함 한국은 20 년가까이세계반도체시장의 70% 이상을차지하는시스템반도체, 팹리스반도체 설계산업육성에관심을기울여왔으나 2017 년현재한국팹리스산업은글로벌에서존재감을 찾기어려움 자율주행자동차, 사물인터넷, 인공지능등 4 차산업혁명유관산업은과거휴대폰산업이상으로 국내팹리스반도체설계업계에는기회의시장임을감안하면글로벌경쟁에서밀리며고사위기의 형편에놓은업계를위해과감한정책지원이필요함 산업통상자원부는 2025 년까지시스템반도체산업시장점유율을 10% 까지높이기위해민관합동으로 4,645 억원을투입하기로함. 시스템반도체 3 대유망기술인저전력, 초경량, 초고속반도체기술확보에 2,645 억원을투자하고관련전문인력도 4 년간 2,880 명이상을양성하기로함 (2017) 과학기술정보통신부와산업통상자원부는 2 조 5000 억원규모의범부처반도체연구 R&D 국책과제를기획하고있음. 계획기간은 10 년임. 한국전자통신연구원 (ETRI), 한국과학기술연구원 (KIST), 전자부품연구원 (KETI), 한국표준과학연구원 (KRISS) 등정부출연연구기관의반도체분야박사들과국내각대학교수 50 여명이새로운국책과제기획작업에참여함. 과학기술정통부와산업부는 9 월공청회를거친뒤기획재정부와한국과학기술기획평가원 (KISTEP) 에예비타당성조사를신청할계획임 - 기획될반도체국책과제는크게 1. 인공지능분야, 2. 사물인터넷분야, 3. 차세대반도체생산 관련장비, 재료분야로분류되어원천기술개발과상용화기술개발모두를아우르며원천기술은 과기정통부, 상용화기술은산업부가각각관장하기로함. 161

170 기술개발테마현황분석 국내수요기업은일부국내팹리스업체로부터고주파반도체를조달하고있으나, 국내제품의포트폴리오한계, 신뢰성, 고주파반도체의성능문제등으로대부분수요를해외기업으로부터주로조달 삼성은차세대 5G 무선통신에핵심역할을할통신칩개발에성공함. 개발에성공한 5G 무선통신용 RFIC 칩은 28GHz 대역을지원함. (2017.2) 국내팹리스집적회로설계업체 FCI, 아이엔씨테크놀로지, 라온텍등은 2G/3G/4G 통신칩, Mobile TV, Digital TV, a/b/g/n WiFi SoC 칩들을상용화했음 (3) 무역현황 고주파반도체로무역현황을분석하는데한계가있어수출품목중시스템반도체품목의무역현황을살펴보았으며, 메모리반도체가지속적으로무역흑자를기록함과대조적으로시스템반도체는국내 Chip Maker의국내생산반도체부품의채용여부에크게좌우되는형태 고주파반도체는 2012년 6700만달러의무역흑자를나타낸반면, 2016년은오히려무역적자를나타낸바있으며 2017년 7월현재까지는소폭흑자를기록중 2017년도 1~7월사이의증가세는파운드리물량의증가에힘입은바큼 [ 고주파반도체관련무역현황 ] ( 단위 : 천달러, %) 구분 CAGR 수출금액 수입금액 , 무역수지 ,300 20,224 7,946-3,057 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 162

171 고주파반도체 다. 기술환경분석 연구개발동향 고주파 반도체 기술은 크게 이동통신, 무선랜, 사물인터넷 통신 등 시스템의 요구사항에 따라 발전하여왔음 모바일 IT 및 IoT 시대가 도래하면서 무엇보다도 광대역및 다중 대역의신호를 높은 주파수 대역에서 처리해야하는 고성능 고주파 반도체와 함께 저전력의 소형 고주파 반도체가 동시에 요구됐으며, 이후에는고주파반도체와디지털프로세서가하나로통합하는 SoC 형태로발전해옴 최근자동차, 모바일, 웨어러블, IoT 등에활용되는고주파반도체칩에대한관심이집중되어있어국가적차원의지원과산업화가필요함 5G에서는센티미터파 (3~30GHz), 밀리미터파 (30 ~ 300GHz) 의높은주파수대역에서수백 MHz 이상의광대역폭을이용해데이터를고속으로전송할수있어야함. 차량용레이다의할당주파수대역은 24GHz (200MHz), 77GHz (1GHz), 79GHz (4GHz) 등이며이중 24GHz는저가형레이더로, 79GHz는자율주행차보급에따라시장이늘어날전망임. 레이더용 RF 반도체는초고주파아날로그회로설계기술이필요하며특히장거리용 RF 반도체는화합물반도체 (SiGe) 기반으로상용화됨. 이때문에 77GHz용 RF IC를제품화한업체는인피니언과프리스케일을이수한 NXP 반도체정도임. 후발업체들은 CMOS 기반레이더용 RF 반도체개발에열을올리고있음. 광대역무선랜표준인 ad는 60GHz대역을사용하는것으로 2012년에공개됨. 그러나 ad와호환성을가지는 ay는속도를몇배더높이기위해개발된것으로채널본딩, MIMI 기타기능을활용하면속도가 200Gbps에육박하고도달거리는약 300미터이상이될것이라고업계관계자들이밝힘. 고주파반도체를위한 IoT의네트워크영역을좀더자세히살펴보면크게 1 근거리통신망, 2 저전력장거리통신기술, 그리고기존셀룰러네트워크등을사용하는방식으로나눌수있으며 LPWA 분야에서향후고성장이예상됨. [ IoT 의무선 Connectivity ] 163

172 기술개발테마현황분석 광대역무선랜표준인 ah 는반경 1km 내외에서사물통신서비스를제공하는광역와이파이기술로 1GHz 이하비면허대역주파수및 TV 유휴주파수대역을동시사용하는시스템으로시장확대전망됨 [ 광역와이파이개념도 ] 고주파반도체기술은사용대역폭과사용주파수에따라기술의난이도가달라지고, 주요설계이슈로는고집적화, 저전력화, 고안정화, 다기능화등임. 최근응용시스템주요분야가기술이고도화되고복잡화되어다중대역처리, MIMO, CA, 초고주파대역설계, 광대역폭처리, 빔포밍등집적회로의개발이점점어려워지고있음 [ 고주파반도체분야기술개발동향 ] 주요분야이동통신무선랜통신 IoT 통신레이다 4G/5G 광대역무선랜협대역무선랜자동차용레이다일반탐지레이다 집적회로주요이슈 다중대역처리 MIMO (Multiple Input Multiple Output) CA (Carrier Aggregation) mmwave의초고주파대역설계 수백 MHz 이상의대역폭처리 빔포밍설계 다중대역처리 MIMO (Multiple Input Multiple Output) 수백 MHz 이상의대역폭처리 빔포밍설계 디지털프로세서와의 SoC 집적회로설계 소형화를통한저가격화 디지털프로세서와의 SoC 집적회로설계 소형화를통한저가격화 디지털프로세서와의 SoC 집적회로설계 mmwave의초고주파대역설계 안테나설계 빔포밍설계 mmwave의초고주파대역설계 빔포밍설계 164

173 고주파반도체 3. 기업분석 가. 주요기업비교 인텔, 인피니언, 아날로그디바이스, 아비아컴, 브로드컴, 텍사스인스트루먼트등글로벌 기업들이 2G/3G/4G 및 5G 이동통신, 무선랜통신, 자동차레이더, 사물인터넷통신등의 시장을주도 [ 2016 년반도체업체별매출액및시장점유율 - 출처 : Thomson Reuters, Gartner ] 순위업체명매출액 ( 백만달러 ) 시장점유율 (%) 1 인텔 삼성전자 퀄컴 SK 하이닉스 브로드컴 마이크론 텍사스인스트루먼트 도시바 NXP 미디어텍 인텔은 2017년초 5G 이동통신용모뎀칩 ( 코드명골드리지 ) 과이모뎀칩과쌍으로붙는무선주파수 (RF) 칩 ( 코드명모뉴멘탈서밋 ) 을공개함. 발표한고주파반도체칩은 6GHz 이하주파수대역과 28GHz 고주파대역을동시지원하는것이특징. 삼성은차세대 5G 무선통신에핵심역할을할통신칩개발에성공함. 개발에성공한 5G 무선통신용 RFIC 칩은 28GHz 대역을지원함. (2017.2) 차량용레이더는머지않아차량에 6개이상이포함될것으로전망되며레이더시장은 2016년 2580만개에서 2021년에는 9200만개로확대될전망. 레이더칩시장 1위인인피니언에따르면유럽, 북미, 아시아지역의대부분주요레이더시스템업체들에게솔루션을제공하고있는데 2016년회계연도에만 1200만개이상의 77GHz 레이더칩부품을판매했다고밝혔는데이것은인피니언이지난 6년간의판매량을합친것보다많은것임. 165

174 기술개발테마현황분석 [ 레이다칩시장점유율 (2015) ] ST 마이크로일렉트로닉스의최신 77GHz 레이더칩의하나의칩개 3 개의 77GHz 트랜스미터와 4 개의리시버를통합함으로써기존보다작아졌고시스템설계를간소화할수있도록구축했다고함. 세계각국은고주파반도체의경쟁력이전산업분야경쟁력의핵심요소임을인식하여 집중지원중 166

175 고주파반도체 국내중소기업사례 텔레칩스는국내휴대전화제조사에디지털이동방송수신반도체공급및다양한스마트폰모델들에탑재될방송수신용반도체개발 티엘아이는디지털회로설계및 Mixed 제품개발과아날로그회로설계연구를통해제품에최적화된우수한성능의아날로그 IP 개발성공 이엠따블유는근거리무선통신핵심소재 ' 페라이트시트 ' 를연구개발하여상용화 아모텍은 3차원구조시뮬레이션및등가회로해석을통한다양한고주파제품및다기능제품을평가및설계 기가레인 RF connector, RF test components, RF Adapter 제조및공급업체 라온텍은디지털, 아날로그고주파 (RF) 전문기업으로 DBM용칩이주력사업및신사업으로 4년간마이크로디스플레이를개발 [ 주요중소기업비교 ] ( 단위 : 백만원,%) 국내업체자산총계매출액 매출액증가율 영업이익율 당기순이익율 R&D 집중도 ( 주 ) 텔레칩스 114, , 티엘아이 121,347 72, ( 주 ) 이엠따블유 97,760 53, 아모텍 359, , 기가레인 152,639 70, ( 주 ) 라온텍 6,642 7,

176 기술개발테마현황분석 나. 주요기업기술개발동향 국내고주파반도체산업의경우국내외어려운환경속에서도기술개발에매진함 팹리스반도체업체에프싸아이는 LTE 스몰셀기지국용무선주파수트랜시버칩개발에성공함 ( ). 이트랜시버칩은전력증폭기 (PA) 를포함한통합칩형태로 700MHz부터 2.7GHz까지모든 LTE 주파수대역을지원하며칩두개를활용하면 LTE-A의주파수집성 (CA) 기술까지지원됨. 삼성은차세대 5G 무선통신에핵심역할을할통신칩개발에성공함. 개발에성공한 5G 무선통신용 RFIC 칩은 28GHz 대역을지원함. (2017.2) 국내기업들도 77GHz 레이더센서칩개발에나섬 LG이노텍은 77GHz 밀리미터파를이용해전방과측 / 후방의차량위치와거리, 속도를측정해주는레이더모듈을개발했고만도는 2015년 77GHz 고대역주파수를활용하는중장거리레이더센서제품상용화에성공했으며현대모비스도 77GHz 레이더센서를개발완료함 한국전자통신연구원은 IoT에서꼭필요한저전력장거리무선통신이가능한협대역사물인터넷 (NB-IoT) 기술을개발하고이를이용해국제표준기반단말시제품을제작했다고밝힘 (2017) NB-IoT 단말시험장비와호환성테스트검증이완료됨에따라칩제작전단계를성공적으로검증한셈으로앞으로 RF ( 무선통신 ) 칩 ( 파이칩스 ( 주 ) 에서설계 ) 과모뎀칩센서까지통합, SoC로초소형화할계획임. 한국전자통신연구원창업기업인뉴라텍은 1.5km이상장거리통신이가능한국제표준기반의저전력와이파이 (WiFi) 칩을개발글로벌시장에진출한다고밝힘. 개발된칩은국제표준 (IEEE ah) 에맞춘장거리, 저전력와이파이칩으로세계에서처음으로개발하여와이파이얼라이언스의상호운영성테스트를마치고최종제품의성능검증및개선작업을거쳐 2018년 6월상용칩셋을발표할예정임. 새로운칩셋은사물인터넷 (IoT) 서비스에최적화된기술도고속의데이터전송속도를유지하면서통신거리를대폭늘림. 15Mbps의전송속도를지원하면서전송가능거리는 1.5km나됨. 이기술의핵심은 1GHz 미만의비면허주파수대역을사용한것으로기존광대역와이파이주파수인 2.4~5GHz대역에비해멀리까지신호전송이가능함. 168

177 고주파반도체 4. 기술개발현황 가. 기술개발이슈 고주파반도체응용분야 고주파반도체의응용분야중가장큰응용처의하나는이동통신으로특히스마트폰이대부분의비중을차지함 - 스마트폰은이동통신칩셋, 무선랜칩셋, GPS 칩셋, 이동방송용칩셋등이모두탑재되어현재단일품목으론가장많은고주파반도체집적회로칩셋을내장하고있음. 앞으로는사물인터넷시대를맞아향후사물인터넷을연결하기위한기능까지포함한스마트폰이출시될것임. 자동차분야에서도고주파반도체의비중이더욱커지고있음 - 차량용레이더는머지않아차량에 6개이상이포함될것으로전망되며레이더시장은 2016년 2580만개에서 2021년에는 9200만개로확대될전망. 자율주행자동차는향후고주파반도체의가장중요한응용처중의하나가될전망 사물인터넷 (IoT) 통신에서는향후 2022년까지 29 billion의기기들이네트웍에연결될것으로예상되면서근거리 / 원거리저속 / 저전력통신 SoC의성장은폭발적일것으로예상됨 고주파반도체의대용량화, 다변화및복잡화 5G를탑재하게될이동통신고주파반도체는 3G/4G 등에대한 Backward Compatibility를지원해야할것으로예상됨. 그러므로다중대역, MIMO, CA 등의구조가지원이되어야함으로향후 5G 무선통신송수신이추가되면집적회로의규모가대용량화되면서상당히복잡한구조를갖게되어고밀도집적화기술과동작안정성이관건이될것으로전망됨. 5G에서는센티미터파 (3~30GHz), 밀리미터파 (30 ~ 300GHz) 의높은주파수대역에서수백 MHz 이상의광대역폭을이용해데이터를고속으로전송할수있어야함. 이를위해서고주파반도체칩의설계및제작을위해서는고난위도의소자모델링뿐만아니라회로설계부터패키징까지고주파수모델링을통한통합설계가기술적이슈가될전망임. 차량용레이다의할당주파수대역은 24GHz, 77GHz, 79GHz 등이며특히장거리용 RF 반도체는화합물반도체 (SiGe) 기반으로상용화됨. 이때문에 77GHz용 RF IC를제품화한업체는인피니언과프리스케일을이수한 NXP 반도체정도임. 후발업체들은 CMOS 기반레이더용 RF 반도체개발에열을올리고있음. 고주파반도체를위한 IoT의네트워크영역을좀더자세히살펴보면크게 1 근거리통신망, 2 저전력장거리통신기술, 그리고기존셀룰러네트워크등을사용하는방식으로나눌수있으며 LPWA 분야에서향후고성장이예상됨. 사물인터넷 (IoT) 를위한통신방식은현재 LoRa, LTE-M, NB-IoT, SigFox 등과무선랜의 ah/af등여러방식들이존재하며다변화되고있으며이러한시장에서살아남기위해서는정확한시장분석을통해초저전력의 SoC 반도체칩을경쟁우위로제작하는것이관건이될것임. 169

178 기술개발테마현황분석 나. 특허동향분석 분류요소기술설명 능동소자 수동소자 특화고주파 스위칭모드전력증폭기기술 고효율전력소자및공정기술 고선형설계기술 PAM (Power Amplifier Module) 기술 저잡음수신기설계기술 고선형송신기설계기술 밀리미터파대역트랜시버기술 인체음향통신기술 Digital RF SoC 기술 MEMS 기반 IC 기술 Filter/Duplexer Divider/Combiner Coupler Isolator/Circulator On-chip Antenna Wave embedded RF IC 기술 Wave embedded 설계기술 초소형 Tera Hertz 전자소자 Tera Hertz 센서기술 Tera Hertz 영상 SoC 기술 위성단말 SoC 기술 짧은 On 시간과긴 Off 시간을갖는펄스신호를사용하는전력증폭기로서 D 급증폭기에해당하며, D 급전력증폭기의장점은전력을소모하는시간동안만 On 상태로유지하여전체효율을높이는기술 고전력 / 고효율전력증폭기를개발하기위한전력소자설계기술과이를활용한반도체설계기술 피드포워드방식과디지털전치왜곡선형화방식, Doherty, LINC, EER, 바이어스적응제어등고선형성전력증폭기설계기술 출력전력, 효율, 선형성, 열방출이며, 특히소형화와신뢰성개선등휴대단말기의 PAM 에적용되는모듈설계 / 제작기술 수신기에들어가는저잡음증폭기의최소잡음지수를얻도록설계하는저잡음수신기설계기술 피드포워드방식과디지털전치왜곡선형화방식, Doherty, LINC, EER, 바이어스적응제어등고선형성전력증폭기설계기술 30~300GHz 인영역을밀리미터파주파수대역에서주로화합물공정인 GaAs, InP 계를이용한 HEMT, HBT 소자공정기술을이용한무선트랜시버설계 / 제작기술 인체를전송매질로하여음향신호를전송하는통신방식으로기존의전기신호를통신하는방식에비해손실이적고초음파음향신호에소리를전송할경우, 나만의오디오신호를복원할수있는기술 RF 부품의교환없이 S/W 로다양한 RF 를구현하기위한기반기술로서 RF 기능을점차적으로디지털화시키는기술 MEMS 공진기를포함하여 MEMES 기술을활용한 CMOS 집적회로와단일칩으로제작하거나이를칩단위로패키지하여단일모듈화한기술 Filter 는특정대역만을통과시키는부품으로통과대역이외의신호는차단시키는기능을하며, Duplexer 는필터두개를합쳐놓은형상으로 2 개의트랜시버로안테나를공용하여서로간섭없이신호를전송하는역할 입력된하나의신호의 power 나 voltage 를여러개로분리하거나 (Divider) 여러개의입력신호의 power 나 voltage 를하나로결합 (combiner) 하는장치 입력신호를여러개의경로로분배하여주는장치입력신호의방향성을주어서신호가한쪽으로만진행하고다른방향으로는진행하지못하게하는장치 신호를공기중으로방출하여전송하거나공기중에서들어오는신호를검출하는장치 반도체칩의배선방식을기존의유선방식에서무선방식으로변경하여 Tbps 급 Interconnect 를형성하는 RF IC 기술 반도체칩의배선방식을기존의유선방식에서무선방식으로변경하여 Tbps 급 Interconnect 를형성하는 IC 설계기술 전자소자를기반으로 Tera Hertz급의신호를발생하는초소형 Signal Source Tera Hertz 대역의전자파를감지할수있는전자소자기술 Tera Hertz 대역의전자파를발생하여물체의영상을감지및처리하는 SoC 기술 위성으로도잘하는전파를수신하여정보를처리하는기능을수행하는 IC 기술 170

179 고주파반도체 세부분야별특허동향 주요기술별국가별특허동향 고주파반도체의요소기술별주요국가별특허정보데이터입수하였으며, 최근 10 년간의 특허데이터를비교분석함 분류요소기술한국미국일본유럽계 스위칭모드전력증폭기기술 고효율전력소자및공정기술 고선형설계기술 PAM (Power Amplifier Module) 기술 능동소자 저잡음수신기설계기술 고선형송신기설계기술 밀리미터파대역트랜시버기술 인체음향통신기술 Digital RF SoC 기술 MEMS 기반 IC 기술 수동소자 Filter/Duplexer Divider/Combiner Coupler Isolator/Circulator On-chip Antenna 특화고주파 Wave embedded RF IC 기술 Wave embedded 설계기술초소형 Tera Hertz 전자소자 Tera Hertz 센서기술 Tera Hertz 영상 SoC 기술 위성단말 SoC 기술 합계 국가별요소기술별특허동향에서능동소자기술분야는미국이가장많은비중을차지하고있으며, 유럽이상대적으로적은출원량을보유하고있음, 수동소자기술분야는한국이가장많은특허출원비중을보이고있으며, 일본이상대적으로적은특허출원을나타내고있음 특화고주파기술분야는일본이가장많은비중을차지하고있으며, 미국과유럽이상대적으로적은 171

180 기술개발테마현황분석 출원량을나타내고있음 주요기술별출원인동향 세부분야 요소기술 기술집중도 주요출원인 국내특허동향 스위칭모드전력증폭기기술 고효율전력소자및공정기술 고선형설계기술 능동소자 PAM (Power Amplifier Module) 기술 저잡음수신기설계기술 고선형송신기설계기술 TOSHIBA NTT KYOCERA 공공연구기관중심고려대학교, 한국전자통신연구원등 밀리미터파대역트랜시버기술 인체음향통신기술 Digital RF SoC 기술 MEMS 기반 IC 기술 Filter/Duplexer 수동소자 Divider/Combiner Coupler Isolator/Circulator TOTO Mitsubishi Electric NTT 공공연구기관중심경북대학교, 국방과학연구소등 On-chip Antenna Wave embedded RF IC 기술 Wave embedded 설계기술 특화고주파 초소형 Tera Hertz 전자소자 Tera Hertz 센서기술 TOSHIBA Mitsubishi Electric KYOCERA 대기업중심 아모센스, 삼성전기, 삼성전자등 Tera Hertz 영상 SoC 기술 위성단말 SoC 기술 능동소자기술분야주요출원인동향 능동소자기술분야는 TOSHIAB 가가장많은특허를보유하고있으며그다음으로는 NTT, KYOCERA 등이많은특허를보유하고있는등일본회사들이주류를이루고있음 수동소자기술분야주요출원인동향 수동소자기술분야는 TOTO 가가장많은특허를보유하고있으며그다음으로는 Mitsubishi Electri, NTT 등이많은특허를보유하고있으며, 일본회사들이주류를이루고있음 172

181 고주파반도체 특화고주파기술분야주요출원인동향 특화고주파기술분야는 TOSHIBA 가가장많은특허를출원하고있으며, 그다음으로는 Mitsubishi Electri,, KYOCERA 등이많은특허를출원하고있는등일본회사들이주류를이루고있음 173

182 기술개발테마현황분석 고주파반도체분야의주요경쟁기술및공백기술 고주파반도체분야의주요경쟁기술은특화고주파기술분야이고, 상대적인공백기술은능동소자기술분야로나타남 특화고주파관련기술들이가장경쟁이치열한분야이고, 능동소자관련기술들이아직까지출원이활발하지않은공백기술분야로나타남 세부분야요소기술기술집중도 스위칭모드전력증폭기기술 고효율전력소자및공정기술 고선형설계기술 PAM (Power Amplifier Module) 기술 능동소자 저잡음수신기설계기술 고선형송신기설계기술 밀리미터파대역트랜시버기술 인체음향통신기술 Digital RF SoC 기술 MEMS 기반 IC 기술 Filter/Duplexer Divider/Combiner 수동소자 Coupler Isolator/Circulator On-chip Antenna Wave embedded RF IC 기술 Wave embedded 설계기술 특화고주파 초소형 Tera Hertz 전자소자 Tera Hertz 센서기술 Tera Hertz 영상 SoC 기술 위성단말 SoC 기술 : 50 건이상, : 30~49 건, : 20~29 건, : 10~19 건, : 10 건미만 174

183 고주파반도체 최신국내특허기술동향 분류 요소기술 최근핵심요소기술동향 스위칭모드전력증폭기기술 고효율전력소자및공정기술 고선형설계기술 PAM(Power Amplifier Module) 기술 능동소자 수동소자 특화고주파 저잡음수신기설계기술고선형송신기설계기술밀리미터파대역트랜시버기술인체음향통신기술 Digital RF SoC 기술 MEMS 기반 IC 기술 Filter/Duplexer Divider/Combiner Coupler Isolator/Circulator On-chip Antenna Wave embedded RF IC 기술 Wave embedded 설계기술초소형 Tera Hertz 전자소자 Tera Hertz 센서기술 Tera Hertz 영상 SoC 기술위성단말 SoC 기술 소자내구성및작동신뢰성향상고주파반도체기판기술 방열성능향상을위한고주파반도체소재기술 고전력, 저손실, 송수신간높은격리도특성무선통신시스템용수동소자기술 마이크로유전체세라믹스조성물등고주파수동소자소재기술 능동소자, 수동소자통합통신용증폭반도체설계기술 열전소자기반고주파반도체소자냉각기술 국내특허동향을살펴보면능동소자기술과수동소자기술은주로공공연구기관중심으로고주파소자소재를주로연구개발하고있으며, 특화고주파기술은대기업중심으로연구개발하고있는것으로나타남 상대적으로경쟁이치열한수동소자기술분야는공공연구기관중심으로경북대학교, 국방과학연구소등이고전력, 저손실, 송수신간높은격리도특성무선통신시스템용수동소자기술, 마이크로유전체세라믹스조성물등고주파수동소자소재기술등을연구개발하고있음 경쟁이가장치열한특화고주파기술분야는대기업중심으로삼성전기, 삼성전자등에서능동소자, 수동소자통합통신용증폭반도체설계기술, 열전소자기반고주파반도체소자냉각기술등을연구개발하고있는것으로나타남 175

184 기술개발테마현황분석 중소기업특허전략수립방향및시사점 고주파반도체분야의상대적인공백기술분야는수동소자관련기술로나타남 고주파반도체분야는차량용통신, 모바일통신등차세대통신시스템에유용하게적용될수있음 최종소자생산은대규모의장치투자가들어가는분야이기때문에중소기업의참여가어렵지만특정용도의소자를연구개발하여 OEM 방식으로생산 판매는가능한분야임 향후중소기업은상대적으로경쟁이치열하지않은능동소자관련기술을공공연구기관의기술을이전받거나공동으로연구개발하여제품화하는특허전략을수립하는것이바람직할것으로사료됨 특히능동소자관련기술은고려대학교, 한국전자통신연구원등과, 수동소자관련기술은경북대학교, 국방과학연구소등과기술도입또는공동으로연구개발을추진하는것을우선적으로고려해볼수있을것으로판단됨 176

185 고주파반도체 5. 연구개발네트워크 가. 연구개발기관 / 자원 (1) 연구개발기관 고주파반도체기술과관련된기수을연구하는주요연구개발기관은한국전자통신연구원, 전자부품연구원, 한국표준과학연구원등과그외기업들이있음 이들기관및기업들에서고주파반도체와관련된연구는주로 RFIC 기술, SoC 기술등에대한연구를진행하고있으며, 이를위한연구인프라를구추하고있음. [ 고주파반도체기술분야주요연구기관현황 ] 기관한국전자통신연구원 FCI( 주 ) 아이앤씨테크놀로지 ( 주 ) 알에프코어 ( 주 ) 라온텍 ( 주 ) 파이칩스 ( 주 ) 연구내용 휴대이동통신용 RFIC 기술개발무선랜 RFIC 기술개발 IoT 통신용 RFIC 기술개발 자동차용레이다집적회로기술개발 2G/3G/4G Transceiver 개발 Mobile TV SoC 개발 Digital TV Tuners 개발 Electronic Toll Collection SoCs 개발 Mobile TV Rx SoC for T-DMB/ISDB-T 개발 Amplifier, Active Phased Array for Radar & EW 개발 Micro display solution 개발 Mobile TV SoC 개발 Connectivity 개발 RFID SoC 개발 177

186 기술개발테마현황분석 나. 연구개발인력 고주파반도체기술분야는한국전자통신연구원, 전자부품연구원, 한국과학기술원등에서 주로연구개발을진행하고있음 [ 고주파반도체기술분야주요연구기관현황 ] 기관 부서 전자부품연구원 ICT 디바이스패키징연구센터 한국전자통신연구원 RF 융합부품연구실 한국과학기술연구원 차세대반도체연구소 다. 기술이전가능기술 한국전자통신연구원, Digital RF 기술 Mobile 커뮤니케이션, 무선통신에적용될기술로서, 스마트폰등첨단기기의 True Digital Convergence를위한강력한대안기술로서평가되며칩가격및단말경쟁력우위를갖는차세대융합단말기기응용확대가능 전자부품연구원, 고집적고주파반도체기술 기존 CMOS 기술과쉽게결합이가능한고성능의고주파용수동소자집적에관한기술로, 과거 CMOS 회로구자파적용시발생되었던수동소자의전기적손실문제점을획기적으로극복가능한기술 한국과학기술연구원, 스커미온기반차세대통신소자기술 스핀구조체스커미온을차세대초저전력, 초고주파통신소자에활용하는기술로, 미래고성능 전자기기의효율적통신을위한차세대소자개발을앞당길기술로평가받음 178

187 고주파반도체 6. 기술로드맵기획 가. 중소기업핵심요소기술 (1) 데이터기반요소기술발굴 [ 고주파반도체분야키워드클러스터링 ] [ 고주파반도체분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 RF semiconductor non-flat 4~5 1. Development, testing, and application of metallic TIMs for harsh environments and non-flat surfaces 2. Dual three-dimensional and RF semiconductor devices using local SOI 179

188 기술개발테마현황분석 클러스터 02 RF semiconductor amplifier 4~5 1. Selecting an optimal structure of artificial neural networks for characterizing RF semiconductor devices 2. Single-MOSFET DC thermal sensor for RF-amplifier central frequency extraction 클러스터 03 RF semiconductor RF application 4~5 1. Steps towards a novel cost efficient low weight LTCC packaging technology for high-end RF applications 2. The dawn of the new RF-HySIC semiconductor integrated circuits: An initiative for hybrid ICs consisting of Si and compound semiconductors 클러스터 04 RF semiconductor high power 8 1. High power integration for rf infrastructure power amplifiers 2. High-power RF semiconductor market to approach $1 billion by 2012 클러스터 05 RF semiconductor metallic 8 1. Metallic thermal interface material testing and selection for IC, power, and RF semiconductors 2. Metallic TIM testing and selection for harsh environment applications for GaN RF semiconductors 클러스터 06 RF semiconductor IC 8 1. Hardware trojan detection in Analog/RF integrated circuits 2. Modeling of diamond field-effect transistors for RF IC development 클러스터 07 RF semiconductor packaging 4~5 1. On combining alternate test with spatial correlation modeling in analog/rf ICs 2. Properly packaging RF semiconductors 클러스터 08 RF semiconductor fabrication 7~8 1. RF SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF 2. RF semiconductor devices and methods for fabricating the same 클러스터 09 RF semiconductor HySIC 7~8 1. The dawn of the new RF-HySIC semiconductor integrated circuits: An initiative for hybrid ICs consisting of Si and compound semiconductors 2. The impact of on-chip interconnections on CMOS RF integrated circuits 클러스터 10 RF semiconductor 5~6 1. Selecting an optimal structure of artificial neural networks for characterizing RF semiconductor devices 2. Metallic TIM testing and selection for harsh environment applications for GaN RF semiconductors 180

189 고주파반도체 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로 로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여 최종요소기술명확정 [ 고주파반도체분야요소기술도출 ] 분류요소기술출처 집적회로검증플랫폼기술 특허 / 논문클러스터링, 전문가추천 플랫폼개발 사물인터넷을위한다중접속통신플랫폼기술 특허 / 논문클러스터링 상용 SoC 개발 저전력집적회로설계기술 저전력집적회로규격및구조기술 저전력 SoC 고도화및상용화기술 저전력집적회로규격및구조기술 저전력집적회로규격및구조기술 특허 / 논문클러스터링, 전문가추천 181

190 기술개발테마현황분석 (3) 핵심요소기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심요소기술선정위원회를통하여 중소기업에적합한핵심요소기술선정 핵심요소기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업 적합성 (10) 을고려하여평가 [ 고주파반도체분야요소기술도출 ] 분류핵심요소기술개요 집적회로검증플랫폼기술 집적회로설계및검증위한플랫폼기술 플랫폼개발 사물인터넷을위한다중접속통신플랫폼기술 IoT 를위한다중접속통신플랫폼개발기술 저전력집적회로설계기술 저전력디바이스개발을위한집적회로설계기술 상용 SoC 개발 저전력집적회로규격및구조기술 저전력디바이스개발을위한규격및구조기술 저전력 SoC 고도화및상용화기술 저젼력디바이스개발을위한상용화기술 182

191 고주파반도체 나. 고주파반도체기술로드맵 최종중소기업기술로드맵은기술 / 시장니즈, 연차별개발계획, 최종목표등을제시함으로써 중소기업의기술개발방향성을제시 183

192 기술개발테마현황분석 다. 연구개발목표설정 로드맵기획절차는산 학 연전문가로구성된로드맵기획위원회를통해선정된 핵심요소기술을대상으로기술요구사항, 연차별개발목표, 최종목표를도출 [ 고주파반도체기술분야핵심요소기술연구목표 ] 분류 핵심요소기술 기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 플랫폼기술 집적회로검증플랫폼기술 사물인터넷을위한다중접속통신플랫폼기술 완성률 (%) 80% 이상 99% 이상 완성률 (%) 30% 이상 70% 이상 99% 이상 집적회로검증플랫폼기술개발 사물인터넷을위한다중접속통신플랫폼기술개발 저전력집적회로규격및구조기술 완성률 (%) 90% 이상 99% 이상 저전력집적회로규격및구조개발 상용 SoC 개발기술 저전력집적회로설계기술 완성률 (%) 80% 이상 99% 이상 저전력집적회로설계기술개발 저전력 SoC 고도화및상용화기술 완성률 (%) 80% 이상 99% 이상 저전력 SoC 개발 184

193 기술개발테마현황분석 광학부품및기기

194

195 광학부품및기기 정의및범위 렌즈를통해들어온이미지를디지털신호로변환시키는부품으로모바일기기, 스마트가전및 IoT 등에서사진, 동영상촬영, 사물인식등의용도에활용되는모듈및이를구성하는부품 카메라모듈은드론, 웨어러블디바이스, 스마트폰, ADAS*, 보안, 의료등에적용되는 CCM** 을지칭하며, 모듈을구성하는이미지센서와렌즈모듈, IR-filter, Package등의개발및양산기술포함정부지원정책 과학기술전략회의통해 9 개의국가전략프로젝트선정 ( ) 국가전략프로젝트중 4 개분야인자율차, 스마트시티, 가상증현실, 정밀의료에서는카메라모듈의 사용이필수적인부품임 중소기업시장대응전략 강점 (Strength) 국내카메라폰산업의발달로인한카메라모듈기술노하우축척으로인한글로벌시장선점 카메라모듈관련대부분의기술이국산화 광학설계및제조기술을갖춘인력확대 광학금형및렌즈생산인프라확보기회 (Opportunity) 드론, 웨어러블디바이스에적용되는새로운전방시장출현 미국에서는후방카메라, 블랙박스등자동차안전규제를위한법제화추진 ADAS, AVM, AR HUD, PGS 등과같이자동차의뷰기능에서센싱기능으로확대적용 CCTV의의무설치법안으로수요증가전망 IoT와결합한다양한산업으로신규카메라적용영역확대 약점 (Weakness) 자동화검사장비도입미흡으로생산력차질 상대적으로높은인건비 광학소재수입의존 액추에이터, IR 필터등은업체수가상대적으로제한적 화소수및기술방식은대기업이결정위협 (Threat) 비교적낮은진입장벽으로기업간경쟁및중국기업의추격 자동화장비도입으로인한생산단가상승 다수생산자로인한불명확한책임소재에대한우려 중소기업의시장대응전략 중소기업이제조하는카메라모듈및부품성능과품질향상에따라가격경쟁력을통한글로벌시장선점 새로운렌즈생산방식및새로운 OIS 기술등과같은신기술을적용한글로벌경쟁력강화

196 핵심요소기술로드맵

197 광학부품및기기 1. 개요 가. 정의및필요성 렌즈를통해들어온이미지를디지털신호로변환시키는모듈및이를구성하는부품 카메라모듈은크게이미지센서 (Image Sensor) 와렌즈모듈 (Lens Module), IR-Filter, Package 등으로구성됨 이미지센서 (Image Sensor) 는빛을받아서전기신호로전환하는소자로서동작과제작방법에따라다시 CCD(Charge Coupled Device) 센서와 CMOS(Complementary Metal Oxide Semi-Conductor) 로분류 렌즈모듈 (Lens Module) 은유리와같은투명한재질을구면이나비구면으로만들어서사물에서오는빛을모으거나발산시키면서광학적인상을맺게하는것. 일반적으로플라스틱이나유리렌즈를사용 IR-Filter는올바른색의재현을위하여가시광선이와의빛을걸러내는기능을하고 Package는반도체및각종전자기기등을최종제품화시키는공정에사용하며 COB, COF, CSP등이있음 [ 카메라모듈의구조 ] 전세계 IT 산업과대한민국성장을이끌어오던스마트폰시장은본격적으로성숙기에 진입하여스마트폰판매는둔화되고스마트폰의평균판매가격의둔화및심화되었지만 카메라모듈산업지속적으로성장하고있음 카메라모듈산업시장은 2020년까지 16.8% 의연평균성장률 (CAGR) 을보이며 510억 USD(58.7조원 ) 으로성장할전망임. 세부적으로는카메라모듈조립및 AF(Auto Focusing)&OIS(Optical Image Stabilizer) 가 20% 이상씩증가할것으로기대됨. 어플리케이션별로보면, 자동차와산업용카메라모듈시장의확대가기대됨 189

198 기술개발테마현황분석 카메라모듈의성장은고화소화, 고기능화, 다기능화, 다양화가성장동력이될것으로예상됨 스마트폰의전면후면카메라모듈이고소화되고있음 AF&OIS 등화질개선을위한부품이추가될전망 듀얼카메라등새로운기능이채택될것으로전망 자동차 / 드론 /AR/VR 등새로운기기의카메라모듈장착이늘어날것으로기대 카메라모듈은 IoT(Internet of Things) 시대에핵심센서가될전망이어서활용가능성은 더욱확대될것으로예상 출처 : IRS 글로벌 2015 [ 카메라모듈의적용범위 ] 190

199 광학부품및기기 나. 범위및분류 (1) 제품분류관점 카메라모듈은사진및동영상촬영시영상신호를전기신호로변환시켜주는기능을수행하는 IT 기기의필수부품이며이미지센서, 렌즈모듈, AF 액츄에이터, 경통, IR 필터, FPCB, 커넥터등으로구성되어있음 이미지센서 (Image Sensor) 이미지센서는피사체정보를빛의형태로읽어전기적인영상신호로변환해주는장치임. 즉, 빛에너지를전기적에너지로변환해영상으로만드는데, 카메라의필름과같은역할을함 이미지센서는응용방식과제조공정에따라 CCD 이미지센서와 CMOS 이미지센서로분류할수있음 카메라모듈을기본으로채택하는 카메라폰 의폭발적인수요증가에따라 CMOS 이미지센서는성장해왔으며, 초기카메라폰에는 CCD 이미지센서가채택되는경우도많았으나, CMOS 이미지센서의가격적우위, 저전력소모, 고집적화의이점을가지고상대적으로약점으로꼽히던 Noize 저감의기술적인문제가해결되면서대부분의카메라폰에 CMOS 이미지센서가채택되게되었음 카메라모듈부품중이미지센서는중소중견기업의영역은아니나채택하는센서에따라다른부품에중대한영향을줄수있는부품임 렌즈모듈 (Lens Module) 스마트폰카메라용렌즈는카메라모듈을구성하는부품으로일반적으로단품렌즈가아닌경통에각각의특성을가진몇장의렌즈가조립된모듈형태로공급되고있음 IT기기용카메라렌즈는곡률반경이일정한구면렌즈와주변부로갈수록곡률반경이늘어나는비구면렌즈로구분됨 렌즈의중심부와주변부에서맺는초점의위치가달라지는구면수차 ( 초점오류 ) 를극복하기위해구면렌즈를여러장사용할수있으나비구면렌즈가적은수의렌즈로도구면수차극복이가능하고초점의흐려짐과색분산이적으며주변부의시야흐림이없기때문에비구면렌즈가더많이사용됨 렌즈의재질에따른구분을해보면유리와플라스틱렌즈로나뉨. 유리렌즈의강도와선명도가더좋고플라스틱렌즈의초기투자비용이많이들어가지만대량생산을통한원가절감이가능하고유리렌즈보다더얇고가볍기때문에플라스틱렌즈가 IT기기용카메라모듈에주로사용되고있음. 하지만, 야외에서장시간노출되어있는카메라모듈인경우유리렌즈를사용하고있음 렌즈생산의핵심요소기술은광학설계기술, 금형가공기술, 조립생산기술, 광학검사기술등으로나뉠수있음. 2000년대이전에는일본업체들이주로비구면유리 플라스틱렌즈를공급했으나현재는대부분국내업체들이자체설계를통해생산하고있음 렌즈모듈의렌즈수량은화소별로다르나일반적으로 5MP 4개, 8MP 4~5개, 13MP 5개, 16MP 6개의렌즈로모듈을구성하며화소수가올라갈수록렌즈갯수를증가시켜구면수차를개선시킴. 이에따라높은화소일수록렌즈모듈생산난이도가높고생산수율을안정화시키는것이어려워짐 191

200 기술개발테마현황분석 AF액츄에이터 (Auto Focus) + OIS(Optical Image Stabilizer) AF액츄에이터 ( 이하 AFA) 는카메라모듈을구성하는부품으로써촬영시피사체를확대하거나축소하여선명하게나오도록렌즈의위치를최적초점위치에이송시켜주는자동초점구동장치임 최근IT기기들의고성능화에따라카메라모듈의화소수나기능이디지털카메라수준으로높아짐에따라 AFA의채용률이증가하고있음. 특히고화소카메라폰에 AFA 탑재가빠르게증가하고있으며 OIS( 손떨림방지 ) 기능이추가된 AFA를주요스마트폰제조사에서하이엔드스마트폰에적용하기시작했음 AFA는크게 VCM(Voice Coil Motor), 엔코더 (Encoder), 피에조 (Piezo) 방식으로나뉨. VCM 방식은 Coil과전자석을통해렌즈의상하움직임을유도하며전류로제어를함. 엔코더방식은위치센서 (Hall sensor) 를통해렌즈의위치를파악하여정밀한제어가가능함. 피에조방식은압전체에전류를흘렸을때발생하는상태변화를이용해고정자와회전자의마찰력을통해렌즈를구동함 10MP 이상으로화소수가증가하면기존 VCM 방식으론구현이불가능하다는우려가있었으나최근 13MP, 16MP 카메라에적용되는 AFA는여전히 VCM 방식으로생산되고있음. 다만 VCM 방식과엔코더방식의장점을결합하여 VCM에자기스프링과볼을적용한새로운 VCM 방식으로생산되고있음 카메라모듈의용도에따라고화소화가진행될수록 AF 기능탑재유무에따라이미지품질의차이가크게나타나므로 AFA 탑재율은지속적으로증가할것으로예상되고특히하이엔드스마트폰에는 OIS 기능이적용된 AFA 장착이빠르게확대될것으로예상됨 블루필터 (Blue Filter) 카메라모듈화소수가늘어날수록이미지센서픽셀크기는작아지고빛흡수량도떨어짐. 이때사진에는색감이짙어지는광학적왜곡도발생하기쉬움. 사진에파란색감을없애주고전반적인광학특성을높이는소재가바로블루필터임 8MP 이상카메라모듈에는광량을높이기위해전면조사형 (FSI, Front Side Illumination) 이미지센서대신후면조사형 (BSI, Back Side Illumination) 이쓰임. BSI 이미지센서는많은빛을받아들이지만, 사진에푸른빛깔이생기는광학적왜곡이발생하고이를해결하기위해사용함 최근블루필터의중요성은점점커지고있고유리형에이어필름형블루필터가주목받고있음. 필름형은 0.1mm 두께로기존유리형보다절반이상얇음. 내구성도뛰어나최근스마트폰을시작으로주요 IT기기용카메라모듈에채용되어있음 블루필터의생산공정은 1 Blue Glass 조성설계 2Glass 용융설계 3Glass 정밀가공 4IR Cut/AR 증착 5Filter Chip 가공으로이루어짐 카메라모듈의기술적인트랜드에있어다양한어플리케이션으로의적용이빠르게확대되어있음. 최근에는스마트폰에적용되는듀얼카메라뿐만아니라헬리켐, 액션캠, 웨어러블디바이스, 자동차등의새로운분야로의시장확대도주목받고있음 카메라모듈분야중가장두드러지는분야는휴대폰분야임. 카메라폰은 2000 년대초반에개발되어시장에처음으로출시되었으며최근기술트랜드는고화소 / 고기능화외에도카메라모듈의박형화및듀얼카메라기술이개발되고있음 192

201 광학부품및기기 드론과카메라를결합하여통신기술까지접목되어실시간중계도가능한헬리캠보급의확산뿐만아니라로봇용인공눈에도적용되고있음. 드론에적용되고있는기술트렌드는촬용중떨림을잡아줄수있는 OIS, 짐벌외에도 AF와줌용액츄에터성능개선기술이개발되고있음 자동차분야에적용되는카메라모듈은초기에는고급차량을대상으로하는후방감시카메라용으로일부보급되던형태에서운전이미숙하거나위험을대비한중소형차량에서의전 / 후방감시카메라를채용하는형태를넘어, 이제는사방측면을보여주는어라운드뷰모니터, 차선이탈방지시스템, 운전자감시시스템기술들이개발되고있음 최근이슈가되고있는스마트안경을필두로한웨어러블스마트디바이스에는 AR(Augmented Reality, 증강현실 ) 적용을위한객체인식용카메라모듈과제스쳐를인식할수있는적외선카메라모듈들이저전력화기술들과어우러져개발되고있음 [ 공급망관점기술범위 ] 대분야중분야기술트렌드 스마트폰 고화소화, 박형, 듀얼카메라, 등 카메라 모듈 드론 자동차 OIS, 짐벌, 고화소화등 ADAS, DMS, 적외선, 광각등 웨어러블디바이스 적외선 ( 제스쳐인식 ), 소형화, 저전력화등 193

202 기술개발테마현황분석 2. 외부환경분석 가. 산업환경분석 (1) 산업의특징 카메라모듈산업은이미기존업체들의경쟁이치열한분야이며, 관련시장도이미시장점유율경쟁이완성되어가는상태로보임. 최근국내외주요시장이었던휴대폰시장의성장정체에따른관련기업들의실적또한조정이이루어지기도했음. 따라서향후카메라부품산업및모듈산업에서의고객다변화및사업다각화를구현될전망 카메라모듈과렌즈산업은비교적낮은진입장벽으로많은업체들이경쟁하고있으며, 물량 확보를통한규모의경제가중요한경쟁요소로작용함. 한편, 액츄에이터, IR 필터등은 업체수가상대적으로제한적인편이며화소수및기술방식이경쟁력으로작용하는산업임 최근전면카메라, 듀얼카메라확대등으로렌즈수요가증가할것으로보이지만, 가격경쟁력을갖추기위해수율과생산력을확보가중요함. 따라서생산력확보를위해국내기업들은생산설비증설을하고있으며, 가격경쟁력을갖추기위해해외로사업장을확대하고있는추세임. 또한휴대폰용렌즈모듈에집중하고있던관련국내기업들은자동차용카메라렌즈모듈사업으로도진출할뿐만아니라다양한산업으로진출하고있어중장기적성장이가능할것으로판단됨 중저가보급형스마트폰의전면카메라확대와급성장하고있는자동차용카메라시장의확대로시설및기술투자가이루어지고있는중이며, CCTV 설치의무화및시설보안의중요성이커지면서적외선카메라모듈산업과보안용카메라모듈산업의확대가기대됨 카메라모듈검사장비산업은휴대폰용카메라모듈시장의변화 ( 고화소화, 신규기능추가 등 ) 와더불어산업간융합추세에따른비휴대폰용이외의자동차, 의료기기, CCTV, 드론, 웨어러블등다양한분야의카메라모듈시장확보로당분간지속적인성장이전망됨 194

203 광학부품및기기 (2) 산업의구조 전방및후방모두에산업파급효과가큰수준이며, 국내카메라모듈기술은글로벌선도를하고있는단계로, 국내외대기업에서생산하는이미지센서를제외한나머지부품산업분야에서는국내기업이시장이선점을하고있고중국및대만기업으로부터추격을받고있는형태 [ 카메라모듈분야산업구조 ] 후방산업 카메라모듈산업 전방산업 자동차, 스마트폰, 가전제품, 소재, 생산장비, 검사장비 렌즈모듈, IR-Filter, Package, 의료장비, 웨어러블디바이스, AF액츄에이터교육, 완구, IoT, 국방, 산업용 기계, 보안 전방산업은 IoT가적용될수있는모든산업에적용이가능하며, 최근이슈가되고있는자동차, 스마트기능이융합된가전기기, 의료장비, 웨어러블디바이스, 교육, 완구, 국방, 산업용기계분야등이존재 2016년기준카메라모듈산업의산업별적용비중은휴대폰 30%, 테블릿및 PC 카메라 12%, 자동차 4%, 보안 11%, 의료 13%, 독립카메라 27%, 기타로 3% 로의비중을차지고하고있음. 향후 IoT기술의발전으로더많은분야에적용될전망임 후방산업은렌즈의소재와카메라모듈부품을생산할수있는생산장비및검사장비 산업분야가존재 * 자료 : IC Insight [ 카메라모듈적용별비중 ] 195

204 기술개발테마현황분석 시장조사업체리서치인차이나에따르면, 2013 년스마트폰과태블리 PC 등모바일기기에 들어가는카메라모듈시장에서국내기업의점유율 ( 매출액기준 ) 이사상최초로절반을넘음 LG 이노텍이 23 억 4,000 만달러 16.8% 의점유율을기록해 3 년연속 1 위를차지했으며, 삼성전기가 18 억 9,000 만달러 (13.8%) 로뒤를이었음 이외에도코웰전자 (5.6%) 와파트론 *5.1%) 등도상위 10 개기업에이름을올렸으며, 20 위권에는캠시스, 엠씨넥스, 파워로직스등도포함됐음 이들한국업체의점유율합계는 50.2% 로 2012 년 (40.9%) 보다 9.3% 포인트상승하면서 처음으로 50% 를넘었음 한국다음으로대만 (18.8%), 중국 (9.8%), 일본 (9.5%) 순으로나타났음. 특히중국은 2012 년 6.7% 에서 9.8% 로 3.1% 포인트상승해일본을제쳤음 한편, 2013 년전세계카메라모듈 (CMOS) 매출액은 137 억 1,000 만달러를기록했으며, 리서친차이나는본자료발표당시 ( 월 ) 에 2014 년연간실적을전년대비 6.7% 증가한 146 억 3,400 만달러규모로전망했음 이어 2015 년 155 억 1,800 만달러, 2016 년 160 억 6,600 만달러로늘어날것으로 리서치인차이나는예상했음 한편, 1 위 LG 이노텍은카메라모듈생산 10 년만에누적판매 10 억개를돌파했음 년 5 월말까지약 10 억 4,000 만개를판매했음. 동사는 2005 년카메라모듈첫출하후 2013 년초누적판매 5 억개를기록한데이어 2 년만에 5 억개를추가했음 LG 이노텍은 2014 년에만카메라모듈 2 억 4,000 만개를판매했고, 이는하루에 66 만개를 판매한것임. 동사카메라모듈제품별비중은 2015 년 1 분기기준 800 만화소급이 81% 를 차지하고있으며, 1,300 만화소이상의고화소제품비중은 8% 임 LG 이노텍은 2014 년에만카메라모듈 2 억 4,000 만개를판매했고, 이는하루에 66 만개를 판매한것임. 동사카메라모듈제품별비중은 2015 년 1 분기기준 800 만화소급이 81% 를 차지하고있으며, 1,300 만화소이상의고화소제품비중은 8% 임 카메라모듈은앞서기술한분야이외에도다양한분야에적용되고있지만, 현재카메라폰 분야가차지하는비중이전체시장대비 41% 로압도적인것으로나타나고있음 한국투자증권자료에따르면, 스마트폰부품제조원가중카메라가차지하는비중은 고가 중가모델과저가모델의비중이다소차이가있지만, 각각 6.8% 와 6.0% 를차지하는 196

205 광학부품및기기 것으로나타남 고가모델에서는카메라제조원가는후방카메라 (8MP) 가 9달러, 전방카메라 (2MP) 가 3.5달러, 총 12.5달러로, 총고가모델제조원가인 달러대비 6.8% 를차지하고있는것으로나타남 중가모델에서의카메라제조원가는후방카메라 (8MP) 가 7달러, 전방카메라 (VGA) 가 1달러, 총 8달러로, 총중가모델제조원가인 달러대비 6.8% 를차지하고있는것으로나타남 저가모델에서는전방카메라가없으며후방카메라 (2MP) 가 3.5달러, 총저가모델제조원가인 58.23달러대비 6.0% 를차지하고있는것으로나타남 카메라모듈의각부품원가는이미지센서 50%, 렌즈 16%, PCB/FPCB 16%, IR 필터 6%, 액츄에이터 5% 등으로구성됨. 카메라모듈산업은기반이어느정도갖추어진산업으로써 부품업체간경쟁이치열하고중국, 대만의기업들로부터추격을받아오고있음 * 자료 : SK 증권 [ 카메라모듈적용별비중 ] 197

206 기술개발테마현황분석 카메라모듈의전방산업은소재, 생산장비, 검사장비등이있으며, 카메라모듈광학계의 소재산업은일본을비롯한미국, 독일이우세하며, 대부분국내기업들은수입에의존하고 있는실정임 광학렌즈및이를생산할수금형의초정밀가공이나광학코팅장비등관련생산장비산업또한일본을비롯한미국, 독일이우세하며최근국내기업인대호테크에서유리렌즈를성형할수있는 GMP(Glass Molding Press) 를생산하여렌즈를생산하는국내외업체에공급하고있음 카메라모듈검사장비는시장에서점차적으로인건비상승과자동화시스템에대한니즈증가로인해 Semi-Auto 장비에서 Full-Auto 장비라인으로변화되어가는추세이며, 카메라모듈의자동화검사장비를만들기위한핵심요소기술은영상처리보드개발기술, 영상처리소프트웨어개발기술, 자동화장비에대한설계기술등이며, 국내기업이선전을하고있는실정 전방산업으로써는, 웨어러블스마트폰, 디바이스 (AR/VR), 자동차, 가전제품, 의료장비, 교육, 완구, IoT, 국방, 산업용기계, 보안등이있으며. 각산업별 IoT와의융합으로인해카메라모듈의사용은선택이아닌필수로자리잡고있음. 각각의산업에서카메라모듈의개발은카메라모듈전문기업에전적으로의존하고있는실정이며, 카메라모듈생산전문기업들의치열한경쟁이예고됨 스마트폰 듀얼카메라시장이급격히성장할것으로보이며, 듀얼카메라탑재에따른카메라모듈의 대당탑재수증가 (1.8 개 2.8 개 ) 로 2019 년까지글로벌핸드셋카메라모듈수요가 59.4 억개로확대될것으로예상함 (2015~19 년 CAGR 13.0%) 차량용카메라모듈 향후차량용이카메라모듈시장의성장을견인할것으로예상되며, 차량용카메라모듈은모바일카메라모듈대비 3~10배가량비싸기때문에자동차에카메라모듈이적극적으로탑재된다면모바일카메라모듈시장을넘어설것으로전망함. 궁극적으로첨단운전자보조시스템및자율주행관련의주요센서를카메라모듈이담당할것으로예측됨 198

207 광학부품및기기 드론 /IoT 드론용카메라시장은아직항공, 익스트림제품업체등이선점하고있지만, 모바일을통한 카메라모듈기술력축적을고려시국내업체들의드론시장진입은용이할것으로판단됨 또한 IoT 시장의기대성이카메라모듈수요증가에매우긍정적요인됨. 이들의주요사업 모델은전용센서카메라를설치해외부침입시스마트폰등으로통지하고보안업체의출동 서비스를제공하는것임 웨어러블 /CCTV 아직은웨어러블기기에카메라가탑재되는비중은미미하지만향후 HMD 기기및스마트워치를통해생활기록을남기고싶어하는니즈가커질것으로전망됨. CCTV도아직가격측면에서개선될여지가많지만네트워크화, 디지털화등으로기술력이빠르게전환되면서고해상도제품에대한수요가증가하고있음 * 자료 : IHS [ 카메라모듈분야별이미지센서소비량전망및이미지센서 CAGR 성장률 ] 199

208 기술개발테마현황분석 나. 시장환경분석 (1) 세계시장 2016 년광학부품및기기의세계시장규모는 4,223 억달러이며, 2021 년 1 조 533 억 달러에이를것으로전망 [ 광학부품및기기분야의세계시장규모및전망 ] ( 단위 : 백만달러, %) 구분 CAGR 세계시장 422, , , , ,803 1,053, * 출처 : Optech Consulting, 2013, <Perspectives of Laser Processing>, KISTI 재구성 어플리케이션별로보면, 자동차와산업용카메라모듈시장의확대가예상됨. 생산부터 장착되는차량내부용제품과블랙박스등에프터마켓 (After Market) 시장모두가 확대되면서연평균 21.6% 성장할전망임 CCTV 등보안 (20.6%), 드론장착용카메라 (24.0%) 등도평균성장률을넘을것으로 기대됨. 스마트폰과태블릿 PC 의성장률은무선기기의전체성장률인 4.3% 에는미치지 못하지만지속적인시장확대가될것임 * 자료 : Yole [ 소형카메라모듈시장부문별규모및성장률 ] 시장조사기관인 TSR 에따르면듀얼카메라시장규모는 2018 년 4.3 억대로성장해전체 스마트폰시장의 20.5% 에이를전망 200

209 광학부품및기기 * 자료 : 교보증권리서치센터 [ 듀얼카메라시장 ] 시장조사업체인야노경제연구소의경우 ADAS용부품시장이 2014년 2,629억엔 ( 약 2.4조원 ) 에서 2020년까지연평균 25% 성장하며 9,038억엔 ( 약 8.1조원 ) 에달할것으로전망하고있음. 특히센서부품별로는카메라가연평균 37% 로가장높은성장세를나타내며 2020년 3,347억엔 ( 약 3조원 ) 까지시장규모가확대되며카메라센서가 ADAS용부품시장의성장을견인할것으로예측하고있음 * 자료 : 교보증권리서치센터 [ 차량용이미지센서시장전망과차량용카메라용도별점유율전망 ] 드론시장에서카메라모듈은선택이아닌필수가되고있음. 미국방산컨설팅업체인 Teal Group은세계드론시장이 2014~23년연평균 10% 이상성장해 2023년에규모가 125억달러에달할것으로보고있음. 다른조사업체인 BI 인텔리전스는민간드론시장규모가지난해 5억달러에서 2023년 22억달러로연평균 20% 이상성장을전망하고있음. 다만향후민간드론의상용화속도에는각국의규제여부가큰영향을미칠것으로보임 201

210 기술개발테마현황분석 * 자료 : 교보증권리서치센터 [ 세계드론시장추이와전망및민간용드론시장규모전망 ] 현재생체인식의대중화를이끌고있는비광학지문인식이향후에는홍채, 얼굴, 정맥인식등광학기술이필요한생체인식시장으로빠르게전환될것으로기대되기때문에생체인식시장성장에따라카메라모듈시장확대도가능할전망임. 미국의시장조사기관 AMI에따르면 2020년이되면모든스마트폰이생체인식기능을갖출것이며이시장의규모는연평균 67% 씩성장해 4년후 346억달러에이를것으로전망 * 자료 : AMI [ 글로벌생체인식시장전망 ] 웨어러블기기시장은지속적인성장추세를보일것으로전망되고있음. 시장조사기관에따르면웨어러블기기시장규모는올해 1.01억대에서 2019년 1.56억대로연평균 15% 가량성장할전망. 웨어러블기기는이제얼리어댑터만사용하는단계에서많은사람들이관심을보이는단계로진입하는것으로보임. 대부분의웨어러블기기들이팔찌형태의건강기록측정 ( 센서중심 ) 에편중돼차별화가적고킬러콘텐츠가부족하다는점은여전히문제점으로남아있음 202

211 광학부품및기기 * 자료 : 교보증권리서치 [ 글로벌웨어러블기기판매추이와전망 ] (2) 국내시장 국내카메라모듈시장의동향은세계스마트폰시장성장률둔화에따라신사업진출에보다많은자원을배분한것으로판단됨. 그간안정적인실적을바탕으로지속적인신기술개발을했던카메라모듈업체들의경우점차신제품에서가시적인성과를나타내기시작할것으로예측 [ 광학부품및기기분야의국내시장규모및전망 ] ( 단위 : 억원, %) 구분 CAGR 국내시장 52,766 63,993 77,431 93, , , * 출처 : LED 및광 IT 전략기술로드맵 ( 지식경제부, 2009), 2012 한국광산업진흥회및 KISTI STrategies Unlimited/GBI Research 2011 을바탕으로추정 과거스마트폰시장성장세둔화에도과거전면카메라탑재에따라대당모듈수가두배로증가했던것과같이듀얼카메라의출시로국내시장에서탑재카메라모듈수의지속적증가는확실해보임. 또한차량부문에서도국내자동차메이커에서카메라모듈수요증가의가시성은매우높은편임. 후방카메라모듈이적극적으로탑재되고있고, 일본에이어국내역시사이드미러의무장착규제가철폐되며사이드카메라탑재가확대될것으로예상되기때문임 또한카메라가 4 개이상탑재된 AVM (Around View Monitoring) 이기존고가차량에서 중저가차량으로확대적용될가능성이높고, 향후자율주행기술에도다수의센서카메라 모듈탑재가확실시됨. 또한현실로다가오고있는 AR/VR 관련기기, 드론, 로봇에도 203

212 기술개발테마현황분석 사람의눈의역할은카메라모듈로할수밖에없음 일부국내스마트폰카메라모듈업체들은수년전부터카메라의적용확대를대비하여수익의일정부분이상을 R&D 비용으로지출하여, 최근들어가시적인성과를보이는업체들도나타나고있음 최근카메라모듈의후발업체인나무가의 3D 센서모듈은 IT 기술의진화방향및적용 Application 의무궁무진함으로주목을받고있음. 국내카메라모듈업체들은현재타어플리케이션에대한공격적인연구개발을진행중에있음 (3) 무역현황 광학부품및기기관련품목의무역현황을살펴보았으며, 수출량에비하여수입량이급격히감소하는추세 최근 5년 ( 12~ 16 년 ) 간연평균성장률을살펴보면수출금액은 5.8% 로증가하였으며, 수입금액은 1.1% 로감소한것으로나타남 무역특화지수는 12 년 (-0.09) 부터 16 년 (0.02) 까지증가한것으로나타나점차수출특화상태로 국내기업의수출량이증가하고있는것으로나타났으며, 국내의광학부품및기기의 해외시장진출이활발하게이루어지고있는것으로분석 [ 광학부품및기기관련무역현황 ] ( 단위 : 천달러, %) 구분 CAGR 수출금액 2,188,294 2,455,079 2,420,249 2,466,238 2,609, % 수입금액 2,644,041 2,821,361 2,733,275 2,545,691 2,517, % 무역수지 -455, , ,026-79, 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 204

213 광학부품및기기 다. 기술환경분석 스마트폰이급속도로확산되고고사양화되면서스마트폰카메라가기존의디지털카메라를빠르게대체하였기때문에, 지금까지카메라모듈성장을이끌어온것은스마트폰시장임에분명함. 스마트폰에서확보된카메라모듈기술이타산업으로빠르게확대될가능성이커지고있으며, 카메라모듈공정기술과개발력, 축적된노하우를바탕으로향후비모바일분야인 TV, 냉장고, 에어컨, 청소기등의가전제품, 자동차, 감시카메라, 의료기기용카메라등이새로운성장동력이될전망임 사물을인지하는여러방식중카메라모듈이눈역할을할수있다는점은향후적용 범위가매우넓다는것을뜻하고, 카메라모듈기술개발의다양성이매우넓다는것을뜻함 고화소화 2000 년전후카메라가장착된휴대폰 (1999 년 5 월교세라 VP-210, 2000 년 7 월삼성전자 SCHV200) 이나온이후, 최근까지휴대폰에탑재되는카메라의사양은계속해서상향되고 있음 카메라폰초기모델만하더라도휴대폰으로 35 만화소에 20 장이나촬영이가능하다며 이슈가되었었고, 2003 년하반기에는휴대폰업체들간 Mega( 백만화소 ) 급카메라폰의 최초 출시 타이틀을거머쥐기위한경쟁이있었음 2010년이후스마트폰의보급이본격화되면서카메라모듈의화소경쟁은본격화되었고, 통신기술이 2G에서 3G로급속히발달함에따라사진이나동영상등큰용량의데이타를비교적쉽게송수신할수있게되면서당시고화소로여겨진 5MP 카메라를적용한신제품을스마트폰업체들이앞다퉈출시했음 Facebook 등 SNS(Social Network Service) 의확산은카메라모듈화소수증가에 영향을미쳤으며, 전면카메라모듈채택이늘어나는원인이되었음 * 자료 : IHS [ 스마트폰후면카메라화소수비중과스마트폰전면카메라화소수비중 ] 205

214 기술개발테마현황분석 고기능화 소비자들이스마트폰구매시중요하게고려하는점중에하나가카메라성능이되었음. 비슷한성능이라면두개의기기를들고다닐필요가없고, 언제어디서나간편하게이미지를기록하고 SNS를통해사진도공유할수있기때문에스마트폰카메라성능이개선되면서디지털카메라를대체하고있음 최근스마트폰카메라모듈에급속히채택되고있는기능이 AF(Auto Focus) 와 OIS(Optical Image Stabilizer, 손떨림보정 ) 임. 보다나은성능을내기위해국내외대기업뿐만아니라 중소중견기업에서활발하게개발경쟁에참여하고있음 OIS는사용자의손떨림을감지하여렌즈를떨림의반대방향으로이동시켜이미지가흔들려번지게되는것을방지하는기술임. 스마트폰업체중하이엔드스마트폰카메라에 OIS 기능을처음으로적용한것은 2014년 2월 LG전자의 G-Pro2 였고, 이후삼성과애플도하이엔드스마트폰카메라에 OIS를채택하고있음. OIS가채택된스마트폰카메라모듈은 2015년 10.9% 에서 2019년 32.8% 까지비중이증가할전망임 2016년출시한삼성전자의갤럭시 S7의카메라모듈은전면 5메가픽셀, 후면 12메가픽셀임. 전작인갤럭시 S6보다도낮은화소임에도불구하고, 듀얼픽셀이미지센서를적용하면서어두운저조도환경에서빠르게움직이는피사체에도흔들림없이정확하게초점을맞추고선명한이미지를확보할수있게되었음. 향후에도다양한시도로스마트폰카메라모듈성능이고기능화될것으로기대됨 * 자료 : 미래에셋대우리서치센터 [ 스마트폰카메라의 OIS 채택비율 ] 206

215 광학부품및기기 스마트폰 ( 듀얼카메라 ) 스마트폰카메라추세는물리적으로분리된두개의카메라를장착중하나는듀얼카메라임. 결합하는방식에따라각기다른화소를가지거나같은화소수를가지는 2중, 3중, 혹은 4중결합을할수있어렌즈모듈의업계에매출이늘어날전망임 위상차 AF의기능을증가시킬수있을뿐만아니라, 피사체의거리를측정하여 DSLR(Digital Single Lens Reflex) 카메라의대표적장점인인 / 아웃포커싱도정밀하게촬영할수있고다초점으로사진을찍을수도있음. 이것으로인해보급형 DSLR 카메라시장부분잠식도가능할전망임 듀얼카메라를채용함으로써스마트폰카메라모듈의고질적인문제로지적되었던 카툭튀 ( 스마트폰에서카메라가튀어나온모습 ) 를해결할수있을전망임 LG전자는 V10 전면, G5 후면에듀얼카메라를적용하여일반스마트폰카메라보다 1.7배넓게촬영이가능하도록하였음 Huawei, ZTE 증중국업체도적극적으로듀얼카메라모듈을채택하고있고, Apple도차기일부모델에적용할것으로예상됨. 삼성전자도채택을더이상미루기는어려울전망임 두개이상의카메라사용으로원근감인식이가능해졌기때문에. 한개의카메라는근거리, 다른카메라는원거리에초점을두어두각도에서이미지정보를얻을수있음. 이에아웃포커싱 ( 피사체를두드러지게하는기능 ) 을통해피사체와배경을대상으로다양한효과를구사할수있음. 또한사물간의거리를표현한 Depth map 작성이가능해져 3D를구현할수있고, 이를통해안면인식, 증강 / 가상현실등에활용가능할것임. 듀얼가시광선카메라에적외선 Indepth 센서카메라가접목되어하이브리드형식의 AR카메라로진화할것이유력시됨. 적외선은 Indepth 센서외에도물체의온도를측정할수있기때문에사람과사물을인식해낼수있을것임 * 자료 : 미래엣세대우리서치센터 [ 듀얼카메라비율 ] 207

216 기술개발테마현황분석 웨어러블디바이스 (AR/VR) AR/VR Device의경우대당최소 1개이상의카메라모듈이필요하며, 2017년부터관련카메라시장확대가예상됨. 우선 AR Device 중가장보편적인 Video see-through HMD인경우 (Optical See-through는제외 ) 에는실제세계에대한영상을획득하기위해 HMD에 1개이상카메라가별도로설치되어야하고, AR을위한동작인식및객체인식을위한카메라모듈이별도로필요함 눈동자로화면을제어할수있어편의성이크게증대되기때문에, 향후 HMD 내에 Eye-tracker( 눈동자감지기술 ) 의사용이증가할것으로기대됨. 이러한기술은시선을통한메뉴선택이나방향이동, 가상공간내캐릭터와눈을마주보는등다양한시선입력이가능하도록함. 또한초점이맞추고있지않은주변환경을구별해내어해상도를차별화할수있다는점에서콘텐츠용량을크게낮출수있음. Eye-tracker의핵심이적외선카메라기술이며, 실제스타트업기업 FOVE는 Eye-tracking 기술을 VR 기기에도입하기도했음 VR 대중화를위해서는하드웨어뿐만아니라콘텐츠확보도중요하며, VR용동영상제작을위해서는특별한카메라인 360도카메라기술이필요함. 기존에는역동적인스포츠장면을포착하기위한보조도구로사용됐지만최근많은업체들이 VR용으로출시하고있음. 360도카메라의경우도 1개이상의카메라모듈이탑재된다는점에서보급가속화시카메라모듈수요증가에기여할것임 ( 고프로 오디세이 카메라 16개 ) 자동차 차량용카메라는현재까지운전자가모니터에표시된화상을보고판단하는 View 카메라를중심으로시장이형성되었으나, 향후에는카메라로찍은화상을화상처리해서정보를분석해운전자에대한경고나제어를하는 Sensing 카메라장착이빠르게증가할것으로예상됨. 이는각국 NCAP(New Car Assessment Problem) 의가산점대응준비, 최근대중차메이커들의 ADAS(Advanced Driver Assistance System) 에대한대처의적극적인전개등에기인할것으로사료됨 특히, ADAS의부품중카메라이용이확대되면서빠른수요증가와종류다양화가가능할것으로예상됨. 이는 2013~18년내유럽 NCAP의가산점대상이거나검토중인 ADAS가 AEB(Autonomous Emergency Braking, 긴급제동시스템 ), LDW(Lane Departuer Warning, 차선이탈방지 ), LKA(Lane Keeping Assist, 차선유지보조 ) 등이기때문에타센서보다카메라로의대응이용이함. 즉, NCAP의가산점취득을위해서는차량용카메라탑재가필수적인환경임 208

217 광학부품및기기 이에 ADAS 센서중카메라수요가가장많을것으로예측되며, 이는낮은가격과카메라만이제공할수있는물체판독기능때문임. 차량용소형레이더는야간이나악천후상황에서사용이가능하고측정거리가길어카메라를보완하는역할을할것으로사료됨. 또한카메라모듈은단일센서로성능도우수하기때문에일정요건을갖춘다면카메라만으로도충돌방지기능실행이가능해카메라를사용한 AEB가널리보급될전망이며스트레오카메라기반 AEB인 Subaru의 EyeSight 는기능평가에서최상위수준임 최근사이드미러와룸미러를카메라와모니터로대체한미러리스차량의개발이이슈가되고있음. 사이드카메라는시야확보와공기저항을줄이는장점 ( 공기저항 7%, 연비 2.2% ) 이있기때문에강화되는환경규제를고려시보급속도가빠를것으로판단됨. 이에따라일본을시작으로각국의법개정이예상되고카메라를이용한모션감지 (BMW Air Touch, DSM) 나안면인식 (Door 개폐 ) 등을이용한편의장치의확대도전망 생체인식 핀테크시대 ( 비대면서비스가증가 ) 의결제활성화, IoT기반서비스, 헬스케어시장확대는지문인식이상의보안성을갖춘생체인식시스템이요구되고있음. 지문인식이 40여가지의패턴을감지한다면홍채인식은 260여가지의패턴을감지하기때문에현존하는생체인식기술중보안성이가장뛰어난기술중하나는홍채인식임. 지문인식과홍채인식을함께적용하면그보안성은더욱극대화될수있어기술개발에활기를띄고있음 최근시장에서는삼성전자가삼성페이결제등을위한홍채인식기능을갤럭시노트7에탑재하여각광을받아바있으며, 현재삼성전자는 3개의카메라렌즈를이용해홍채이미지를포착하는홍채인식시스템특허를출원한상태임. 또한중국의알리바바는얼굴인식을활용한결제시스템 스마일투페이, 올해초얼굴인식인공지능업체 이모션트 를인수한애플의행보는향후얼굴인식기능을갖춘스마트폰출시에대한기대감을높이고있음 홍채인식뿐만아니라타생체인식에서도카메라모듈의수요증가가가능할전망 일본금융권에서주로사용되는정맥인식기술의경우적외선으로혈관을투시촬영한후 CMOS센서또는 CCD카메라를거쳐디지털영상으로옮기는방식 지문인식도가시광선에반사된지문영상을획득하는광학방식이다양한분야에적용되고있음 얼굴인식은 2D수준의이미지감지를넘어 3D, 열적외선방식을통해보안성과인식률을높여가고있음 향후에는스마트폰뿐아니라은행의 ATM, 데스크탑 PC, 병원, 회사, 학교, 공항등본인 확인이필요한곳어디서나생체인식시스템이배치될것으로전망되며, 그시스템안에는 카메라모듈이탑재되기때문에카메라의수요증가는필수적임 209

218 기술개발테마현황분석 3. 기업분석 가. 주요기업비교 Sony 가이미지센서확대를위해중저가비중을늘리면서, 신흥국스마트폰수요를적극흡수한다는계획을발표함. Sony 가기능을한정한 1300 만화소와 800 만화소고화질이미지센서중저가상품을개발했음 Sony 는약 1,500 억엔을투자해 2016 년 9 월까지이미지센서월생산능력을지금보다 45% 늘림방침임. 300mm 웨이퍼월 87,000 장을생산할수있으며생산증대부분은대부분중저가제품을생산할것으로전해짐 Sony 는자율주행차사업에도진출하였음. 동사는로봇카회사인 ZMP 의지분 2% 를 1 억엔에인수했음. ZMP 인수이유는전세계자동차판매량이 1 억대를넘는수준으로스마트폰시장과는수량에서비교가되지않지만, 자율주행차의경우최대카메라가 10 개까지사용될전망임 OmniVision 은고성장시장을리드한다는전략으로업계최초의 1/3 인치 1,600 만화소이미지센서인 OV16880 을발표했음. 이센서는 1,600 만화소 (4,672 x 3,504 화소 ) 이미지를초당 30 프레임 (FPS) 으로담아낼수있으며, 전체해상도에서의연사사진과제로셔터지연을가능케함 Toshiba 가소형이미지센서에서고화질을구현하는 무한고화질 기술을발표했음. 무한고화질기술은사진을여러매연속촬영한후이를합성, 노이즈가적은고화질이미지를만들어내는기술이며, 흔들림검출기능이적용돼사진의흔들림도줄어들고합성시간도단축함. 또한이것으로인해피사체의윤곽을더욱선명하게표현하는효과도발생. 이기술을사용하면소형이미지센서로도일반디지털카메라수준의고화질을만들어낼수있고향후스마트폰, 태블릿은물론차량카메라와내시경에이르기까지다양한분야에적용할방침 Toshiba 는산업용 HD(1080P) CMOS 이미지센서와 20MP CMOS 이미지센서를 2015 년 4 월부터양산하고있음 ON Semiconductor 는비디오보안카메라용고성능 CMOS 이미지센서를출시하였고, 트루센스이미징인수와앱티나이미징인수를통해스마트폰, 태블릿, 랩탑, 게임기, 웨어러블, 디지털카메라와같은선도적인소비재전자기기와자동차감시, 비디오컨퍼런싱, 스캐닝, 의료용산업에진출한다는계획 Sharp 는 0 룩스환경에서컬러촬영이가능한차량용카메라모듈을개발하였음. Sharp 의차량용카메라모듈은현재미국대형제조사가채용하고업체에서높은점유율을차지하고있음. 하지만, 타사에센서공급에머물고있으며, 모듈제품제안강화하기위해전문영업조직설치도검토중에있음 210

219 광학부품및기기 Cannon 은 2015 년 2 월세계최고 1 억 2 천만화소의 CMOS 이미지센서를일본카메라전시회에서공개했음. 이전시회에서 Cannon 은 고화질기술력 을선보이는데초점을맞춰준비했으며, 고화소이미지센서, 5 천만화소의풀프레임 DSLR, EF 렌즈군등을전시했음 Cannon 은 2015 년 2 월세계최고 1 억 2 천만화소의 CMOS 이미지센서를일본카메라전시회에서공개했음. 이전시회에서 Cannon 은 고화질기술력 을선보이는데초점을맞춰준비했으며, 고화소이미지센서, 5 천만화소의풀프레임 DSLR, EF 렌즈군등을전시했음 최근열화상카메라전문기업인 FLIR Systems 는 2014 년하반기에휴대폰을이용해열화상촬영이가능한신개념스마트폰케이스를출시했음 국내중소기업사례 옵티시스는광소자및관련부품응용분야산업에서풍부한기술적경험을바탕으로, 다양한산업에서급증하고있는고속비디오, 오디오신호전송및제어를위해광링크, 분배기, 스위치, 매트릭스등의솔루션을제공 테크원은 LED drive 모듈, bluetooth 모듈, 카메라모듈등을개발하는광학부품업체 솔라루체는 2002 년부터 LED 조명및부품개발에뛰어들어, 독자적인기술개발과주요원천기술을확보하여가정용부터산업용까지다양한제품개발로국내외 LED 조며시장개척 대진디엠피는 LED 조명부분에있어국내최초 UL 인증을받았으며, CE, ETL, FCC 외다수의 LED 조명관련특허와다양한제품군보유중 나무가는최근 3D 인뎁스카메라센서모듈개발을성공했으며핵심장비내재화및생산기술보유 옵트론텍은광학렌즈및모듈, 이미지센서용필터등의광전자부품을전문적으로개발하는업체 [ 주요중소기업비교 ] ( 단위 : 백만원, %) 국내업체자산총계매출액 매출액증가율 영업이익율 당기순이익율 R&D 집중도 ( 주 ) 옵티시스 37,632 17, ( 주 ) 솔라루체 24,991 49, ( 주 ) 대진디엠피 99,992 54, ( 주 ) 나무가 114, , ( 주 ) 옵트론텍 215, ,

220 기술개발테마현황분석 나. 주요기업기술개발동향 국내카메라모듈및부품업체의경쟁력은글로벌카메라모듈산업을리딩하고있음 국내의렌즈모듈은렌즈제조, 생산, 개발에많은국내업체들이참여하고있으며, 방주광학디오스텍, 코렌, 세코닉스, 디지털옵텍, AG광학등의업체들이있으며, 국내비구면렌즈기술과레진사출기술, 대량자동조립기술이발전해서독일, 일본렌즈업체들과도어깨를나란히하고지속해서고화소렌즈를공급하고있음 디지털옵틱, 세코닉스등은카메라렌즈시장에서산요옵티컬을밀어내고, 성장곡선을 이어가고있음. 국내기업들은근래세계시장에서렌즈제조기술력을인정받고있음 특히, 해성옵틱스는렌즈사출, 모듈부터 AF 카메라모듈에이르는수직계열화를구축하고 있음. 카메라모듈및관련소재부품시장경쟁이점차치열해지고있지만해성옵틱스는 수직계열화덕분에상대적으로유리한고지를확보했음 블루필터분야는옵트론텍, 나노스등기존업체들이시장을양분한가운데국내 엘엠에스뿐만아니라일본업체들도시장진입에속도를내고있음 파트론은스마트폰용전면카메라의고화소화에따라 5MP 전면카메라와후면 13MP 카메라 모듈을주력으로생산하고있으며, 각종카메라센서와광학식지문인식모듈을개발완료 하였음 나무가는듀얼카메라기술을이용 3D 인뎁스카메라센서모듈의개발하였고다양한분야에 3D 인뎁스카메라기술들을적용하고있음 캠시스최근전기차스타트업기업인코니자동차의지분을인수하고전기차사업진출을 선언하였으며, AVM 을시작으로자동차용 IT 기기를개발생산할계획 엑씨넥스스마트폰카메라모듈외에도자동차용후방카메라모듈, AVM, LDW 와같은 센싱카메라모듈을개발완료하였고, 광학식지문인식모듈을개발완료하였음 옵트론텍은적외선파장에관련한원천기술을이용해필름형적외선차단필터를개발하여 삼성전자및스마트폰세트업체에납품하고있음. 또한자율주행 RIDER 카메라모듈, 나이트비젼카메라모듈을개발하였음 212

221 광학부품및기기 4. 기술개발현황 가. 기술개발이슈 암전류제거기술 암전류 (Dark current) 는빛의조사가없을때에흐르는전류로서, 온도변화같은빛이외의현상들이센서내부에전자를형성하여, 포토다이오드나트랜지스터에영향을주는잡음임. 주로온도에의해많이발생함. 이를제거하는기술 고정패턴잡음 (FPN) 제거기술 고정패턴잡음 (fixed pattern noise): CMOS Image Sensor(CIS) 는 CCD에비해주변환경에의한잡음이민감함. 이러한잡음은시간에따라변화하는 Temporal Noise(TN) 와고정된형태의잡음인 Fixed Pattern Noise(FPN) 으로구분할수있음. 이를제거하는기술임. pixel 간에발생하는공간적편차로써 temporal filter 수행후에도고정된 pattern의잡음발생을줄이는기술이필요함 기타잡음제거기술 이미지센서에서발생할수있는여러잡음제거기술임. 한픽셀이주변픽셀과간섭이일어나는혼색현상 (cross talk) 제거기술이필요함. 화소내의배선이나빛의입사각이기울어졌을때발생하는현상제거기술이필요함 IR 필터내장형렌즈기술 이미지센서는사람이볼수없는적외선을너무잘보기때문에, 380~780 nm 파장의가시광선보다약간더높은영역의적외선 (infra red, IR) 파장도볼수있음. 근적외선에의한붉은색이미지의선명도를높이기위해, 적외선 (IR) 필터를사용하며, 이를내장한렌즈기술임. 선명도향상예로그림참조. [ 적외선필터적용화질색도향상 ] 213

222 기술개발테마현황분석 나. 특허동향분석 광학부품및기기특허상주요기술 주요기술 광학부품및기기는패키지기술로고접착 / 고신뢰성박막필름기술, 감광성필름박리력조절기술, 고투과율하드코팅기술, 조명장치냉각시스템기술로구분되며, 이미지센서기술로픽셀기술, 신호처리기술, 제조공정기술로분류되고, 렌즈모듈기술로 IR 필터내장형렌즈기술, 다수캐비티금형및성형기술, 렌즈모듈소형화기술, 저비용적외선모듈로구분됨 분류요소기술설명 고접착 / 고신뢰성박막필름기술 접착용감광필름조성및구조관련기술 패키지 감광성필름박리력조절기술 고투과율하드코팅기술 조명장치냉각시스템기술 접착용감광필름의박리를위한박리제조성이나, 박리력조절을위한감광필름의조성및구조관련기술 광하계보호코팅의투과율을높여광학계의성능및내구성을향상시키는기술 광원부의광효율향상및수명유지를위한효율적인자연대류또는강제대류방식의냉각기술 픽셀기술 픽셀어레이, 이미지센서컬러필터등이미지센서픽셀기술 이미지 센서 신호처리기술 제조공정기술 이미지센서암전류제거, 고정패턴잠음 (FPN) 제거등이미지센서신호처리기술 이미지센서본딩기술, 이미지센서이면연마기술등이미지센서제조공정관련기술 IR 필터내장형렌즈기술 유전체코팅공정을이용한 IR-Cutoff 필터기능의렌즈어셈블리기술 렌즈모듈 다수캐비티금형및성형기술 렌즈모듈소형화기술 저비용적외선모듈 다수캐비티금형및성형기술 인체삽입형의료기웨어러블기기등에사용가능한초소형렌즈모듈, 해상도확보및모듈소형화기술 차량용나이트비젼등의민수용적외선모듈시장확대를위한저비용적외선렌즈모듈기술 214

223 광학부품및기기 세부분야별특허동향 주요기술별국가별특허동향 광학부품및기기의요소기술별주요국가별특허정보데이터입수하였으며, 최근 10 년간의 특허데이터를비교분석함 분류요소기술한국미국일본유럽계 고접착 / 고신뢰성박막필름기술 패키지 이미지센서 렌즈모듈 감광성필름박리력조절기술고투과율하드코팅기술조명장치냉각시스템기술픽셀기술신호처리기술제조공정기술 IR 필터내장형렌즈기술다수캐비티금형및성형기술렌즈모듈소형화기술저비용적외선모듈 합계 ,175 국가별요소기술별특허동향에서패키지기술분야는미국이가장많은비중을차지하고있으며, 일본과유럽이상대적으로적은출원량을보유하고있음, 이미지센서기술분야도미국이가장많은특허출원비중을보이고있으며, 일본이상대적으로적은특허출원을나타내고있음 렌즈모듈기술분야역시미국이가장많은비중을차지하고있으며, 유럽이상대적으로적은출원량을나타내고있음 215

224 기술개발테마현황분석 주요기술별출원인동향 세부분야 요소기술 기술집중도 주요출원인 국내특허동향 고접착 / 고신뢰성박막필름기술 패키지 감광성필름박리력조절기술 고투과율하드코팅기술 삼성전자 HON HAI PRECISION OPTIZ 대기업중심 삼성전자, OPTIZ, 삼성전기등 조명장치냉각시스템기술 이미지센서 픽셀기술신호처리기술제조공정기술 삼성전자 O m n i V i s i o n Technologies Semiconductor Components 대기업중심 삼성전자, 에스케이하이닉스, 엘지이노텍등 IR 필터내장형렌즈기술 렌즈모듈 다수캐비티금형및성형기술 렌즈모듈소형화기술 디비하이텍 에스케이하이닉스 HON HAI PRECISION 대기업중심 디비하이텍, 에스케이하이닉스, 삼성전자등 저비용적외선모듈 패키지기술분야주요출원인동향 패키지기술분야는삼성전자가가장많은특허를보유하고있으며그다음으로는 HON HAI PRECISION, OPTIZ 등이많은특허를보유하고있는등미국회사들이주류를이루고있음 이미지센서기술분야주요출원인동향 이미지센서기술분야는삼성전자가가장많은특허를보유하고있으며그다음으로는 OmniVision Technologies, Semiconductor Components 등이많은특허를보유하고있으며, 미국회사들이주류를이루고있음 렌즈모듈기술분야주요출원인동향 렌즈 모듈 기술분야는 디비하이텍이 가장 많은 특허를 출원하고 있으며, 그 다음으로는 에스케이하이닉스, HON HAI PRECISION 등이 많은 특허를 출원하고 있는 등 한국 회사들이 주류를이루고있음 216

225 광학부품및기기 광학부품및기기분야의주요경쟁기술및공백기술 광학부품및기기분야의주요경쟁기술은이미지센서기술분야이고, 상대적인공백기술은렌즈모듈기술분야로나타남 이미지센서관련기술들이가장경쟁이치열한분야이고, 렌즈모듈관련기술분야가아직까지출원이활발하지않은공백기술분야로나타남 세부분야 요소기술 기술집중도 고접착 / 고신뢰성박막필름기술 패키지 감광성필름박리력조절기술고투과율하드코팅기술조명장치냉각시스템기술 픽셀기술 이미지센서 신호처리기술제조공정기술 IR 필터내장형렌즈기술 렌즈모듈 다수캐비티금형및성형기술렌즈모듈소형화기술저비용적외선모듈 : 50건이상, : 30~49 건, : 20~29 건, : 10~19 건, : 10건미만 최신국내특허기술동향 분류요소기술최근핵심요소기술동향 패키지 이미지센서 렌즈모듈 고접착 / 고신뢰성박막필름기술 이미지센서보호용광투과성투명코팅기술감광성필름박리력조절기술 이미지센서칩및외부접속단자간고신뢰전도성을고투과율하드코팅기술위한패키징기술조명장치냉각시스템기술 픽셀기술 후면조사형액티브픽셀어레이기술 신호처리기술 다이내믹레인지가넓고색채현성및해상도우수한 제조공정기술 픽셀어레이기술 IR 필터내장형렌즈기술 다수캐비티금형및성형기술렌즈모듈소형화기술저비용적외선모듈 회전대칭형광각렌즈기반렌즈모듈기술 이미지센서컬러필터대용컬러마이크로렌즈기술 국내특허동향을살펴보면모든기술분야가주로대기업중심으로연구개발하고있는것으로나타남 패키지기술분야는대기업중심으로삼성전자, OPTIZ, 삼성전기등이중점적으로연구개발을하고있으며, 이미지센서보호용광투과성투명코팅기술, 이미지센서칩및외부접속단자간고신뢰전도성을위한패키징기술등을연구개발하고있음 이미지센서기술분야도대기업중심으로삼성전자, 에스케이하이닉스, 엘지이노텍등이활발하게연구개발을추진하고있으며, 후면조사형액티브픽셀어레이기술, 다이내믹레인지가넓고색채현성및해상도우수한픽셀어레이기술등이연구개발되고있음 217

226 기술개발테마현황분석 중소기업특허전략수립방향및시사점 광학부품및기기분야의상대적인공백기술분야는렌즈모듈관련기술로나타남 광학부품및기기분야는이미지센서분야의제조공정, 부품, 소재분야에적용되어사용될수있음 최종제품형태는대규모의장치투자가필요한분야로중소벤처기업의참여가높지않은분야임 하지만렌즈모듈분야와같이부품및소재기술은중소기업의시장진입이상대적으로수월하다고판단됨 향후중소기업은상대적으로경쟁이치열하지않은렌즈모듈관련기술을공공연구기관의기술을이전받거나공동으로연구개발하여제품화하는특허전략을수립하는것이바람직할것으로사료됨 218

227 광학부품및기기 5. 연구개발네트워크 가. 연구개발기관 / 자원 (1) 연구개발기관 국외는 Sony 사에서주도적으로개발하며, 국내에는클레어픽셀 ( 주 ), 재영솔루텍 ( 주 ), 삼성전자, SK 하이닉스등에서연구개발중임 [ 광학부품및기기분야주요연구기관현황 ] 기관일본 Sony 파나소닉 (Panasonic) 샤프삼성전자한국 SETI, 한국픽셀플러스한국실리콘파일한국클레어픽셀 ( 주 ) 한국재영솔루텍 ( 주 ) 연구내용 Charge Coupled Device (CCD), CMOS 방식이미지센서 Charge Coupled Device (CCD) 방식이미지센서 Charge Coupled Device (CCD) 방식이미지센서 0.9µm 초소형픽셀이미지센서 ISOCELL 신제품을공개 (2017 년 ) Fabless 이미지센서제조 Fabless 이미지센서제조 Fabless 이미지센서제조 스마트카용 CMOS 이미지센서개발얼굴인식기반의자동노출일체형이미지센서, 초경박카메라모듈및고내열성렌즈 경통제조기술 미국, 마이크론 CMOS 방식이미지센서 미국, 옴니비전 CMOS 방식이미지센서 케논산요미국 Cypress, 미국 Aptina 미국 Agilent 일본 Toshiba 프랑스 ST Micro 전자통신연구원한국광기술원전자부품연구원서울대전기전자과이병호 CMOS 방식이미지센서 CMOS 방식이미지센서 이미지센서 이미지센서 이미지센서 이미지센서 이미지센서 소프트웨어정의네트워크 (SDN) 기반 Flexible 광노드핵심요소기술개발 - 휴대폰카메라용비구면유리렌즈개발 - 비구면렌즈성형공정개발 고성능 3D 스케닝라이다광학엔진 직접영상디스플레리 219

228 기술개발테마현황분석 (2) 연구개발자원 (2) 연구개발자원 정부지원프로그램 산업핵심요소기술개발사업 ( 산업통상자원부 ) - 국가성장전략에기반한전략기술분야의핵심 원천기술개발에대한집중지원을통해미래신산업을육성하고주력기간산업의산업경쟁력을제고하여미래신성장동력을창출 - 10년이내에기술적파급효과가크고산업기술경쟁력을획기적으로제고할수있는부가가치가높은핵심요소기술, 원천기술및엔지니어링기술 * 소재부품산업분야 : 주력산업 IT 융합, 반도체 소재부품기술개발사업 ( 산업통상자원부 ) - 국내부품 소재산업의지속적인발전을위하여글로벌시장의조달참여가유망하고, 소재 부품및 타분야의기술혁신과경쟁력제고에긴요한핵심소재 부품기술개발지원 - ( 벤처형전문소재 ) 중소 중견소재기업이특정분야및틈새시장에서세계최고수준의기술력을갖춘 소재중핵기업으로성장할수있도록지원 - ( 수요자연계형 ) 향후수요급증이예상되는핵심소재부품개발에수요기업이기술개발에 참여함으로써, 핵심소재부품의개발기간을단축시키고, 개발된소재 부품의상용화를촉진 우수기술연구센터 (ATC) 사업 ( 산업통상자원부 ) - 세계일류상품개발촉진및세계적기술경쟁력확보를위해우수한기술잠재력을보유한 기업부설연구소를선정, 우수기술연구센터 (ATC) 로지정하고기술개발자금을지원하여세계적 수준의연구소로육성시키고자함 - 주력및신산업 * 분야에대해주관기관에서자유롭게개발하고자하는것을순수자유공모 방식으로지원 * 신산업 : ICT 융합 ( 지식서비스, 로봇, 웨어러블디바이스, 전기 자율주행차, 3D 프린팅, IoT 가전 ), 바이오 헬스 ( 바이오의약, 스마트헬스케어 ), 첨단신소재 ( 탄소소재, 타이타늄, 나노소재, 융복합소재 ) 에한함 K-Global ICT 유망기술개발지원사업 ( 과학기술정보통신부 ) - ICT 등과타산업간융합기술및서비스기술개발지원을위해기술수요를반영한단기사업화및 우수혁신기술사업화기술개발을지원 - ICT R&D 10 대 * 기술기반융합기술및서비스기술개발지원 * 정보통신방송연구개발관리규정의 ICT 연구개발기술분류체계상이동통신, 네트워크, 방송 / 스마트미디어, 전파 / 위성, 기반 SW/ 컴퓨팅, SW, 디지털콘텐츠, 정보보호, 융합서비스, ICT 디바이스 220

229 광학부품및기기 나. 연구개발인력 [ 광학부품및기기분야주요연구기관현황 ] 기관 클레어픽셀 ( 주 ) 재영솔루텍 ( 주 ) 부서 조진호, 소재환, 정헌준 유병택 전자통신연구원 ICT 소재부품연구소광무선융합연구본부백용순 ( 본부장 ) 한국광기술원 전자부품연구원 서울대 광응용연구본부 / 차세대광학렌즈연구센터 자율주행솔루션, 최연용센터장 전기전자이병호교수 221

230 기술개발테마현황분석 다. 기술이전가능기술 (1) 기술이전가능기관 전자통신연구원 소프트웨어정의네트워크 (SDN) 기반 Flexible 광노드핵심요소기술개발 광신호생성및수신에필요한고집적광변조기및광수신기개발로소프트웨어조작을통해단일채널에서 100/200Gbps로전송신호조절가능 한국광기술원 12 Megapixel 휴대폰카메라용비구면유리렌즈개발 저가격 / 양산화를위한이음매없는홀더결합형비구면렌즈성형공정개발 비구면플라스틱 2차렌즈를이용한광균일도개선방열가로등개발 소형선박용초광각감시시스템을위한금형코어및광학부품측정평가기술개발 양안식 3DTV 방송용카메라개발 전자부품연구원 고속 3D 스케닝라이다광학엔진 서울대전기전자과이병호교수 집적영상디스플레이 222

231 광학부품및기기 (2) 이전기술에대한세부내용 분류 기술명 기술개요 세부내용 소프트웨어정의네트워크 (SDN) 기반 Flexible 광노드핵심요소기술 AI, IoT, 빅데이터, 클라우드서비스등의발전은통신용량증대유발및보다효율적인광통신망의대용량신호전달방식요구 이를위해, 하드웨어교체없이소프트웨어조작만으로통신속도, 경로설정, 전송거리설정및파장의효율적분배등네트워크운용효율향상기술개발진행 광부품개발은효율적네트워크개발의필수조건이며, 이를통해효율적소프트웨어정의네트워크구축가능 기술이전목적및필요성 광신호생성및수신에필요한고집적광변조기및광수신기개발로소프트웨어조작을통해단일채널에서 100/200Gbps 로전송신호조절가능 실리카평면도파로기반의편광및위상분리기와다채널광검출기어레이를집적한광수신기는 400Gbps 수신도가능한세계최고수준성능확보 광변조기및광수신기광원인파장가변광원은폴리머회절격자와반도체광원의결합을통해넓은파장가변범위와높은출력광세기, 좁은선폭특성확보 세계최초로폴리머기반의평면광도파로를이용, 128개의광스위치를단일집적하여낮은전력소모로스위칭이가능한멀티캐스트스위치모듈개발 기존에정해진선폭의채널만감지가능하던기능을임의의선폭의채널수신을가능하게하여파장활용의유연성을높인광신호감지기개발 기술의특징및장점 신호의왜곡없이초고속변조를통해광데이터장거리전송가능 강유전기판상에집적광도파로형성을통해여러기능성광소자제작이가능 기술성숙도 (TRL) 단계 : 5 중장거리전송용하이앤드광부품국산화기반마련및효율성증대로통신비절감 중장거리전달망용광부품기술개발로국내광부품업체의하이앤드광부품기술확보및시장진입기반마련활용방안및기대성과 전달망시스템구축에필요한광부품기술확보를통해부품의존도가높은광시스템개발에서국내시스템업체의경쟁력향상에기여전망 고집적광부품기술은폭발적으로증가하는데이터센터내부및외부통신에필요한광부품개발에활용예상 대용량매트로시스템신호전달에서광경로스위칭에필요한차세대 ROADM 시스템개발에응용기술이전내용및범위 광변조기용도파로제작기술 위상정합 CPW 전극제작기술 광변조기평가기술 223

232 기술개발테마현황분석 6. 기술로드맵수립 가. 중소기업핵심요소기술 (1) 데이터기반요소기술발굴 [ 광학부품및기기분야키워드클러스터링 ] 224

233 광학부품및기기 [ 광학부품및기기분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 image sensor lensless CMOS 8 1. A CMOS image sensor with low fixed pattern noise suitable for lensless observation system of digital enzyme-linked immunosorbent assay (ELISA) 2. Micro-electro-fluidic grids for nematodes: A lens-less, image-sensor-less approach for on-chip tracking of nematode locomotion 3. A CMOS image sensor with stacked photodiodes for lensless observation system of digital enzyme-linked immunosorbent assay 클러스터 02 image sensor lens on-chip 8 1. A lens-free on-chip microscopy algorithm for submicron pixel size image sensor 2. An on-chip angle-sensitive single photon image sensor array for lens-less time-resolved 3-D fluorescence lifetime imaging 클러스터 03 image sensor lens free 4~5 1. A lens-free single-shot fluorescent imaging system using CMOS image sensors with dielectric multi-layer filter 2. A 3D vision 2.1Mpixel image sensor for single-lens camera systems 클러스터 04 image sensor lens EUSA 4~5 1. Circuit design for retina-like image sensor based on space-variant lens array 2. CMOS image sensor-based ELISA detector using lens-free shadow imaging platform 클러스터 05 image sensor lens CMOS 4~5 1. Compact one-lens fluorescence microscope using CMOS image sensor 2. Controlling electromagnetic wave through dual heights micro-lens array of a CMOS image sensor 클러스터 06 image sensor rod lens 5~7 1. Development of an image sensor for dentistry- Fiber connecting technique with the gradient index (GRIN) rod lens 2. Digital correction of registration error for the micro lens array and image sensor in plenoptic camera 클러스터 07 image sensor lens FLB-SEM 4~5 1. Electrowetting liquid lens array on curved substrates for wide field of view image sensor 2. FIB-SEM investigation and auto-metrology of polymer-microlens/cfa arrays of CMOS image sensor 클러스터 08 image sensor microlens 4~5 1. Image sensors with electrically tunable spatial resolution based on liquid crystal microlens array with three-layered patterned electrode 2. Integral three-dimensional image capture equipment with closely positioned lens array and image sensor 225

234 기술개발테마현황분석 클러스터 09 image sensor space-variant lens 7~8 1. Integration of nanostructured planar diffractive lenses dedicated to near infrared detection for CMOS image sensors 2. Mathematical simulation of the space-variant lens array used for retina-like image sensor 3. Modeling and simulation of the retina-like image sensor based on space-variant lens array 클러스터 10 image sensor lens Fresnel zone 5~6 1. Multiocular image sensor with on-chip beam-splitter and inner meta-micro-lens for single-main-lens stereo camera 2. Numerical study of a Fresnel zone plate based lens for a 2 μm 2 μm CMOS image sensor pixel 226

235 광학부품및기기 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로 로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여 최종요소기술명확정 [ 광학부품및기기분야요소기술도출 ] 분류요소기술출처 팩키지기술 고접착 / 고선뢰성박막필름기술 감광성필름박리력조절기술 고투과율하드코팅 특허 / 논문클러스터링, 기술 / 시장분석 기술 / 시장분석, 기술수요, 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 기술 / 시장분석 광원회로장치 특허 / 논문클러스터링, 기술 / 시장분석 유기재료를이용한광학장치 특허 / 논문클러스터링, 기술 / 시장분석 픽셀어레이 전문가추천, 기술 / 시장분석, 기술수요 신호처리 전문가추천, 기술 / 시장분석, 기술수요 이미지센서 제조공정기술 전문가추천, 기술 / 시장분석, 기술수요 저비용적외선모듈 전문가추천, 기술 / 시장분석, 기술수요 내열성렌즈기술 전문가추천, 기술 / 시장분석, 기술수요 렌즈모듈 필터내장형렌즈 렌즈모듈소형화기술 전문가추천, 기술 / 시장분석, 기술수요 전문가추천, 기술 / 시장분석, 기술수요 227

236 기술개발테마현황분석 (3) 핵심요소기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심요소기술선정위원회를통하여 중소기업에적합한핵심요소기술선정 핵심요소기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업 적합성 (10) 을고려하여평가 [ 광학부품및기기분야핵심요소기술 ] 분류핵심요소기술개요 고접착 / 고선뢰성박막필름기술 광원부의광효율향상및수명유지를위한효울적인박막필름기술 팩키지기술 감광성필름박리력조절기술 안정적효율적전원공급을위한과전압, 과전류, 과열보호회로개발및효율개선, 극고온및저온내성회로 고투과율하드코팅 유기재료를이용한조명및디스플레이광원기술, 효율및광특성개선 픽셀어레이 자동차운전자를위한정보디스플레이시스템화운전정보, 주행정보, 차량정보등차량디스플레이를위한 Array 광원및렌즈, 비구면및자유곡면을적용한윈도우디스플레이기술 이미지센서 신호처리 비구면및구면렌즈적용의고정도광학계제조기술제조공정연삭흔 (Mid-spacial frequency), 가공변질층 (Subsurface damage) 등에의한광학성능저하방지 제조공정기술 인체삽입형의료기웨어러블기기등에사용가능한초소형렌즈모듈, 해상도확보및모듈소형화 렌즈모듈 필터내장형렌즈 렌즈모듈소형화기술 광학계보호코팅 (Hard coating) 의투과율을높여광학계의성능및내구성향상 외부환경의변화에따른광학계의성능악화를최소화하기위한내열성소재기술, 특히자율주행차등의환경에서내열성을확보할수있는소재및공정기술 ( 기존사출렌즈대체및개선 ) 228

237 광학부품및기기 나. 광학부품및기기기술로드맵 229

238 기술개발테마현황분석 다. 연구개발목표설정 [ 광학부품및기기분야핵심요소기술연구목표 ] 분류핵심요소기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 고접착 / 고신뢰성박막필름기술 감광성필름바길력조절기술 초소형, 고효율 소형화, 효율향상기술개발기반확립 소형화, 고효율팩키지기술개발 초소형, 고효율팩키지기술확립 초소형, 고효율팩키지기술확립 고투과율하드코팅 광학부품및기기 픽셀에레이 신호처리 제조공정기술 고해상도, 높은색구현도 고해상도이미지센서기술확립 고해상도, 높은색구현이미지센서소자구현 고해상도, 높은색구현도이미지센서개발 고해상도, 높은색구현도이미지센서개발 필터내장형렌즈 렌즈모듈소형화기술 소형화, 고기능화 소형렌즈모듈설계기술확립 소형, 고기능렌즈모듈구현 소형화, 고기능화렌즈모듈개발 소형화, 고기능화렌즈모듈개발 230

239 기술개발테마현황분석 반도체 검사장비

240

241 반도체검사장비 정의및범위 반도체공정은크게전공정과후공정으로나뉘며, 전공정은기판을가공하는공정을의미하며, 후공정은전공정이후에수행되는절단 배선 패키징 검사등의공정을의미한다. 반도체검사장비는통상적으로후공정단계에서반도체를검사하기위한장비를일컬었으나, 최근각공정단계를모니터링하고진단하는장비까지도포함하는광범위한범위로확장해석되고있다. 가장보편적인반도체검사장비인전기적테스트장비는전기적신호를인가하여반도체의출력신호를계측, 원하는값이얻어지는지를검사한다. 수반하는장비는 probe station, handler, parameter analyzer 등이포함된다. 그외분석을위한 X-Ray, SAM(Scanning Acoustic Microscope), Visual inspection system, FIB(Focused Ion Beam), TEM(Transmission Elecltron Microscope) 등을포함하는분석장비또는 burn-in 시험등을포함하는신뢰성검사장비등이검사장비의범주에포함될수있다. 정부지원정책 산업통상자원부는산업적파급효과가큰반도체, 디스플레이등을미래성장동력분야로지정하여소재부품기술개발중심으로상시사업을지원하고있음 IoT, 인공지능, 빅데이터등을활용한스마트공장제조산업은 4차산업혁명을핵심지원정책에포함되며, 반도체검사장비도해당분야의한분야로서응용될것으로예상됨 2015년스마트제조중장기로드맵의일환으로서스마트센서분야를선정하였음. 스마트센서를포함한반도체기술의고도화에따라반도체검사장비의성능고도화가요구됨중소기업시장대응전략 강점 (Strength) ( 환경 ) 꾸준한반도체장비의수요 ( 기술 ) 우수한인적자원 ( 정책 ) 반도체 R&D 지원정책기회 (Opportunity) ( 환경 ) 반도체기술의고도화 ( 기술 ) 반도체소재, 패키징신기술개발 ( 정책 ) 세계적수준의중견기업발굴 육성수요 약점 (Weakness) ( 환경 ) 높은시장진입장벽 ( 기술 ) 핵심원천기술미흡 ( 정책 ) 대기업또는중소기업위주지원 ( 중견기업취약 ) 위협 (Threat) ( 환경 ) 후발주자와의기술격차감소 ( 기술 ) 시장선점선도업체와의기술격차 ( 정책 ) 지원정책의편중 중소기업의시장대응전략 반도체기술적향상과더불어후발주자와의기술격차감소에따라기존제품에서의경쟁력이약화되고있음. 학 연 산협력을통한시너지효과를활용하여고성능, 고부가가치의제품군으로전환이시급함 전략대상품은 MEMS 및 3D 적층분석, 고전력소자, 센서등새로운패러다임의반도체가바람직하며, 기술적으로는고정밀도, 고속측정이가능한기술이확보되어야함

242 핵심요소기술로드맵

243 반도체검사장비 1. 개요 가. 정의및필요성 반도체공정은전공정과후공정으로나뉘는데, 반도체검사장비는절단, 배선, 패키징, 검사가수행되는후공정단계에서의검사장비를주로의미함. 반도체검사장비는주로전기적특성평가장비를지칭하는데, 패키징전에웨이퍼수준에서평가가수행되기도하며, 패키징후수행되기도함. 그외의기타물리적분석을포함, 여러가지장비들이검사장비범위에포함될수있으며, 광범위하게는반도체의각공정단계를모니터링하거나진단하는장비들까지도반도체검사장비에포함할수있음 일반적반도체검사장비는전기적신호를인가하여원하는출력신호를얻을수있는지여부를평가하는장비를일컬음. 가장기본적으로반도체소자의정상작동여부를판정하며, 경우에따라품질수준을적정범위로관리할수있음 [ 반도체전기적특성검사장비블록도 ] 출처 : Chroma webpage [ 반도체후공정및검사순서도및검사장비 ] 235

244 기술개발테마현황분석 반도체검사장비는필연적으로장비와반도체소자간연결을위한장치를필요로하는데, 반도체전공정의경우웨이퍼상태에서프로빙을위한 probing card를필요로함. 후공정검사의경우마찬가지로 probing card 또는소켓과같은유형의구조물을필요로한다. 반도체패키징의소형화, wafer level 패키징기술등의도입으로인해 probing card 또한높은기술적수준을필요로하게되었다. probing card는검사항목의종류에따라요구되는특성에맞게설계및제작되며, 수요자요구에따라설계및제작되는 custom 중심부품에해당함 * 출처 : Wentworth Laboratories webpage [ cantilever probe card ] 반도체의집적도가높아짐에따라미세패턴화되고적층기술, TSV기술등이발전하고있음. 나아가반도체의집적도가올라가고동작클럭이올라감에따라전통적패키징구조를벗어난 wafer level packaging 기술도상용화가시작되고있음. 기존의프로브방식은이러한패키징구조에대응이어려우며, 프로브의탐침수가많아지고프로브의크기도작아져야하므로이에대응할수있는검사장비의개발필요 * 출처 : Design, fabrication and characterization of MEMS probe card for fine pitch IC testing [ MEMS 프로브카드 ] 236

245 반도체검사장비 전기적특성검사외에도광학적검사등도실시하는데, 반도체패키징공정이단순화되고, 반도체 동작이고속화됨에따라미세패턴화됨. 따라서광학적검사의중요도도높아지고있으며, 나아가 X-Ray, laser, IR 분석과같은새로운분석기술이적용된광학검사장비수요도증가하고있음 과거반도체검사장비를공정후최종제품의검증, burn-in 테스트와같은수동적검사수준으로한정하여왔으나, 공정의정밀도향상, 장비운용중지에따른손실방지, 반도체기술의패러다임변화등의이유로보다능동적인공정모니터링기술, 새로운평가및분석기술에대한요구가증대되고있음 반도체공정장비진단기술 : 넓은의미에서반도체검사장비는반도체공정장비의진단까지포함될수있으며, 진단기술의향상에따라상용수준의진단장비들이시장에서적용범위를넓히고있는추세임. 반도체공정장비의진단장비는공정의최종결과물인반도체를검사하는것보다능동적으로공정을관리하기위한수단으로서접근되고있음. 반도체공정기술의정밀도가높아짐에따라능동적검사에대한수요가증가하고있으며, 반도체의품질관리및선제적시설유지관리에의한생산비용절감, 신뢰성향상등의효과를기대할수있음 [ 반도체공정장비진단시스템블록도 ] 반도체산업은주요수출품목에해당함. 따라서반도체장비의수요도매우높은편인데, 핵심검사장비와공정장비는주로국외에서수입하고있는실정임. 이는고속성장을지향한대한민국의산업환경의특징으로분석되며, 그만큼축적된기술이부족하여경쟁력을확보하지못하고있음. 기술개발을통한고기능성, 고성능, 고부가가치중심의반도체관련장비산업의육성이필요함 반도체검사장비를포함하는반도체장비산업은센서, 구동부, 제어부, 반도체, 전력, 시스템, IoT, 인공지능전영역의기반기술이집적된구조임. 구성하는각산업의균형적인발전이필수적인산업분야이며, 종합적이고유기적인관계를필요로하는산업임. 학연산기반이강화되고있고, 기초산업의발전이적극적으로이루어지고있는대한민국의산업현황을보았을때고성능화를통한전략을통한산업진입이가능한분야에해당함 237

246 기술개발테마현황분석 나. 범위및분류 (1) 제품분류관점 반도체검사장비의정의는좁게는반도체공정대상품인반도체의검사를위한장비임. 기능적분류로보았을때전기적특성을평가하기위한장비, 결함을검사하기위한검사장비, burn-in 시험을포함한신뢰성검사장비, 그외넓은의미로공정진단검사장비로분류할수있다 기타검사장비는결함 (defect) 을검사하기위한장비이며, X-Ray, SAM 등의장비가포함될수있다 * 출처 : EETimes, Wafer inspection gets smart [ Wafer defect inspection 예 ] * 출처 : Sonoscan webpage [ Void & delamination inspection(sam) 예 ] 238

247 반도체검사장비 반도체검사장비의확장개념으로서, 능동적검사장비는반도체공정장비의진단장비가포함될수 있음. 진단장비는공정장비의건정성 (prognostics) 을검사하거나, 웨이퍼형태의센서를이용하여 해당공정자체를검사할수도있다 * 출처 : 소재부품기술개발사업기획보고서 [ 반도체공정센서 ] 239

248 기술개발테마현황분석 기술개발테마 제품분류관점 RF & wireless test SoC & Analog test [ 제품분류관점기술범위 ] 세부기술 Spectrum, noise, gain, bandwidth 등 Bluetooth, WiFi, Cell phone, IoT 용도 논리회로 (Logic IC) 의기능 (functional) 검사 메모리, SoC, Digital/Analog hybrid IC 등디지털 / 아날로그신호처리 IC 검사 전기적특성평가 LED & display 전압, 전류, noise, switching time, 기능 (functional) 검사등 전압, 전류, 광출력, 광스펙트럼, 색좌표등 광학적, 전기적특성을별도평가또는상관관계분석 반도체검사장비 태양전지 Handler Probe station Photovolatic, I-V curve 등 반도체검사를통한품질관리 : 반도체이송및자동측정, 산포에따른 sorting 제어 탐침 (probe), 제어, 센서기술등 반도체에전기적신호를입 출력하기위한탐침및자동제어시스템이결합된장치 결함검사 신뢰성 공정장비진단 광학검사 기타비파괴분석 Burn-in & reliability test Health monitoring Defect, pattern, solder 검사 패턴의이상유무, 솔더의형상, 기판또는반도체표면의결함분석 SAM(Scannning Acoustic Microscope), X-Ray 등 비파괴적인방식으로주로패키지의결함을분석 ESD, HTRB(High Temperature Reverse Bias), HTOL(High Temperature Operating Life), H3TRB(High temperature, humidity, bias Reverse Bias) 등 전류, 전압, 진동, 잡음 (noise), 온도등 공정장비의건전성 (prognostics) 평가를통해공정장비의재현성, 품질능동적관리목적 240

249 반도체검사장비 2. 외부환경분석 가. 산업환경분석 (1) 산업의특징 반도체검사장비는광범위한영역이며, 보수적으로는 handler, probe station, parameter ananlyzer의조합으로이루어진검사장비를의미함. 광범위하게는결함분석장비등이포함될수있으며, 최근공정진단검사장비도반도체검사장비범주로서연구가활발히진행되고있음 최근반도체산업의호황에따라반도체제조및검사장비의수요는많은편이며, 반도체공정의유지보수효율화, 반도체의고성능화, 제품군의다양성확대및복합화등의요구에따라검사장비또한고도화, 복합화를요구하고있음. 기본적검사를위한검사장비도존재하며, 수요자요구중심의제품개발도복합적으로존재하는분야임. 국내의경우기술지원및수요자요구에맞춘소량주문제작형태의전략을가진중소기업이다수포진되어있음. 국내기업의육성을위해서는원천기술개발을통한공급자중심의검사장비공급전략이필요함 반도체공정의효율적관리에대한관심이증가함에따라연구개발이활발히이루어지고있음. 해당공정진단검사장비의경우선진국과의기술적격차가크지않음. 플라즈마진단기술, 공정장비진단기술등정부의신성장동력개발지원사업등을통한연구개발이진행되고있으며, 신뢰성을갖춘상용수준의제품개발성공여부, 시장진입여부, 홍보효과등에따라성패여부가결정될것으로예상됨 융합적인기술을요구하므로다양한전문인력및기술을필요로함. 융합기술을통한경쟁력확보측면과일자리창출측면에서보았을때이와같은고도화된산업으로의진입이시급히요구됨 센서, 제어를위한 S/W, 구동부, 신뢰성, 계측기술등기술의융합이절대적으로필요한산업분야에해당함 다양한분야의고급기술을요구하는산업에해당함. 높은수준의기술융합이절대적으로필요한산업분야이며, 나아가 IoT, 인공지능과같은정보기술과융합된고차원적인영역으로진입할것으로예상됨. 각분야의기술융합뿐아니라기술격차를줄이기위한연구개발도꾸준히이루어져야하므로산업의고도화측면, 중견기업의육성측면에서발전이필요한산업임 반도체산업은 VR, 인공지능, IoT, 이동통신등분야의기술발달에따라다양한분야에서발전이이루어질것이며, 기술적고도화에따라검사장비도높은수준의기술개발을요구할것으로예상됨. 또한응용분야의확장에따라검사장비의기능적측면에서도다양한접근이요구됨. 수요측면에서도대폭확대될것으로예상됨 기술적으로진입이수월한 handler, probe station, parametric measurement 분야를벗어난첨단검사장비분야로의진입을위한전략이필요함. 학연산협력체계및국내수요와의연계, 정책적지원등다양한전략적접근이필요 241

250 기술개발테마현황분석 세계반도체검사장비시장은경제위기를거치면서시설투자의감소로인하여기술 규모측면에서경쟁력이부족한다수의기업이인수 합병또는도산하였음. 국내기업도국내수요를중심으로중소규모의반도체검사장비기업이존재하였으나경쟁력부족으로인하여다수의국내기업이폐업하였음 국내반도체검사장비는대형인프라의경우삼성, 하이닉스등을대상으로비교적접근이쉬운검사장비로사업영역이형성되어있음. 그외중소형의 parametric measurement 중심의검사장비시장이형성되어있음 선진국대비검사장비에서의시장점유율은매우낮은편이며, 고성능및고신뢰성을필요로하는영역에서는대부분국외수입장비에의존하고있는상황임 국내산업군이취약한이유는국내검사장비의수요가국내중심으로이루어져있으며, 장비의스펙트럼이넓지않은상황임. 새로운카테고리의시장으로진입하기위한장벽이높으며, 기술적인격차문제도존재하므로시장진입이까다로움 최근국내메모리반도체업계는메모리가격의상승및공급부족에힘입어호황을맞고있으나, 패키징및검사분야다수기업은신기술개발에소홀하면서거래및매출감소로이어져경영위기까지겪고있음. 결론적으로국내의경우기술중심으로시장이재편되고있는것으로볼수있음 (2) 산업의구조 반도체산업을기능적으로분류하면전공정, 후공정, 검사및분석으로나눌수있음. 반도체제조시의장비산업은전공정장비가약 80% 를상회하는수준이며, 후공정장비는약 5% 를상회하는수준으로파악됨. 검사장비는대략적으로후공정장비의 3~4배규모인 15% 정도를차지하는것으로알려져있음 기능적으로는 signal test를위한검사장비를주를이루며, 그외분야는시장규모가작은편이었으나중요도가올라감에따라시장규모가계속커지는추세임 반도체검사장비는제조장비와더불어미국, 일본이시장을선점하고있음. 국내기업은대략 10~30% 수준의점유율을보이고있음. 국내기업은 handler, 메모리테스트장비등을중심으로다수진출하였음. 해당영역은해외선진사대비상당수준의기술력에도달한상태이며, 국산화율도타영역에비해비교적높은수준임. 그외비메모리검사장비영역에서는국내시장이해외시장대비매우작은편이므로비교적진출이쉽지않은것으로파악됨 242

251 반도체검사장비 나. 시장환경분석 (1) 세계시장 전세계반도체검사장비시장은 2021 년기준 33 억달러수준으로전망 년대경제 위기로인해반도체시설투자가감소하였으나 4 차산업혁명및정보통신기술수요의증가 등에따라시설투자는증가추세를보임 [ 반도체검사장비세계시장규모및전망 ] ( 단위 : 백만달러, %) 구분 '16 '17 '18 '19 '20 '21 CAGR 세계시장 2,897 2,966 3,057 3,148 3,243 3, * 출처 : SEMI Outlook-Market Briefing, 2015, 정보통신산업진흥원, 한국반도체산업협회등의자료를참고하여전망치를추정함 반도체시설투자액은역대최대로예상되는데, 특히한국에서삼성전자, SK 하이닉스의시설투자가 급격한증가를보일것으로전망됨. 메모리의수요증가, 미세공정경쟁우위에힘입어삼성전자와 SK 하이닉스의시설투자가집중되는것으로파악되며, 대한민국반도체시장이메모리중심으로 구성되어있음을보여주고있음 중국의반도체굴기선언에따른시설투자증가에따라중국에서의시설투자액도크게증가할 것으로예상됨. 중국업체는지난해대규모팹건설에 60 억달러이상을투자하였으며, 2018 년에도 약 60 억달러를투자할것으로전망되므로반도체공정장비와더불어검사장비의수요도크게 증가할것으로전망됨 * 출처 : 국제반도체장비재료협회 [ 지역별장비투자액추이및전망 ] 243

252 기술개발테마현황분석 반도체검사장비는 teradyne, advantest 2개사가가장높은점유율을보임. 기술격차도크며, 규모적측면, 보수적인산업의특성상신규업체가진입하기어려운특징을보임. 대한민국의경우유니테스트가국제시장일부영역 ( 메모리테스트 ) 에서경쟁력을높여나가고있으며, 최근에는메모리반도체검사장비시장에서약 5~10% 정도로시장점유율을올리고있음 [ 반도체검사장비시장현황 ( 12 기준 ) ] 구분 매출액 ( 백만 $) Market share Advantest( 일본 ) 1, % Teradyne( 미국 ) 1, % Ltx-credence( 미국 ) % 유니테스트 ( 대한민국 ) % 기타 % 합계 100 % * 출처 : 반도체검사장비분야최근특허통계및경쟁업체의최근기술분석, 특허청전기전자심사국 244

253 반도체검사장비 (2) 국내시장 SEMI( 국제반도체장비재료협회 ) 의시장전망으로는한국의반도체장비시장은 2018년 200억달러이상이될것으로예측하고있음. 그중반도체검사장비는 15% 수준으로추정할경우 20~30억달러수준으로예상됨 국내반도체장비관련기업은증착용 CVD, 절단장비 (dicing), 레이저마킹장비, 세정장비등비교적기술장벽이낮은분야에집중되어있으며검사장비, 포토공정장비등첨단기술을요구하는분야에서는아직경쟁력이부족한상황임 국내반도체산업의호황에따라반도체장비업체도호황을보이고있으나, 세계시장에서의점유율은미미한수준이며, 제한적인영역에국한되어있음 [ 반도체검사장비시장의국내시장규모및전망 ] ( 단위 : 억원, %) 구분 '16 '17 '18 '19 '20 '21 CAGR 국내시장 * 출처 : SEMI Outlook-Market Briefing, 2015, 정보통신산업진흥원, 한국반도체산업협회등의자료를참고하여전망치를추정함 245

254 기술개발테마현황분석 (3) 무역현황 무역현황은무역협회에서제공하는국가무역통계서비스에서확인하였으며, 분류체계상항목이반도체검사장비에완전히일치하지않으므로여러분류체계에분산되어있을것으로추정됨. 본무역현황은 반도체디바이스나전자집적회로제조용기계와기기 에해당하는 번과전기적양의측정용이나검사용기기 (HS code 9030) 의하위계층세부항목인 반도체웨이퍼또는소자의측정또는검사용의것 에해당하는 HS code 를기준으로조사하였음. 해당 HS code 외에도광학적검사등을포함하는기타장비일부가타분류로분산되어있음 [ 반도체검사장비관련무역현황 ] ( 단위 : 천달러, %) 구분 CAGR 수출금액 729, , , ,124 1,015, 수입금액 6,559,153 7,038,126 7,552,987 8,307,573 9,137, 무역수지 -5,829,964-6,255,172-6,713,420-7,385,449-8,122,254 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 반도체제조용기계분류에서의수출액총액은 2017 년 11 월누계기준수출액 681,141 천달러, 수입액 11,964,053 천달러로수입액이수출액에비해월등히높음 반도체제조용기계분류에서의수출및수입추이를살펴보면수출금액은큰변동이없는반면 수입액은 2017 년기준크게증가하였음. 메모리반도체의관련대규모시설투자에의한것으로 추정됨. 국가적으로무역수지에서반도체의수출액의비중이높으나, 그와관련된반도체장비는 국제적으로경쟁력을크게확보하지못하는것으로나타남 수출액과수입액을비교하였을때수입액이수출액을초과하며, 2016 년이후수입액이크게 증가하는것은국내메모리반도체의호황에따른시설투자에기인한것으로판단되며, 수출액은 오히려소량감소하는경향을보임 반도체웨이퍼또는소자의측정또는검사용에서의수출액은수입액을상회하고있으며, 수입액은 시설투자등에따라변동이비교적크게나타남. 수출액은완만한상승을보이고있으므로 제품군의확대를통한시장확대보다는기존제품군의확장정도로파악됨 246

255 반도체검사장비 다. 기술환경분석 (1) 기술개발트렌드 연구개발동향 * 출처 : 한국반도체산업협회 [ IoT 시장환경에따르는반도체수요의변화 ] 반도체는 IoT 기술의발전에힘입어메모리소자뿐아니라다양한패러다임의반도체로영역이확장되고있음. 그에따라반도체기술도고도화가필요한상황에직면하였으며반도체검사장비또한고성능, 첨단화요구에직면하였음 반도체집적도증가, 고속화등에따라공정기술의정밀도도높아지고있음. 또한공정용웨이퍼의크기가증가함에따라이를만족할수있는 handler, probe card 등도 MEMS probe 와같은패러다임전환수준의검사장비성능향상을필요로함. DDR-4 메모리와같이고속화에따라측정클럭주파수도수 GHz 이상을필요로하므로이를만족할수있는검사장비를필요로함 주요이슈는고속화, 동시측정채널수, 저전압환경, 미세화, 비용절감이검사장비에요구되며, 이에대한다양한접근을하고있음 프로브카드는통해고속화, 미세화, 비용절감을달성하고자 MEMS probe card 등을이용한연구개발이이루어지고있음 검사대상품인반도체의고성능화, 대용량화에따라검사장비의용량문제도중요한이슈가됨. 기존장비대비다채널화, 데이터처리등이요구되고있음. 패턴의미세화에따라검사장비에서의정렬 (align) 문제도기술적향상이필요하며, 제어기술등도향상되어야함. 결론적으로기능구현뿐아니라기초기술에기반한성능최적화가필요하며기초기술및원천기술이부족한후발주자가격차를줄이기어려운상황임 247

256 기술개발테마현황분석 3. 기업분석 가. 주요기업비교 반도체검사장비는미국, 일본이과점하고있는상황임. 그중에서도미국의 Teradyne, 일본의 Advantest의시장점유율이매우높음. SoC 테스터 ( 전체시장 21억달러 ) 의경우양사의시장점유율이 85% 내외의점유율을차지하고있으며, 메모리테스터 ( 전체시장 4억달러 ) 의경우도 80% 이상의점유율을차지하고있음 반도체제조용장비는일부영역에서경쟁력을보이고있으나, 반도체검사장비분야에서는몇몇기업을제외하면경쟁력은높지않음. 국내기업은유니테스트등에서메모리테스트시장에진출하였으며, 그외에는시장을선도할만한사항은없음. 비교적프로브카드, 핸들러등비교적진입장벽이높지않은영역중심의사업을진행하고있음 반도체장비의국산화율은약 20% 수준으로, 국산화율은증가추세에있으나, 원천기술확보를통한국산화보다는선진업체의벤치마킹한사례가다수임. 그에따라해외선진업체의특허소송도증가하고있음. 반도체장비분야에서의후발주자로서추격및국산화전략에일부한계를보여주고있으며, 반도체산업의패러다임이전환되는시점에발맞춘선도적연구개발필요 국내주요기업은 FPD를포함한반도체관련기업군을조사하였으며, ATE system 외에도관련소재및부품관련기업도조사대상에포함하였음. 국외주요기업은광범위하므로주요 ATE system 기업군을조사하였음 [ 국내주요반도체검사장비관련기업 ] 회사명사업영역 ( 반도체검사장비영역 ) TSE YIKC 테스트소켓, 인터페이스보드, LED 테스트장비 (handler, test & sorter, prober) 메모리테스트장비, 메모리테스트 EXICON 유니테스트 브이원텍 메모리테스트장비, 저장장치 ( 메모리 ) 신뢰성시험장비 메모리테스트장비, 메모리응용제품 ( 그래픽카드등 ) 테스트장비 얼라인먼트시스템, 인스펙션장비 ( 세정전후이물검사, 압흔검사등 ) 케이엔제이인스펙션장비 ( 외형검사, 스크래치검사, 이물검사등 ) HB 테크놀러지 디아이 인스펙션장비 ( 결함분석 ), dicing 장비 메모리및 logic 테스트장비, burn-in 장비 ( 웨이퍼, 패키지 level) 폭스브레인 FPD 용 probe card, probe station, 광학검사장비 ( 외관검사 ) 한미반도체 광학검사장비 (3D vision inspection), die sorter 리노공업 PCB 반도체검사장비용소켓및프로브류, 프로브헤드 248

257 반도체검사장비 고영 코디 오킨스전자 3D inspection 기술응용검사장비 ( 솔더도포상태, 조인트상태, 기판상태등 ) LCD LED 검사장비 burn-in 테스트용소켓, 프로브핀, 웨이퍼및패키지테스트, 프로브카드, 하이셈반도체테스트 ( 프로빙테스트, 광학분석, bake 등 ) 마이크로프랜드스타텍하이비젼시스템뷰웍스이엘피파크시스템즈케이맥영우디에스피디이엔티테크윙아이티엔티미래산업 프로브카드 반도체 ATE(Automatic Test Equipment) 시스템 (IC, discrete, power module) 하이비젼기술을이용한검사장비 광학검사장비용카메라 FPD 검사용장비 ( 신뢰성시험, 광학검사 ), aging 장비 AFM(Atomic Force Microscope) 분석장비 반도체및 FPD 용분석장비 FPD inspection aging repair 장비, 광학검사, 프로브카드 aging 장비, sorter, vision inspection 메모리 로직테스터 handler, 인터페이스보드 메모리테스터 Memory & module test handler, Burn-in sorter 249

258 기술개발테마현황분석 [ 국외주요반도체검사장비관련기업 ] 회사명사업영역 ( 반도체검사장비영역 ) Advantest Teradyne LTX-Credence KLA-Tencor Chroma Yokogawa Keysight ATE(Automatic Test Equipment) system & Inspection system TESEC Applied Materials Tektronics Keithley 250

259 반도체검사장비 국내중소기업사례 토탈솔루션은강화글라스자동검사기, TFT LCD 자동검사기, AOI 패턴자동검사기제품을필두로반도체 / 디스플레이분야검사장비영역확대 제이디텍은반도체후공정시험기분야로특화된반도체검사장비업체 씨앤아이테크놀로지는 2016년산업통상자원부우수기술연구센터로지정되었으며, 진공자동화관련프로젝트를성공적으로수행 디아이티는핵심역량인영상처리기술에기바낳여 3D 측정시장의고해상도 3D 검사수요에대응하여 3D 비접촉측정 / 검사솔루션의개발추진중 제일엠텍은각종 Laser 마킹기의핵심부품인범용레이저를자체기술로개발하여 2년간의각종적응시험을마치고 2011년부터일본내수시장개척중 엠아이반도체는 Track 시스템개발을통한반도체장비및 LCD 장비자동화시스템개발및불량분석및생산아이템장비기술확보기업 [ 주요중소기업비교 ] ( 단위 : 백만원, %) 국내업체자산총계매출액 매출액증가율 영업이익율 당기순이익율 R&D 집중도 ( 주 ) 토탈솔루션 1, ( 주 ) 제이디텍 3,081 5, ( 주 ) 씨앤아이테크놀로지 17,677 13, 디아이티 133,395 58, ( 주 ) 제일엠텍 4,902 4, ( 주 ) 엠아이반도체 3,452 2,

260 기술개발테마현황분석 나. 주요기업기술개발동향 (1) 해외업체동향 소수의글로벌기업들이반도체검사장비의대부분을과점하고있음. 반도체테스트장비시장에서약 45% 내외의점유율을보임. 반도체제조설비와더불어검사장비의수주증가가두드러지는데, 모바일용반도체의테스트수요확대에따른것으로분석됨. 일본시장은자동차용전력반도체모듈의평가시장이중요분야로부상하였으며, 단기능테스트위주에서양산을고려한자동화된검사장비를다수제안하고있음 반도체패키징기술이미세화되고반도체의동작속도가올라감에따라테스트장비의제어기술, 프로브카드미세화, 고주파설계기술의중요도가커지고있음. 또한웨이퍼의크기도커지게됨에따라동시측정가능한용량문제도대두되어검사장비의성능도상향조정되고있음 3D 패키징기술, 웨이퍼본딩기술, WLP(Wafer Level Packaging) 기술등의개발에따라반도체검사장비에서도후면프로빙기술, MEMS 프로브카드개발, 프로브의 RF 설계, alignment 기술등을요구하고있음. 그외에도자동 defect inspection을위한다양한분석기법의검사장비가상용화수준으로개발되고있음 (2) 국내업체동향 반도체테스트분야에서는국내기업은대다수가프로브카드, handler 등기술장벽이낮은분야에집중되어있음. 메모리테스트장비, FPD용광학검사장비등일부분야에서세계시장에진출하고있으며일부제품에서는경쟁력있는수준까지도달한것으로파악됨 미세가공기술을기반으로하여 MEMS 기술을이용한프로브카드분야에서는비교적경쟁력있는수준이나세계시장을리드하는수준에는미치지못하고있음 반도체시설투자확대에따라장비의수요도증가하였으나, 꾸준한수요가아닌일시적인상황으로이해되며, 국내기업이안정적으로매출을창출할수는없는상황임. 그에따라글로벌기업제품의국산화에한계가작용 특허출원동향을보면, 2000 년중반이후감소하는추세를보이고있음. 이는반도체 검사장비산업이고도화되고저가장비의기술격차감소에따른경쟁력약화로분석됨 기업간지적재산에대한분쟁이증가하고있음. 검사장비를포함하여반도체공정장비의국산화는 계속증가하였으나기술력차이로인해선진사벤치마킹하는경우가다수임. 기업의지적재산권 강화에따라국내기업에대한지적재산권분쟁이확대되고있음 252

261 반도체검사장비 4. 기술개발현황 가. 기술개발이슈 최신검사장비개발동향 메모리, IC의동작속도가올라감에따라검사장비의처리속도도올라가고있음 양산웨이퍼의크기가커지고, 반도체용량의증가, 테스트비용및시간절감을위해동시처리가능한용량문제도대두됨. handler의정밀도요구가높아지고 Vision inspection 기술은고속처리, 재현성, intelligence( 인식기술 ), 네트워크 정보화기술을통해반도체의품질, 신뢰성을향상시키는기술. machine vision system은사람에의한오류를방지할수있으며, 자동화가가능한수준까지기술이향상되어활용도가높아지고있음. 2D에서나아가 3D까지가능한장비들이개발되어현장에투입되기시작한도입기에이르렀음 패키징기술의고도화에따라검사장비의정밀도도높아져야함. 또한 X-Ray, laser, 초음파등을활용한분석기술의중요도가부각되고있음. 해당분석기술은양산분석이가능하도록자동화되거나새로개발진행중에있음 반도체및패키징소재도고도화됨에따라원자재수준의검사에대한수요도증가. X-Ray, 초음파, laser, machine vision 등의분석장비를활용한원자재수준의비파괴분석에대한관심증가. 최근 THz를이용한분석장비도분석기법으로서제시되고있음. THz 주파수는투과성이좋고비파괴분석이가능하여공항검색대등에서상용화되고있으며, 반도체검사장비로서도제안되고있음 253

262 기술개발테마현황분석 나. 특허동향분석 반도체검사장비특허상주요기술 주요기술 반도체검사장비는전공정테스트기술로검사대상에따라웨이퍼테스트기술, 반도체소재테스트기술로구분되며, 후공정테스트기술은메모리테스트기술과 SoC 테스트기술로분류되며, 공정테스트기술은융복합테스트장비기술, 실시간공정진단기술로구분됨 분류요소기술설명 전공정테스트 후공정테스트 공정테스트 웨이퍼테스트기술반도체소재테스트기술메모리테스트기술 SoC 테스트기술융복합테스트장비기술실시간공정진단기술 화합물전력반도체구조의웨이퍼수준테스트를위한고전압, 저전압, 커패시턴스등동시측정기술등웨이퍼테스트장비기술 반도체소재측정및평가기술등반도체소재테스트장비기술 차세대메모리측정에필요한펄스측정기술등메모리테스트장비기술 복잡하고미세한많은소자를측정할수있는고속다채널지원기술, 반도체의고밀도화, 고속화, 미세화에따라반도체패키지불량을검출하기위한오픈 / 쇼트검사기술등 SoC 테스트장비기술 디지털 I/O, 프로그래밍가능한 DC 파워서플라이, 오실로스코프, 함수발생기, 디지털멀티미터등을올인원으로검사할수있는통합테스트장비, 초미세, 고집적, 3D 적층형소자제작을위한나노패턴의측정및검사용다모드융복합 MI(Metrology and Inspection) 장비등융복테스트장비기술 웨이퍼, 가공챔버환경, 박막생성가스및플라즈마상태등반도체생산공정진행중각상태를실시간으로모니터링할수있는실시간공정테스트장비기술 254

263 반도체검사장비 세부분야별특허동향 주요기술별국가별특허동향 반도체 검사장비의 요소기술별 주요 국가별 특허정보 데이터 입수하였으며, 최근 10년간의 특허데이터를비교분석함분류 요소기술 한국 미국 일본 유럽 계 전공정테스트 웨이퍼테스트기술반도체소재테스트기술 후공정테스트 메모리테스트기술 SoC 테스트기술 공정테스트 융복합테스트장비기술실시간공정진단기술 합계 ,390 국가별 요소기술별 특허동향에서 전공정 테스트 기술분야는 일본이 가장 많은 비중을 차지하고 있으며, 유럽이상대적으로적은출원량을보유하고있음 후공정테스트기술분야는미국이가장많은특허출원비중을보이고있으며, 유럽이상대적으로 적은특허출원을나타내고있음 공정 테스트 기술분야는 미국이 가장 많은 비중을 차지하고 있으며, 유럽이 상대적으로 적은 출원량을보유하고있음 주요기술별출원인동향 세부분야 요소기술 기술집중도 주요출원인 국내특허동향 전공정테스트 웨이퍼테스트기술 반도체소재테스트기술 삼성전자 Hitachi High-Technologies Renesas Electronics 대기업중심 삼성전자, 에스케이하이닉스, 넥스틴등 후공정테스트 메모리테스트기술 SoC 테스트기술 에스케이하이닉스 삼성전자 Longitude semiconductor 대기업중심 에스케이하이닉스, 삼성전자, 예스티등 공정테스트 융복합테스트장비기술 실시간공정진단기술 삼성전자 에스케이하이닉스 Renesas Electronics 대기업중심 삼성전자, 에스케이하이닉스, 오킨스전자등 255

264 기술개발테마현황분석 전공정테스트기술분야주요출원인동향 전공정테스트기술분야는삼성전자가가장많은특허를보유하고있으며그다음으로는 Hitachi High-Technologies, Renesas Electronics 등이많은특허를보유하고있는등일본회사들이주류를이루고있는것으로나타남 후공정테스트기술분야주요출원인동향 후공정테스트기술분야는에스케이하이닉스가가장많은특허를보유하고있으며그다음으로는삼성전자, Longitude semiconductor 등이많은특허를보유하고있는등한국회사들이주류를이루고있는것으로나타남 공정테스트기술분야주요출원인동향 공정테스트기술분야는삼성전자가가장많은특허를보유하고있으며그다음으로는에스케이하이닉스, Renesas Electronics 등이많은특허를보유하고있으며, 한국회사들이주류를이루고있음 반도체검사장비분야의주요경쟁기술및공백기술 반도체검사장비분야의주요경쟁기술은전공정테스트기술이고, 상대적인공백기술은공정테스트기술로나타남 반도체검사장비분야에서웨이퍼테스트기술, 반도체소재테스트기술로구성된전공정테스트기술분야가가장경쟁이치열한분야이고, 융복합테스트장비기술, 실시간공정진단기술로이루어진공정테스트기술분야가상대적으로출원이활발하지않은공백기술분야로나타남 세부분야요소기술기술집중도 전공정테스트 웨이퍼테스트기술 반도체소재테스트기술 후공정테스트 메모리테스트기술 SoC 테스트기술 공정테스트 융복합테스트장비기술 실시간공정진단기술 : 50 건이상, : 30~49 건, : 20~29 건, : 10~19 건, : 10 건미만 256

265 반도체검사장비 최신국내특허기술동향 분류요소기술최근핵심요소기술동향 전공정테스트 웨이퍼테스트기술 반도체소재테스트기술 이중거울방식을이용한웨이퍼영상검사기술 다중조명장치를이용한웨이퍼검사기술 후공정테스트 메모리테스트기술 메모리모듈자동광학검사기술 작은지터 (jitter) 성분을갖는고주파의클럭신호기 SoC 테스트기술 반메모리검사기술 공정테스트 융복합테스트장비기술 실시간다중접합반도체공극검사기술 웨이퍼및웨이퍼가공챔버내환경실시간모티터 실시간공정진단기술 링장비기술 국내특허동향을살펴보면모든기술분야가대기업중심으로활발하게연구개발이추진되고있는것으로나타남 전공정테스트기술분야는대기업을중심으로삼성전자, 에스케이하이닉스, 넥스틴등에서이중거울방식을이용한웨이퍼영상검사기술, 다중조명장치를이용한웨이퍼검사기술등을연구개발하고있음 후공정테스트기술분야도대기업을중심으로삼성전자, 에스케이하이닉스, 오킨스전자등에서메모리모듈자동광학검사기술, 작은지터 (jitter) 성분을갖는고주파의클럭신호기반메모리검사기술등이연구개발되고있음 중소기업특허전략수립방향및시사점 반도체검사장비분야의상대적인공백기술분야는공정테스트관련기술로나타남 반도체검사장비분야는반도체공정에서웨이퍼, 반도체소재등전공정테스트와메모리, SoC 등후공정테스트등에서유용하게사용될수있음 최종검사장비는대규모의장치투자가필요한분야로주로대기업중심으로연구개발및투자가이루어지고있는분야임 하지만중소벤처기업도검사장비의일부기술및핵심부품등을연구개발하여검사장비업체와협업한다면최종수요자인반도체제조업체에납품할수있는가능성이있음 향후중소기업은상대적으로경쟁이치열하지않는공정테스트분야의융복합테스트장비나실시간공정진단기술을공공연구기관의기술을이전받거나공동으로연구개발하여제품화하는특허전략을수립하는것이바람직할것으로사료됨 257

266 기술개발테마현황분석 5. 연구개발네트워크 가. 연구개발기관 / 자원 (1) 연구개발기관 / 자원 반도체검사장비는부품, 모듈, S/W 등과같은단일기술이아닌시스템단계이기때문에 연구소에서직접적검사장비수준의연구보다는검사기술의개발등에집중되어있으며, 주로기업중심의연구개발이집중되어있음 [ 반도체검사장비분야주요연구기관현황 ] 기관 전자부품연구원 연세대학교 연구내용 신뢰성평가및신뢰성평가장비일부 (power cycling tester), 반도체공정장비의진단기술개발 메모리테스트, SoC & 3D IC test 한양대학교 메모리테스트알고리즘개발 충북대학교 메모리고장모델에대한테스트개발 나. 연구개발인력 각대학및연구소에서반도체테스트일부영역의연구수행하고있음 [ 반도체검사장비분야주요연구기관현황 ] 기관 부서 전자부품연구원 신뢰성연구센터 연세대학교 컴퓨터시스템및고신뢰성 SOC 연구실 한양대학교 멀티미디어시스템연구실 충북대학교 집적시스템설계테스트실 시스템의복잡성때문에반도체검사장비를최종목표로연구를수행하는연구소및대학은 별도없음. 검사장비알고리즘및고속화기술등은여러기관및대학에서일부연구가 수행되고있으므로학연산협력체계를통한기술개발이적합함 258

267 반도체검사장비 다. 기술이전가능기술 (1) 기술이전가능기관 기관및대학에서반도체검사기술의일부영역별로연구를수행하고있음. 완제품수준의완성된기술을이전받기는어려우며, 특정기술에특화된연구실과의협력또는기술이전을통한활용이바람직함. 시스템 ( 하드웨어와소프트웨어구현기술 ) 의구현이가능한전문기업과알고리즘및평가법을연구하고있는기관및대학과의협력체계구축이현실적인대안이될것으로판단됨. 주로메모리검사알고리즘에관련된연구가다수이루어지고있으며, 신뢰성검사알고리즘을적용한신뢰성검사장비를개발하기위한연구등도일부이루어지고있음 [ 반도체검사장비분야연구기관및대학 ] 요소기술 기관 3D 적층또는 TSV 구조의 IC 테스트기술 연세대학교 3D 구조 IC 의테스트최적화기술, SoC 의오류검출기술 한양대학교 반도체신뢰성평가기술 (Burn-in), 신뢰성평가장비 (power cycling tester), 공정장비진단기술 전자부품연구원 다중테스트시고장모델에기반한테스트법 충북대학교 공정장비진단기술및건전성예측 서울대학교 259

268 기술개발테마현황분석 (2) 이전기술에대한세부내용 [ 반도체검사장비기술 ] 분류기술명기술개요기술이전목적및필요성기술의특징및장점활용방안및기대성과 세부내용 공정장비건전성진단기술 공정장비의고장시반도체공정중단에의한경제적손실이막대함. 공정장비진단에대한요구가증대되고있음. Precursor를이용한장비의건전성 (prognostics) 진단을통해고장전사전정비를유도 반도체의고성능화에따라공정장비의건전성뿐아니라공정자체의모니터링중요도증가. 공정모니터링은웨이퍼형태의진단센서를이용, 주기적으로공정의항상성을모니터링하여장비의신뢰성을확보함. 반도체공정의대상품의반도체소자의품질및신뢰성관리목적 신뢰성은과거시장출시전검증단계의시험이주로수행되었으나, 사용환경등에따라수명이다르게나타나므로실질적으로필드에서의정확한수명예측이어려움. 사용상태를기준으로능동적으로신뢰성을모니터링하여고장전사전조치할수있도록함 인공지능, IoT 환경의발전에따라모니터링기술은다중화되고복합화되고있음. 결과적으로지능형공장의실현을위해서진단기술의중요도가부각되고있음 IoT 기술은인적자원이풍부한한국이접근하기쉬운분야임. IoT 기술을포함하여기초산업기반이튼튼함 미세공정을위한반도체장비는높은재현성과신뢰성을요구하는보수적인분야에해당함. 기술적측면이나보수적산업특성상신규업체의시장진입이쉽지않음. 반면진단기술은상대적으로기술격차가크지않으므로기술수준차이를좁이기수월하며시장진입도수월할것으로예상됨 하드웨어, 정보기술, S/W, 제어기술등전산업의융합기술에해당함. 한국의산업구조특성은원천기술이부족하지만응용기술이뛰어나며인적자원이풍부하여해당산업의기초역량이어느정도확보되어있음 기술장벽이낮은영역의경우중국을비롯한국가들과의기술격차가빠르게줄어들고있음. 융합신산업으로의전환이시급한상황임 차세대반도체기술개발이활발하게이루어지고있으며, 차세대반도체평가를위한검사장비분야에서도새로운패러다임이요구되고있음 진동, 소음, 노이즈, 온도등다양한센서기술 IoT 기술을이용한네트워크구성 머신러닝, 인공지능을이용한파라미터분석기술및이를이용한진단기술 시스템제어기술 고장메커니즘 (PoF) 에기반한열화모니터링기술 반도체공정장비및공정품질진단을통한고장예지기술 : 고장예방에따른경제적손실방지 진단기술은반도체공정장비뿐아니라인프라를포함한안전관련진단으로응용활용가능 센서산업, S/W 산업, 제어기술산업등다양한산업의육성달성 스마트공장기술확보에따른후발주자에대한기술적우위달성기대 260

269 반도체검사장비 6. 기술로드맵기획 가. 중소기업핵심요소기술 (1) 데이터기반요소기술발굴 [ 반도체검사장비분야키워드클러스터링 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 semiconductor test multi [ 반도체검사장비분야주요키워드및관련문헌 ] 4~5 1. A cost of test case study for wafer-ring multi-sites test handler in semiconductor s industry through theory of the firm 2. A flexible test bench for power semiconductor switching loss measurements 261

270 기술개발테마현황분석 클러스터 02 semiconductor test data 4~5 1. A method for storing semiconductor test data to simplify data analysis 2. A Test Environment for Power Semiconductor Devices Using a Gate-Boosting Circuit 클러스터 03 semiconductor test automotive 4~5 1. Arduino based power semiconductors tester for urban traction systems 2. Automotive semiconductor test 클러스터 04 semiconductor test platform 4~5 1. Comparison of two high-throughput semiconductor chip sequencing platforms in noninvasive prenatal testing for Down syndrome in early pregnancy 2. Controlling work in process during semiconductor assembly and test operations 클러스터 05 semiconductor test circuit 4~5 1. Design and analysis of power semiconductor test circuits 2. Determining the operator-machine assignment for machine interference problem and an empirical study in semiconductor test facility 클러스터 06 semiconductor test set up 5~7 1. Eliminating Re-Burn-In in semiconductor manufacturing through statistical analysis of production test data 2. Hierarchy machine set-up for multi-pass lot scheduling at semiconductor assembly and test facilities 클러스터 07 semiconductor test program 4~5 1. High-current test-bench for thyristor-based semiconductors 2. Improving Semiconductor Reliability with Advanced Engineering Methods in Test Program Development 클러스터 08 semiconductor test MOS 4~5 1. In Situ XPS Chemical Analysis of MnSiO3 Copper Diffusion Barrier Layer Formation and Simultaneous Fabrication of Metal Oxide Semiconductor Electrical Test MOS Structures 2. Integrated Cryogenic Electronics Testbed (ICE-T) for Evaluation of Superconductor and Cryo-Semiconductor Integrated Circuits 클러스터 09 semiconductor test memory 7~8 1. Issues in testing advanced power semiconductor devices 2. Measurement system for test memory cells based on keysight B1500A semiconductor device analyzer running LabVIEW software 클러스터 10 semiconductor test power 5~6 1. Modern methods and means for nondestructive testing of the quality of power semiconductor devices 2. Modular Marx generator for dv/dt testing of power semiconductor devices 262

271 반도체검사장비 (2) 요소기술도출 [ 반도체검사장비분야요소기술도출 ] 분류요소기술출처 미세피치프로브카드 특허 / 논문클러스터링, 전문가추천 Handler & Probe station 대구경웨이퍼대응시스템 특허 / 논문클러스터링, 전문가추천 병렬처리가능 parallel 수 특허 / 논문클러스터링, 전문가추천 ATE 클럭주파수상향 측정가능파라미터확장 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 Defect inspection Defect 인식및분류기술개발 특허 / 논문클러스터링, 전문가추천 263

272 기술개발테마현황분석 (3) 핵심요소기술선정 [ 반도체검사장비분야핵심요소기술연구목표 ] 분류핵심요소기술개요 미세피치프로브카드 미세피치프로브카드검사기술 Handler & Probe station 대구경웨이퍼대응시스템 웨이퍼크기에따른검사장비최적화시스템 병렬처리가능 parallel 수 초고속, 초미세반도체검사가능한정밀 probe system 기술 클럭주파수상향 클럭주파수상향기술 ATE 측정가능파라미터확장 초고속반도체검사가가능한신뢰성있는 ATE system 개발 Defect inspection Defect 인식및분류기술개발 10nm 수준의 defect 검출및분류가가능한검사장비개발 264

273 반도체검사장비 나. 반도체검사장비기술로드맵 최종중소기업기술로드맵은기술 / 시장니즈, 연차별개발계획, 최종목표등을제시함으로써 중소기업의기술개발방향성을제시 265

274 기술개발테마현황분석 다. 연구개발목표설정 로드맵기획절차는산 학 연전문가로구성된로드맵기획위원회를통해선정된 핵심요소기술을대상으로기술요구사항, 연차별개발목표, 최종목표를도출 [ 반도체검사장비분야핵심요소기술연구목표 ] 분류핵심요소기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 미세피치프로브카드 프로브피치 (um) 90% 이상 95% 이상 98% 이상 미세피치 MEMS probe card Handler & Probe station 대구경웨이퍼대응시스템 웨이퍼직경 (inch, mm) 90% 이상 95% 이상 98% 이상 기구정밀도및제어기술개발 병렬처리가능 parallel 수 병렬처리가능 parallel 수 (para) 90% 이상 95% 이상 98% 이상 다중제어기술개발 클럭주파수상향 클럭주파수 (GHz) 85% 이상 90% 이상 95% 이상 저잡음, 고주파회로설계기술개발 ATE 측정가능파라미터확장 측정파라미터종류 ( 종 ) 60% 70% 80% 파라미터측정알고리즘개발, SMU 개발 Defect inspection Defect 인식및분류기술개발 defect 인식정밀도 (nm) 70% 이상 80% 이상 85% 이상 Defect 인식알고리즘개발, 머신비젼카메라개발 266

275 기술개발테마현황분석 반도체패키징소재

276

277 반도체패키징소재 정의및범위 반도체를이용한패키지에사용되는기판소재및반도체패키지공정에서사용되는패키지공정소재를말함 반도체공정에서사용되는소재와반도체패키지공정소재를말하며, 최근에는 LED 등의패키지소재를포함 정부지원정책 정부는반도체산업의고도화를위하여메모리반도체외에시스템반도체, 화합물반도체, 장비 재료산업의육성정책을적극추진 반도체산업부문에서기술개발, 인력양성, 시스템반도체및장비ㆍ재료산업육성등세부사업추진과더불어시스템IC 2010 사업의후속시스템반도체상용화기술개발 ( 시스템IC 2015) 사업의전략적추진으로시스템반도체분야글로벌역량을강화 (2014년, 산업통상자원부 ) 국내ㆍ외수요기업과의연계강화를통해국제경쟁력을갖춘장비ㆍ재료선도기업육성에역점을두어진행 중소기업시장대응전략 강점 (Strength) 반도체및디스플레이분야의기술적우수성확보 국내대형반도체업체의존재로인해평가용이기회 (Opportunity) 약점 (Weakness) 생산관련업체부족및생태계미성숙 신규업체제품의이용에대한생산업체의불신 반도체패키징기술설비투자미흡위협 (Threat) 국산소재산업육성에대한정부의의지 국내시장규모로인한시장접근성우수 선진해외업체의기술력을앞세운공세 기존업체의기술적으로높은진입장벽 중국업체드르이저임금을통한낮은가격공세 중소기업의시장대응전략 국내반도체장비업체와패키징업체가협력을통한패키징용설비마련하여해외경쟁력확보 연구개발부분을영업과가까운부서에배치하는등조직을개편하여수요처의요구에밀착대응할수있는개발시스템도입

278 핵심요소기술로드맵

279 반도체패키징소재 1. 개요 가. 정의및필요성 반도체패키징소재는반도체칩혹은디바이스를기재 (Substrate) 에탑재해전기적신호를전달하고, 외부물질 ( 습기, 먼지및기타불순물 ) 로부터보호하도록봉지해주며또한칩으로부터발생되는열을방출하는등의다양한기능을제공하는각종반도체패키지제조에사용되는유기 무기 금속으로구성된 2차제품 (Engineered Products 혹은 Engineered Materials) 을총칭 반도체패키징소재는각종원소재들로부터가공, 최적화된일종의 2차제품으로원소재개질기술, 배합 복합화기술, 부형기술 ( 필름화 적층 ) 등의매우다양한기술을구사해제조되며반도체패키지제조에있어서담당하는소재의기능에따라 4가지 ( 공정 칩조립용, 연결기능, 보호기능, 패키지기재용 ) 로분류함 [ 반도체패키징의기본구조 ] 단계에서계층적으로이루어짐. 패키징의계층구조는능동소자인반도체칩을각종기재와연결하는단계 (First-Level Package) 와기재와인쇄회로기판 (PCB, Printed Circuit Board) 을연결하는조립단계 (Second-Level Package) 그리고 PCB와 Motherboard를연결하는단계 (Third-Level Package) 로나눌수있음. 엄밀한의미에서보면반도체패키징소재는 First-Level Package에해당하지만넓은의미에서 Second-Level Package에사용되는일부소재및제품도포함시킬수있음 271

280 기술개발테마현황분석 반도체패키지와전자부품의고집적화 소형화 박형화가전자기기의소형화 경량화 박형화에크게기여하고있는가운데, 초고밀도실장용신개념패키지도제안되면서이에적합한소재개발에대한중요성이커지고있음 지구환경이나인체에대한안전성배려등환경규제가강화되면서배선소재의무연 (Lead-free) 화, 봉지제의 Non-halogen 화기술사용이증가하면서솔드리플로우 (Solder Reflow) 온도의상승및고비용화등이동반되고있음. 이를해결하기위한실장및저가화노력이요구되고있음 휴대전화등의모바일기기박형화가가속화되면서높은공정온도에서불가피하게발생하는무연배선소재의박형패키지의휨대책에대한기술적해결책으로서저온배선소재에대한중요성이부각되고있음 반도체공정은계속해서미세화되어가고있는가운데단일면적안에얼마나많은정보를저장하는미세한셀을넣을수있느냐하는용량의확장은점점물리적한계에도달하였고, 이러한미세공정의한계를극복하고자차세대패키징기술주목받고있음 패키징소재는조립및패키징기술의중심적역할을담당해왔는데패키지전체비용에서차지하는비중뿐만아니라패키지의신뢰성과작업성에미치는영향이지속적으로증가하고있음. 더욱이최근디바이스의미세화및패키지의박형화가급진전됨에따라디바이스의성능을좌우하는핵심요소로자리잡고있음 반도체는고집적화가급속히진행돼단위면적당발열이급증하고있음. CPU 의저소비전력화수단으로서지금까지사용된전원의저전압화는한계에다다르고있음. 현행소재시스템으로는대응이불가능해전자산업전반의문제점으로대두됨. 이에따라신소재및공정을적용해기존입자분산형고분자복합소재의방열성한계 (4W/mK) 를극복한차세대고방열패키징소재를개발해전자산업발전의걸림돌을제거해야할필요가커지고있음 반도체패키징소재 ( 다이본드재, 봉지재등 ), 각종회로조립소재 (Gap Filler, Thermal Spreader 등 ) 및각종기판소재에대한고열전도성부여기술의개발이매우시급 [ 반도체제조공정의기술적한계 ] 272

281 반도체패키징소재 일부범용제품용을제외하면반도체패키징용고순도에폭시수지및실리카등의원소재는거의일본으로부터전량수입되고있음. 에폭시수지용일액형잠재성경화제등의 2차가공제품 (Engineered Products) 의경우도일본업체에서독점하고있는상황인데물량의안정된공급측면이나일본기업과의차별적공급정책으로국내 3차제조업체 ( 예, ACF 제조업체 ) 들이사업전개에어려움을겪고있는상황 [ 반도체패키징의예 ] 273

282 기술개발테마현황분석 나. 범위 (1) 제품분류관점 전자패키징 (Microelectronic Packaging) 은크게 FAB 공정 칩조립공정 기판실장으로구성되는반도체패키징, 기판에수동소자들을실장하는등의기판조립및시스템조립으로구성되는시스템패키징으로구분 반도체패키징기술은소형화 박형화 다기능 고집적화 고신뢰성 고방열화 저가화등의추세를통해발전을거듭 오늘날전자제품시장을주도하고있는휴대폰 PMP MP3 등과같은모바일기기들에는다양한종류의 3차원 (3D) 패키지솔루션이제공되고있는데이들은예외없이소형화를지향하고있음. 휴대제품의소형화를달성하기위해서는반드시이들제품을구성하는칩의소형화가이루어져야하는데칩을소형화하기위한대표적인패키징기술이바로 3D 패키징이며 MCP(Multi Chip Package), SIP(System in Package), PoP(Package on Package) 및 PiP(Package in Package) 등이시장에출현 플립칩패키징기술은마이크로프로세서 ASIC, 고성능기기 (High-end Devices) 등의고성능요구에의한영역 (High Performance 용도 ) 과칩사이즈가작은모바일분야에서의소형화된패키지및가격경쟁력을요구하는영역 (Cost Performance 용도 ) 으로나뉘어져그적용이증대되고있음. 특히대량생산에적합한땜납합금을이용한 Plated 플립칩범프제조기술과같은저가웨이퍼범핑기술은플립칩공정원가가대폭절감됨에따라산업에서일반화됨 [ 적층방식에따른 3D 반도체종류 ] 274

283 반도체패키징소재 (2) 공급망관점 원료형소재인 stripper, 도금소재, 원료 resin, blending 소재인 epoxy resin, 실리콘 resin, 경화제, 첨가제등과중간재소재인패키징기판, 패키지공정소재로구성됨 [ 공급망단계별주요제품분류 ] 대분류 중분류 ( 공급망단계 ) 세부제품 중간재소재 패키지기판 패키지공정소재 저열팽창패키지소재, 저유전율, 기판소재, 고열전도기판소재 고방열 EMC paste, 고방열 underfill paste, EMC 용 film, 고방열 LED 몰딩 paste 반도체패키징소재 Blending 소재 halogen free 소재, 고내열 epoxy 소재, 내습실리콘 resin 소재, 고방열 resin 소재 stripper PR stripper 소재, PR developer 소재, Mask 세정제 원료형소재 도금소재 원료 resin potassium gold cyanide, 기타도금원료소재 epoxy resin, Silicon resin 275

284 기술개발테마현황분석 2. 외부환경분석 가. 산업환경분석 (1) 산업의특징 패키징소재시장은반도체패턴미세화공정기술의진보와함께발전하고있지만현시점에 최대수익을얻는반도체소자의수요에가장크게의존 패키징소재산업은반도체소자의기술개발조건에매우민감하게적용 반도체소자는집적도및성능개선을위해서끊임없이패턴의미세화를추구하며, 관련공정이 가능한광원의개발과함께패키징소재도개발중 상기이유로패키징소재시장의주공급업체는반도체산업의초기부터시장에진입한 업체들을중심으로시장이편성될가능성이높음 특히반도체산업초기부터시장에참여해온유기감광재료관련업체들이시장에서주공급자가 되며, 이러한공급자에는삼성전자, 덕산하이메탈, 케이씨텍, 솔브레인, 기가레인등으로구성 세계적으로메모리시장에서최강자인삼성전자로의공급이기술력을반증하는것으로 인정되고있어삼성전자로의공급을대부분의패키징소재생산업체가심혈을기울여추진 중 삼성전자ㆍ인텔ㆍ SK 하이닉스ㆍ TSMC 등주요반도체업체들은차세대시장주도권을확보하기 3D 요소기술확보및상업화경쟁치열 위해 (2) 산업의구조 후방산업은반도체패키징관련소재, 원부재료, 장비및부대설비로구성되고, 주요 전방산업은반도체분야 ( 시스템반도체를넘어메모리반도체, 센서 ) 임 [ 반도체패키징소재산업구조 ] 후방산업 Package 전방산업 소재, 원부재료, 장비및설비 배선공정, 에칭공정, 패키징공정 메모리반도체, 로직소자, 시스템반도체, 반도체패키지 276

285 반도체패키징소재 IBM, Intel, AMD 등의 Logic Process Chip 생산업체들은빠른동작속도와낮은소비 전력달성을위해반도체패키징소재시장에참여중이며, Broadcom, Qualcomm, nvidia 등의팹리스업체들도차세대반도체패키징소재를이용한제품출시 삼성, 도시바, SK하이닉스와같은메모리칩제작업체들도 DRAM, Flash Memory를반도체패키징소재기술적용한제품개발중 도시바 (Toshiba) 의 TSV 기술은 CMOS Image Sensor에적용하여 2008년부터생산중이며, TSV 전극이붙은 Chip을제조하는것으로 Wafer 상태에서 Camera Module 부품의실장조립을가능하게함. 또한, 반도체패키징소재기술의고성능화응용으로 3차원 Cell 적층기술 Bics (Bit-Cost Scalable:Si 기판위에 NAND Flash Memory Cell을종방향으로적층해올리는기술 ) 을발표. 삼성전자는 30나노급 4Gb DDR3를 4단적층한 32GB RDIMM 개발 (2011년) 하였고, 세계최초로 3차원반도체패키징소재기술을적용해 64기가바이트 (GB) 차세대 D램모듈을양산 (2014년) 하기시작 SK하이닉스도 2015년 5월차세대반도체패키징소재를활용한 1세대 HBM D램을양산하고 2세대 HBM D램기술개발을진행중, HBM( 고대역폭메모리, High Bandwidth Memory) D램은 TSV 기술을적용해 D램칩에 5천개이상의구멍을뚫고상하를연결해기존금선을이용한 D램패키지에비해데이터처리속도를혁신적으로이끈제품으로이제품은차세대그래픽장치 (GPU), 슈퍼컴퓨터, 서버, 네크워크기기등에채택되기시작했으며, 향후차세대초고성능컴퓨팅시스템에도필수적인제품 반도체패키징장비관련해외주요업체로는 TSMC, AMAT, TOK, Novellus, Lam Research 등의기업으로구성 국내공급자들은지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이 여의치못했으나 2015 년이후점차로시장에진입하기시작 277

286 기술개발테마현황분석 [ 공급망분류별경쟁자 ] 구분 경쟁환경 공급망단계반도체소자패키징장비패키징재료 주요내용메모리용, 비메모리용적층용원재료 주요품목및기술 SoC, SIP 높은수울고정밀도넓은공정윈도우 고정밀해상도넓은공정윈도우 FEP, FEN 해외기업 Intel, AMD, IBM AMAT, TOK, Novellus, Lam Research, AMEC, SPTS, STS ( 일본 ), EVG, ASMP Dow Corning 국내기업 삼성전자 SK 하이닉스 기가레인, 이오테크닉스쎄미시스코, 고영테크, 테스, 한미반도체, 에스티아이, 피에스케이 다우전자재료, 케이피엠테크 전방산업인반도체소자업체의고속 대용량 저전력반도체개발수요증대기조속에 메모리와시스템반도체를한개의패키지에묶을수있어반도체크기를줄일수있고전자 이동거리가짧아져전력소모량도줄일수있는차세대패키징방식이확대중. 특히, TSV 패키징방식은과거에는 D램 CMOS이미지센서(CIS) 등동종칩을적층하는데쓰였지만, 지금은시스템반도체 + 메모리, 시스템반도체 + 시스템반도체등이종칩을패키징하는쪽으로발전하였고, 또한주요전방산업인반도체외에작고가볍게몸속에내장해야하는바이오분야에도적용중 278

287 반도체패키징소재 나. 시장환경분석 (1) 세계시장 반도체패키징소재품목의세계시장규모는 2016 년 66 억달러규모이며, 2016 년부터 2021 년까지연평균 61.7% 성장하여 730 억 54 백만달러의시장형성이전망 [ 반도체패키징소재의세계시장규모및전망 ] ( 단위 : 백만달러, %) 구분 CAGR 세계시장 6,629 10,720 17,330 27,940 45,179 73, * 자료 : SEMI( ), 반도체분야산업기술로드맵 (2012) 자료를바탕으로전망치추정 반도체패키징소재를사용하는 Application은반도체전분야에걸쳐다양한가운데, 그중에서도 2017년 TSV 3D IC 시장규모는총 18억달러로예상되며이중 Graphic, Mobile, Network, PC 부문등에사용되는 DRAM, NAND, Wide I/O Memory 등 Memory 반도체관련부문의시장규모는총 7.6억달러로 42% 의비중에달할전망 초기에는 Image Sensor, DRAM 등동종반도체간단순적층위주로시장이형성되다가향후에는 Logic + Memory, Logic + Logic 형태로발전할전망 DRAM의경우주사용처인 PC, Server/Networking, Graphics, Mobile 부문에서 TSV는모두사용. Server / Networking (HMC), Graphics (HBM), Mobile (Interposer 이용 TSV) 등각부문별로여러적층방식이사용될전망 대부분의적층에사용될 Wide I/O DRAM은 TSV를이용하여 Data 입출력단자수를늘림에따라퍼포먼스를크게개선한 DRAM * 자료 : Yole Development [ 반도체패키징소재 Application 별시장규모전망 ] 279

288 기술개발테마현황분석 (2) 국내시장 국내시장규모는 2016 년 680 억원규모로추산되며, 2016 년부터 2021 년까지연평균 101.3% 의높은성장률로 2 조 2,343 억원의시장을형성할것으로전망 국내공급자들은지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이 여의치못했으나 2015 년이후점차로시장에진입하기시작 다만, 아직까지국내주요반도체후공정업체들은차세대반도체패키징공정시장에적극적으로참여하지않음. 이는 STS반도체통신, 하나마이크론, 시그네틱스등주요반도체패키징업체들의대규모설비투자에따른부담에기인 [ 반도체패키징소재의국내시장규모및전망 ] ( 단위 : 억원, %) 구분 CAGR 국내시장 , ,757 5, , , * 자료 : SEMI( ), 반도체분야산업기술로드맵 (2015) 자료를바탕으로전망치추정 280

289 반도체패키징소재 (3) 무역현황 반도체패키징소재로품목단위의무역현황을분석하는데한계가있어수출품목중반도체웨이퍼위에반도체소자를제조하는용도로쓰이는것의무역현황을살펴보았으며, 수출량과수입량이감소하는추세 수출현황은 12년 444만달러에서 16년 42만달러수준으로감소하였으며, 수입현황은 12년 100만달러에서 16년 20만달러수준으로감소하여무역수지흑자폭축소, 특히 2014년수출감소폭이두드러짐 최근 5년 ( 12~ 16년) 간연평균성장률을살펴보면수출금액은 35.8% 로감소, 수입금액은 40.9% 로감소하였으나 14년을제외하면항상수출이더높은것으로분석 [ 반도체패키징소재관련무역현황 ] ( 단위 : 천달러, %) 구분 CAGR 수출금액 4,444 4,416 1, 수입금액 1, , 무역수지 3,439 3, 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 281

290 기술개발테마현황분석 다. 기술환경분석 반도체패키징소재공정은전기적인연결이라는관점에서볼때, 반도체칩과전자제품메인보드의회로폭에차이가있기때문에필수적이며, 전자제품을동작시키는역할의반도체칩은그자체로는아무런역할을할수없고전자제품을구성하는회로에연결되어야비로서반도체칩의기능을수행할수있음 반도체칩을회로위에바로장착할수없으므로상호간의회로폭차이를완충시켜줄수있는역할을바로반도체패키징이담당 자료 : 하나마이크론 [ 반도체패키징공정개략도 ] QFN(Quad Flat No-Lead) QFN 패키징방식은구리리드프레임위에반도체칩이올라가고와이어본딩을한후몰딩을한형태 리드가없어서작고가벼우며전기적성능과열적특성이우수하고신뢰성이좋음 QFN 패키징방식은반도체패키징중가장저렴하면서도지속적으로사용되는패키징방식 282

291 반도체패키징소재 FOWLP(Fan Out Wafer Level Package) 칩크기가작아지더라도표준화된볼레이아웃을그대로사용할수있는장점보유 [ FOWLP 패키징구조 ] FOWLP 패키지는공정이간단하고두께를얇게구현할수있어서 BGA보다소형화와박형화가가능하고열특성과전기적특성이우수하여모바일제품에적합 경박단소형의장점이있는 FOWLP는초기 I/O 150~250 핀의집적회로를시작으로점차 300 핀이상의집적회로로확대될것으로전망 베이스밴드와아날로그집적회로, RF(Radio Frequency) 소자에적용되기시작하여 AP(Application Processor) 와 PMU(Power Management Unit) 에확대적용예정 FOWLP는기존패키지대비칩크기를 1/16 정도의수준으로줄일수있고, 인쇄회로기판을사용하지않아서기존패키지공정대비원가경쟁력이높으며, 하나의패키지에다양한기능의칩들을실장하는 SiP가가능해빠르게변하는모바일기기와사물인터넷시장에서매우유리한패키징기술임 [ FOWLP 패키징프로세스 ] 283

292 기술개발테마현황분석 TSV 패키징기술 (Through Silicon Via) TSV은실리콘웨이퍼의상부와하부를전극으로연결하여최단거리의신호전송경로를제공하므로패키지의경박단소화게가장유리 일반적인 TSV 공정은레이저천공이나화학적식각을이용하여웨이퍼에구멍을뚫은후도금방식을이용하여구멍을메우는방법을사용 자료 : Elpida [ 기존패키지와 TSV 간비교 ] 정렬및부산물처리문제로레이저천공보다화학적식각방법을선호하며, 대표적으로 DRIE(Deep Reactive Ion Etching) 를이용 DRIE는플라즈마를이용하여실리콘웨이퍼에수직으로구멍을뚫고구멍을금속으로채우게되는데, 구리가가장많이사용 우선구리도금을위해구멍내부에 3층으로이루어진시드 (seed) 층을형성, 시드층은구리와실리콘사이의절연을위한유전체층, 실리콘에치명적인구리가확산되지않도록하기위한접착 / 확산방지층, 구리도금을위한구리시드층의순서로구멍내벽에형성 이와같은기본적인공정들이외에포토마스크공정, 웨이퍼박화 (thinning) 공정, 평탄화공정 (CMP), 얇은웨이퍼를이동시키기위한웨이퍼본딩 / 디본딩공정등이추가가필요 3D Si 집적과달리 3D IC 집적은 TSV 및마이크로범프를이용하여무어의법칙을따르는 어떠한칩들도 3 차원으로적층가능 3D IC 집적방식에는메모리칩적층, 인터포저 (interposer) 방식두가지가존재 284

293 반도체패키징소재 메모리칩적층 (3D IC 집적 ) 메모리의용량을증가시키기위한방법으로 50 μm두께의 8 개의메모리칩들을 TSV 와마이크로 범프를이용하여적층 자료 : Semi, Gartner [ TSV 와마이크로범프를이용한 8 단적층칩 ] 수동인터포저 (2.5D IC 집적 ) TSV 기술은고전력, 다핀, 미세피치 CPU와최대밴드폭및저전력메모리칩을적층하기위해로직, 마이크로프로세서, 와이드 I/O 인터페이스를가진메모리와같은능동인터포저에사용가능 Memory/Logic과 CPU/Logic 두개의칩을유기물기판에수평적으로배치하는것에비해면적과크기가작고, 고성능, 저비용을실현 이경우 CPU는능동 TSV의역할을하지만 CPU와메모리칩의소자의고밀도와회로의복잡성때문에비아-미들 (via-middle) 또는비아-라스트 (via-last) 공정을이용하여 TSV를뚫을공간을확보하는것은매우어렵고또한, 크기나핀수가다른 CPU와메모리칩을부착시키기위해서는설계의자유도나성능에일부제약이존재 자료 : Semi, Gartner [ Memory/Logic + CPU/Logic 3D IC 직접 ] 285

294 기술개발테마현황분석 수동인터포저 (2.5D IC 집적 ) TSV는초미세피치, 다핀, 고전력, 고밀도의무어의법칙 IC 칩을지원하는수동인터포저에도사용가능 칩의미세피치패드어레이를간단하고얇은, 빌드업층을가지지않은유기물기판상의비교적큰피치의패드에재배치하기위해서는중간기판 ( 수동 TSV 인터포저 ) 이필요 자료 : Semi, Gartner [ 수동인터포저를가진 Memory/Logic + CPU/Logic 3D IC 직접 ] 수동인터포저 (3D IC 집적 ) 저비용방열 3D IC 집적 SiP의실현을위해서는수동 TSV 인터포저를통한칩간연결을사용 능동칩에구멍을내는대신수동 TSV 인터포저를가진기존의칩을사용하므로아주경제적이며또한, 능동칩을얇게하거나금속화가필요없으며, 능동웨이퍼에지지웨이퍼를임시본딩하고제거하는공정의생락가능 이와같이수동인터포저는고밀도 TSV, RDL, IPD를가진실리콘인터포저로서로다른피치, 크기, 위치의패드를가진다양한무어칩을연결 MPU, GPU, ASIC, DSP, MCU, RF, 고전력메모리와같은모든고전력칩들은플립칩형태로 TSV 인터포저의상부에위치하여열방출을용이하게하며, MEMS, MOEMS, CIS, 메모리등의저전력칩들은플립칩또는와이어본딩형태로인터포저의하부에부착되는방식으로 3D IC 집적 SiP의가장유효한방식 자료 : Semi, Gartner [ TSV/RDL/IPD 인터포저를갖는 3D SIP ] 286

295 반도체패키징소재 3. 기업분석 가. 주요기업비교 반도체패키징해외주요업체로는 Elpida, Fraunhofer IZM, Georgia Tech, HKUST, IBM, IMEC, IME, Infineon, Intel, Micron, NEC, Oki, Qualcomm, RPI, Tessera, TSMC, Xilinx, Ziptronix, 등을포함한산업계, 학교, 연구소등 40여곳존재 이미해상도가낮은저가형 CMOS 이미지센서에는 TSV가적용되고있으며, 메모리나로직에 TSV를적용한제품의양산을위해여러업체들이원가절감및신뢰성확보에노력중이며향후반도체간단순적층위주로시장이형성되다가향후에는 Logic + Memory, Logic + Logic 형태로발전할전망 웨이퍼천공 (drilling), 비아충진 (via filling), 웨이퍼박화등의 TSV 기본공정을가장먼저정립한업체는 Tru-Si Technologies로이에대한원천특허를많이확보 향후 TSV를상용화를위해서는디자인에서부터구멍을뚫고, 채우고, 검사까지모든부분에있어인프라구축등추가적인연구개발이필요 얇은웨이퍼를다루고연삭하는공정개발및 TSV로연결된적층집의검사방법에관한연구에많은업체들이활발히연구를진행중 Elpida는 2009년에 1Gb DRAM 8개를쌓은 8Gb TSV 제품을선보였으며 2010년에는 2Gb 칩 8개를 TSV로연결한 16Gb 제품및 2011년말을목표로 GPU 위에적층될 ultra-wide I/O GDDR5를 TSV를이용한제품을출시 자료 : Elpida [ Elpida 의 TSV DRAM ] 287

296 기술개발테마현황분석 국내중소기업사례 이녹스첨단소재는국내반도체패키징소재분야에서유일하게 full-line up을갖추고있으며여러가지점 / 접착소재들을자체개발하여생산, 공급하고있음 디엔에프는반도체배선재료를개발하여국내칩메이커에독점공급을시작으로반도체미세화에필요한재료전문기업으로성장 케이피엠테크는신규화학니켈개발, 무전해동금욕국산화통해 TSV용화학약품시장공략목표로사업전개 태광테크는기존의융용접합 / 코팅기술과대비된마찰교반접합과저온분사코팅기술로소재산업고도화실현 덕산테코피아는패키징공정의핵심원료인실리콘봉지제중간원료생산및판매 제이에이치화학공업은첨단기능성화학재료의개발과생산을통해 IT 모바일용을포함한다양한전자기기와축전에너지를이용한제품개발 [ 주요중소기업비교 ] ( 단위 : 백만원, %) 국내업체자산총계매출액 매출액증가율 영업이익율 당기순이익율 R&D 집중도 ( 주 ) 디엔에프 93,855 58, 케이피엠테크 83,031 22, ( 주 ) 태광테크 5, ( 주 ) 덕산테코피아 57,490 19, ( 주 ) 제이에이치화학공업 26,760 18,

297 반도체패키징소재 나. 주요업체별기술개발동향 (1) 해외업체동향 IBM, Infineon, Intel, Micron, NEC, Oki, Qualcomm, RPI 등글로벌기업들이반도체패키징소재 R&D를주도 IBM은 2008년부터무선통신에사용되는파워앰프소자와마이크로프로세서의그라운드용 TSV 기술개발을완료하여 Cisco와함께 CPU 위에 TSV로캐시메모리를연결한제품을출시 Intel 역시 CPU 위에 TSV 와이드 I/O로메모리를연결하는제품을 2012년상용화 자료 : IBM [ IBM TSV 구조 ] Qualcomm은휴대전화에들어가는베이스밴드프로세서위에 TSV로메모리를올리는기술을개발하였으며또한 RF를포함한무선칩에 TSV를적용하여전원과그라운드를연결해서고주파성능을향상시키는방안도병행중 어플리케이션과베이스밴드프로세서를 TSV 인터포저를이용해모듈화하는부품개발도진행중인것으로파악 [ Qualcomm 의 3D TSV ] [ Intel 의 3D chip stacking ] 자료 : 교육과학기술부 [ Elpida 의 TSV DRAM ] 289

298 기술개발테마현황분석 반도체패키징소재시장은반도체패턴미세화공정기술의진보와함께발전하고있지만현시점에최대수익을얻는반도체소자의수요에가장크게의존 따라서공급자들의주력으로는장비와재료를중심으로시장을유지 원칩화에대한요구가점차로증대되고있어공급업체들은이러한상황을예의주시 (2) 국내업체동향 반도체패키징소재국내주요업체로는반도체소자에는삼성전자, SK하이닉스등이있으며장비 / 재료에는쎄미시스코, 기가레인, 이오테크닉스, 고영테크한미반도체, 에스티아이, 피에스케이, 다우전자재료, 케이피엠테크등이존재 2014년 8월삼성전자는 TSV를이용한 DRAM 양산을발표했으며 SK하이닉스도 2015년상반기에 TSV기술을적용한 DRAM 양산을계획하고있다고발표함으로써국내 DRAM에서도 3D구조 TSV 적층기술을본격적으로적용중 하지만 TSV 장비 / 재료공급자는지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이여의치못했으나 2015년이후점차로시장에진입하기시작 TSV 3D IC 기술은향후반도체시장을주도하는한국업계에큰영향을줄것으로예상 아직 TSV 사용 3D IC에대한업계표준화가정립되지않은상황이며각반도체업체들은업체간공동개발등을통해사업화에대비중 Memory Image Sensor 등동종반도체간적층단계를지나이종반도체간적층시 Memory, System IC, Foundry, OSAT 업체들중어느업체위주로 3D IC 반도체상품화에앞서느냐가향후업계에큰영향을미칠것으로판단 AP, CPU 등 Processor에 Memory 반도체또는타 Logic 반도체를적층하는경우 Processor 업체위주의제품발전이예상되며또한이종 Chip 적층제품의불량발생시이에대한 Resource를보유한업체가명확한대응을할수있다는점에서 AP와 Memory 반도체를모두보유중인삼성전자에게기회가될것으로예상 현재 AMD와협력중인 SK하이닉스역시향후 Processor 업체들과의협력관계를더욱확대할것으로전망 TSV 및 3D IC 공정의높은난이도와저수율문제에따라초기에는 IDM 업체들이전공정에이어후공정까지모두담당할가능성이높아시장형성초기에는후공정업체들에게 TSV의등장이큰수혜가되지는못할것으로예상 반도체장비업체들에게는 TSV라는새로운공정도입이수혜. AMAT, TOK, Novellus, Lam Research 등해외업체뿐아니라다수의한국업체들도 TSV 장비개발중. 다만아직양산장비출시에는시간이필요하므로한국업체들의성공여부를판단하기에는이른상황 한국장비업체들중에는현재기가레인, 쎄미시스코, 테스, 에스티아이등이각분야에서상용화에다소앞서고있는것으로판단 290

299 반도체패키징소재 4. 기술개발현황 가. 기술개발이슈 최신반도체패키징개발동향 반도체패키징기술은단순하게여러칩을하나로통합하는형태에서벗어나동종및이종기술의융복합화를급속히진행하여신시장을창출하고있으며, 시스템의고성능화, 초소형화, 저전력화및스마트화를가속할수있는시스템반도체분야로진행 향후반도체패키징기술은초소형화및시스템화하는경로로진화가중이며, 모바일기기의확산에따라고성능칩을작은면적에집적하는기술이발전할전망이고, 특히, 3세대패키징기술은내장되는부품의수율과시스템전체에대한높은이해도가요구됨 반도체패키징산업에서업체간 R&D 능력및양산기술차별화가가속될것이며, 빠르게발전하는패키징기술에대한양산능력을축적하고, 선행기술을확보할수있는능력이있는업체와그렇지못한업체간의격차가크게확대될것으로전망 [ 다양한반도체패키지기술 ] 291

300 기술개발테마현황분석 반도체패키징기술의진화 반도체패키지는대략 2005년까지는다핀화, 표면실장, 소형화추세에따라표면실장형패키지인 QFP SOP PGA 등이, 2003~2014년에는초다핀화, 초소형화, 고속동작화추세에대응하기위해 BGA CSP 등의면배열 (Area Array) 패키지들이, 2005~2018년에서는박형실장화 적층형MCP 3D 의시스템실장형 (SIP?PoP 등 ) 에대응한플립칩 (Bare Chip 실장 ) 패키지들이발전하며주류를이룰것임 플립칩패키징기술은마이크로프로세서 ASIC, 고성능기기 (High-end Devices) 등의고성능요구에의한영역 (High Performance 용도 ) 과칩사이즈가작은모바일분야에서의소형화된패키지및가격경쟁력을요구하는영역 (Cost Performance 용도 ) 으로나뉘어져그적용이증대되고있음. 특히대량생산에적합한땜납합금을이용한 Plated 플립칩범프제조기술과같은저가웨이퍼범핑기술은플립칩공정원가가대폭절감됨에따라산업에서일반화됨 와이어본딩방식으로제작한종래의패키지들은공정가격이매우저렴했으나, 전기적특성이우수하고다핀화에대응할수있으며경박단소한 BGA로대표되는기재를이용하는플립칩패키지로대체되고있음 [ 반도체패키지기술의진화 ] 292

301 반도체패키징소재 나. 특허동향분석 반도체패키징소재특허상주요기술 주요기술 반도체패키징소재는공정및칩소립용소재기술로백그라인딩테이프 (BG) 기술, 다이본딩재료기술로구분되며, 연결기능소재기술로본딩와이어기술, 솔더볼기술로분류되며, 보호기능소재 ( 봉지재 ) 기술로이방성도전필름 (ACF) 기술, 고상봉지재 (EMC) 기술, 액상봉지재 (LEC) 기술로구분되고, 패키지기재 (Substrate) 용원소재기술은언더필 (Underfill) 기술, RCC(Resin Cated Copper) 기술, 2층 FCC(Flexible Copper Clad Laminate) 기술, TAB(Tape Automated Bonding) 테이프기술, 3D Stack SIP 기술로구분됨 분류요소기술설명 공정및칩조립용소재 백그라인딩테이프 (BG) 다이본딩재료 웨이퍼에 IC 회로를형성시킨후백그라인드시에웨이퍼표면을보호하는테이프소재기술 반도체칩을금속리드프레임이나유기기판등의기자재에접착시키는재료기술 연결기능소재 본딩와이어 솔더볼 이방성도전필름 (ACF) 반도체칩과기재를전기적으로연결해주는금속선소재기술 리플로우 (Reflow) 실장에사용되는솔더링소재기술 LCP 패널과구동드라이브의전기적배선, 저가미세피치플립칩의저온본딩등에적용되는필름소재기술 보호기능소재 ( 봉지재 ) 고상봉지재 (EMC) 반도체칩을먼지, 충격등으로부터보호, 외부환경변화의영향저감을목적으로에폭시, 실리카등으로구성되는포장소재기술 패키지기재 (Substrate) 용원소재 액상봉지재 (LEC) 언더필 (Underfill) RCC(Resin Cated Copper) 2 층 FCC(Flexible Copper Clad Laminate) TAB(Tape Automated Bonding) 테이프 CSP, Face down FBGA, BOC 패키지등에서 Wire Bonding 된중앙부를봉지하는소재기술 Area Array Packge 나 FBGA 등에서 Die 와기판사이를채워주는봉지소재기술 빌드업기재의층형성에주류로사용되는소재기술 폴리이미드필름상에동층을형성한구조의기재소재기술 동박 - 접착재 - 베이스재로적층형필름형기재로구성된 IC 칩을와이어를사용하지않고탑재하는데사용되는소재기술 3D Stack SIP 현재나와있는 SiP 기술중가장앞서있는기술로서반도체집적도향상, 전기적인성능극대화가능기술 293

302 기술개발테마현황분석 세부분야별특허동향 주요기술별국가별특허동향 반도체패키징소재의요소기술별주요국가별특허정보데이터입수하였으며, 최근 10 년간의 특허데이터를비교분석함 분류요소기술한국미국일본유럽계 공정및 칩조립용소재 백그라인딩테이프 (BG) 다이본딩재료 연결기능소재 본딩와이어 솔더볼 이방성도전필름 (ACF) 보호기능소재 ( 봉지재 ) 고상봉지재 (EMC) 액상봉지재 (LEC) 언더필 (Underfill) 패키지기재 (Substrate) 용 원소재 RCC(Resin Cated Copper) 2 층 FCC(Flexible Copper Clad Laminate) TAB(Tape Automated Bonding) 테이프 D Stack SIP 합계 국가별요소기술별특허동향에서공정및칩조립용소재기술분야는미국이가장많은비중을차지하고있으며, 유럽이상대적으로적은출원량을보유하고있음, 연결기능소재기술분야도미국이가장많은특허출원비중을보이고있으며, 일본이상대적으로적은특허출원을나타내고있음 보호기능소재기술분야역시미국이가장많은비중을차지하고있으며, 일본이상대적으로적은출원량을나타내고있음 패키지기재용원소재기술분야도미국이가장많은비중을차지하고있으며, 일본과유럽이상대적으로적은출원량을나타내고있음 294

303 반도체패키징소재 주요기술별출원인동향 세부분야 요소기술 기술집중도 주요출원인 국내특허동향 공정및칩조립용소재 백그라인딩테이프 (BG) 다이본딩재료 NXP USA DIGITAL OPTICS DTS 대기업중심 Fairchild Semiconductor, 네페스, 생산기술연구원등 연결기능소재 본딩와이어솔더볼 Texas Instruments ROHM TAIWAN SEMICONDUCTOR 대기업중심 Fairchild Semiconductor, 삼성전자, 전자부품연구원등 보호기능소재 ( 봉지재 ) 이방성도전필름 (ACF) 고상봉지재 (EMC) 액상봉지재 (LEC) Texas Instruments TAIWAN SEMICONDUCTOR IBM 대기업중심 Rfmarq, TAIWAN SEMICONDUCTOR, 삼성전자등 언더필 (Underfill) 패키지기재 (Substrat e) 용원소재 RCC(Resin Cated Copper) 2 층 FCC(Flexible Copper Clad Laminate) TAB(Tape Automated Bonding) 테이프 Fairchild Semiconductor Microsemi Texas Instruments 대기업중심 Fairchild Semiconductor, TAIWAN SEMICONDUCTOR 등 3D Stack SIP 고정및칩조립용소재기술분야주요출원인동향 고정및칩조립용소재기술분야는 NXP USA 가가장많은특허를보유하고있으며그다음으로는 DIGITAL OPTICS, DTS 등이많은특허를보유하고있는등미국회사들이주류를이루고있음 연결기능소재기술분야주요출원인동향 연결기능소재기술분야는 Texas Instruments 가가장많은특허를보유하고있으며그다음으로는 ROHM, TAIWAN SEMICONDUCTOR 등이많은특허를보유하고있으며, 미국회사들이주류를이루고있음 보호기능소재기술분야주요출원인동향 보호기능소재기술분야는 Texas Instruments 가가장많은특허를출원하고있으며, 그다음으로는 TAIWAN SEMICONDUCTOR, IBM 등이많은특허를출원하고 있는등미국회사들이 주류를 이루고있음 패키지기재용원소재기술분야주요출원인동향 패키지기재용원소재기술분야는 Fairchild Semiconductor 가가장많은특허를보유하고있으며그다음으로는 Microsemi, Texas Instruments 등이많은특허를보유하고있으며, 미국회사들이주류를이루고있음 295

304 기술개발테마현황분석 반도체패키징소재분야의주요경쟁기술및공백기술 반도체패키징소재분야의주요경쟁기술은보호기능소재기술분야이고, 상대적인공백기술은패키지기재용원소재기술분야로나타남 보호기능소재관련기술들이가장경쟁이치열한분야이고, 패키지기재용원소재관련기술들이아직까지출원이활발하지않은공백기술분야로나타남 세부분야요소기술기술집중도 공정및칩조립용소재 연결기능소재 보호기능소재 ( 봉지재 ) 패키지기재 (Substrate) 용원소재 백그라인딩테이프 (BG) 다이본딩재료본딩와이어솔더볼이방성도전필름 (ACF) 고상봉지재 (EMC) 액상봉지재 (LEC) 언더필 (Underfill) RCC(Resin Cated Copper) 2층 FCC(Flexible Copper Clad Laminate) TAB(Tape Automated Bonding) 테이프 3D Stack SIP : 50 건이상, : 30~49 건, : 20~29 건, : 10~19 건, : 10 건미만 최신국내특허기술동향 분류요소기술최근핵심요소기술동향 공정및칩조립용소재 연결기능소재 보호기능소재 ( 봉지재 ) 패키지기재 (Substrate) 용원소재 백그라인딩테이프 (BG) 다이본딩재료 본딩와이어 솔더볼 이방성도전필름 (ACF) 고상봉지재 (EMC) 액상봉지재 (LEC) 언더필 (Underfill) RCC(Resin Cated Copper) 2 층 FCC(Flexible Copper Clad Laminate) TAB(Tape Automated Bonding) 테이프 3D Stack SIP 리드프레임, 기반간접착력향상다이본딩소재기술반도체파키지접합용솔더소재기술 NUF(No-Flow-Underfill) 물질코팅솔더볼소재기술신속방열금속선소재기술열경화성도전수지기반봉지소재기술고항복전압봉지소재기술압축성형용몰드언더필소재기술경화성수지및열처리된실리카충전제기반언더필소재기술 296

305 반도체패키징소재 국내특허동향을살펴보면모든기술분야가주로글로벌대기업중심으로연구개발하고있는것으로나타남 상대적으로경쟁이치열한연결기능소재기술분야는대기업중심으로 Fairchild Semiconductor, 삼성전자, 전자부품연구원등이중점적으로연구개발을하고있으며, NUF(No-Flow-Underfill) 물질코팅솔더볼소재기술, 신속방열금속선소재기술등을연구개발하고있음 경쟁이가장치열한보호기능소재기술분야도대기업중심으로 Rfmarq, TAIWAN SEMICONDUCTOR, 삼성전자등이활발하게연구개발을추진하고있으며, 열경화성도전수지기반봉지소재기술, 고항복전압봉지소재기술등이연구개발되고있음 중소기업특허전략수립방향및시사점 반도체패키징소재분야의상대적인공백기술분야는패키지기재용원소재관련기술로나타남 반도체패키징소재분야는반도제제조공정에서사용되는각종소재분야에적용되어사용될수있음 대규모의장치투자가들어가는분야가아니기때문에중소벤처기업의참여가높은분야임 향후중소기업은상대적으로경쟁이치열하지않은패키지기재용원소재관련기술을공공연구기관의기술을이전받거나공동으로연구개발하여제품화하는특허전략을수립하는것이바람직할것으로사료됨 특히공정및칩조립용소재관련기술은생산기술연구원등과, 연결기능소재관련기술은전자부품연구원등과기술도입또는공동으로연구개발을추진하는것을우선적으로고려해볼수있을것으로판단됨 297

306 기술개발테마현황분석 5. 연구개발네트워크 가. 연구개발기관 / 자원 (1) 연구개발기관 한국과학기술원, 한국기계연구원, 전자부품연구원, 한국전자통신연구원, 한국전기연구원, 한국한의학연구원, 한국생산기술연구원, 한국기초과학지원연구원등이활발한연구개발을 하고있음 대학의경우한양대학교, 성균관대학교, 서울시립대학교, 세종대학교, 한국항공대학교, 호서대학교, 포항공과대학교, 서울과학기술대학교, 중앙대학교, 서울대학교등에서연구개발을하고있음 (2) 연구개발자원 한국산업기술평가원 (KETI)- WPM 2단계사업 신성장동력창출을위해서교유브랜드화가가능한주요 10대핵심소재기술 (WPM, World Premier Materials) 개발 마그네슘, 이차전지, 탄화규소 (Sic) 반도체등 10개사업단을중심으로 200여개의기업및연구소가참여하는대형기술개발사업 세계최초로상용화하거나시장을창출하고, 지속적으로시장지배력을가질수있는세계최고수준의소재개발추진 응용기술개발단계임에도불구하고, 조기상용화를통해 5,900억원의매출과 3조 6천억원의민간투자를유발 3단계사업을차질없이진행하면세계일류소재개발 (WPM) 사업은신소재분야에서일자리창출과민간투자확대에이바지할것으로기대됨 중소기업기술정보진흥원 정부출연금은총사업비의 50 ~ 80% 이내에서지원하고, 민간부담금의 40~60% 이상을현금으로부담하여야함. 기술개발결과에대한최종평가가 성공 판정인경우정액또는경상기술료중납부방식을선택하여납부 ( 중소기업 : 10%) 기술혁신개발, 공정품질기술개발, 산학연협력기술개발, 중소기업 R&D역량제고 298

307 반도체패키징소재 중소벤처기업부 중소벤처기업부 산하 중소기업기술정보진흥원을 통해 대학 및 연구기관이 보유한 연구장비를 소프트웨어의 중소기업 공동 활용을 지원하여 국가장비 활용도 제고와 중소기업 기술경쟁력 향상을도모 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원 내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 한국생산기술연구원 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용살수있는인프라를제공 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소중견기업이시험, 거사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방운영 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의애로사항을지원하기위해시제품개발제작, 제조공정고도기술지원등문제해결형현장밀착지원을수행하며효율적인지원체계운영 시흥 / 진수 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진수는항공부품, 초정밀성형가공, 김제는특수주조등을지원 한국전자통신연구원 서울 SW-SoC융합R&BD센터 서울 SW-SoC융합R&BD센터는산업체수요기반의전문화된인력양성프로그램을운영하며 IT 융합지식을갖춘고급인력을육성 강소반도체팹리스기업을지원함으로써, 국내중소기업의산업경쟁력강화및부품국산화에기여하고있음 연구결과물과공통기술의융합형기술개발을통하여개방형플랫폼을구축하는것을목표로함. 한국화학연구원 첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시프템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 299

308 기술개발테마현황분석 나. 연구개발인력 반도체패키징소재연구개발은한국과학기술원, 한국기계연구원, 전자부품연구원, 한국 전자통신연구원, 한국전기연구원, 한국생산기술연구원, 한국기초과학지원연구원등에서진행하고 있음 [ 반도체패키징소재연구개발인력현황 ] 기관 성명 직급 서울대학교반도체공동연구소 이종호 연구소장 한국광기술원 김재필 조명모듈연구센터연구원 한국과학기술원 이건재 신소재공학과교수 한국기계연구원 김재현 연구원 전자부품연구원 박효덕 LED융합사업단장 한국전자통신연구원 엄낙웅 ICT소재부품연구소장 한국전기연구원 유종호 책임연구원 한국생산기술연구원 이창우 수석연구원 한국기초과학지원연구원 김건희 광분석장비개발팀박사 서울시립대신소재공학부 정재필 신소재공학부교수 300

309 반도체패키징소재 다. 기술이전가능기술 (1) 기술이전가능기관 한국기초과학지원연구원 (KBSI) TSV 패키징기술시반도체결함을검출하는기술 서울시립대신소재공학부와산학협력단 저온나노접합소재 한국광기술원조명모듈연구센터박사팀 적외선레이저를이용한실리콘봉지재초고속 stress free 경화기술 (2) 이전기술에대한세부내용 한국기초과학지원연구원 (KBSI)- 반도체결함검출기술 차세대반도체칩의세부결함을 3차원적으로검사하는기술 TSV(Through Silicon Via) 를포함한적층형반도체칩내에서발생하는불량을열영상으로산출해결함의위치를정확하게파악. 기존반도체칩불량검사장비보다 2배이상빠른검사가능 고사양반도체칩에주로발생하는저전류성불량스팟을검출할수있는고감도사양 서울시립대신소재공학부 ( 정재필교수 ) 와산학협력단 저온나노접합소재 반도체패키징에필요한솔더링, 브레이징등에사용하는기존납땜재와브레이징재등접합재공정온도를최대절반까지낮출수있는것이특징 나노페이스트등나노접합재산화문제와높은가격등의문제를일시에해결할수있는나노접합관련신기술 2015년덕산하이메탈 ( 반도체디스플레이소재기업 ) 에기술이전을실시 정재필교수는저온나노접합원천기술을확보함에따라응용분야별제품을개발할예정 301

310 기술개발테마현황분석 한국광기술원조명모듈연구센터박사팀 적외선레이저를이용한실리콘봉지재초고속 stress free 경화기술 고효율고신뢰성 LED 패키징소재기술개발을프로젝트명으로하고오랜기간연구끝에결실을이룸 고출력 LED에사용되는실리콘봉지재를순간경화하는기술로, LED효율을향상시키는획기적기술 김재필박사팀이개발한실리콘봉지재고속경화기술은적외선레이저를이용해경화시간을 10초이내로단축하고형광체의침전을방지하는방식 LED생산수율과신뢰성을획기적으로향상시킨기술로평가받고있음. 세계적반도체패키지전문학술지인 IEEE Transactions on Components, Packaging and Manufacturing Technology 에소개됨. 이기술을제조공정에적용할경우기존의제품에비해발광효율이 10% 이상향상되고광특성편차가 25% 이상감소할뿐만아니라광원수명도크게증가시킬수있음 302

311 반도체패키징소재 6. 기술로드맵기획 가. 중소기업핵심요소기술 (1) 데이터기반요소기술발굴 [ 반도체패키징소재분야키워드클러스터링 ] [ 반도체패키징소재분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 semiconductor, VIA 4~7 1. PROTECTED THROUGH SEMICONDUCTOR VIA (TSV) 2. Semiconductor chip with a dual damascene wire and through-substrate via (TSV) structure 3. Method of fabricating semiconductor devices having through-silicon via (TSV) structures 303

312 기술개발테마현황분석 클러스터 02 semiconductor, PAD 4~7 1. SEMICONDUCTOR DEVICES HAVING A TSV, A FRONT-SIDE BUMPING PAD, AND A BACK-SIDE BUMPING PAD 2. THROUGH-SILICON VIA (TSV) SEMICONDUCTOR DEVICES HAVING VIA PAD INLAYS 클러스터 03 semiconductor, layer 4~7 1. Semiconductor device and method of forming a shielding layer over a semiconductor die disposed in a cavity of an interconnect structure and grounded through the die TSV 2. Semiconductor packages having TSV and adhesive layer 3. Semiconductor Device and Method of Forming Shielding Layer Over Semiconductor Die Mounted to TSV Interposer 클러스터 04 vertical 4~8 1. Semiconductor die and method of forming Fo-WLCSP vertical interconnect using TSV and TMV 2. Semiconductor device and method of embedding TSV semiconductor die within encapsulant with TMV for vertical interconnect in POP 클러스터 05 semiconduct orinterposer 4~8 1. Semiconductor device and method of forming open cavity in TSV interposer to contain semiconductor die in WLCSMP 2. Semiconductor package having through silicon via (TSV) interposer and method of manufacturing the semiconductor package 클러스터 06 클러스터 07 interconnect 4~7 wafer 4~7 1. Semiconductor device and method of forming topside and bottom-side interconnect structures around core die with TSV 2. Semiconductor device and method of forming a shielding layer over a semiconductor die disposed in a cavity of an interconnect structure and grounded through the die TSV 1. Semiconductor device and method of forming guard ring around conductive TSV through semiconductor wafer 2. Semiconductor device having a wafer level through silicon via (TSV) 3. Semiconductor Device and Method of Forming UBM Structure on Back Surface of TSV Semiconductor Wafer 클러스터 08 semiconductor package 4~7 1. Semiconductor TSV device package for circuit board connection 2. Semiconductor package and method of mounting semiconductor die to opposite sides of TSV substrate 클러스터 09 클러스터 10 substrate 4~7 die 4~7 1. Semiconductor Device and Method of Embedding TSV Semiconductor Die Within Substrate for Vertical Interconnect in POP 2. Semiconductor chip with a dual damascene wire and through-substrate via 3. Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP 1. Semiconductor Device and Method of Forming TSV Semiconductor Wafer with Embedded Semiconductor Die 2. Semiconductor Device and Method of Forming Conductive TSV in Peripheral Region of Die Prior to Wafer Singulaton 304

313 반도체패키징소재 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로 로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여 최종요소기술명확정 [ 반도체패키징소재분야요소기술도출 ] 분류요소기술출처 충진소재기술 특허 / 논문클러스터링, 전문가추천 충진 도금소재기술 특허 / 논문클러스터링 포토레지스트기술 특허 / 논문클러스터링 본딩 / 범핑 웨이퍼 Thinning 소재기술 칩접합소재기술 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 305

314 기술개발테마현황분석 (3) 핵심요소기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심요소기술선정위원회를통하여 중소기업에적합한핵심요소기술선정 핵심요소기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업 적합성 (10) 을고려하여평가 [ 반도체패키징소재분야핵심요소기술 ] 분류핵심요소기술개요 충진 충진소재기술 납에대한환경규제강화에대응하는납대체저비용친환경충진소재, 고정밀내열성언더필소재등을개발하는기술 본딩 / 범핑 웨이퍼 Thinning( 연마 ) 소재기술 칩접합소재기술 Back grinding 및기계화학적연마 (CMP) 소재를개발하는기술 칩에뚫린미세한크기의구멍에맞춰매우정밀하게솔더펌프를형성하는신규소재를개발하는기술 306

315 반도체패키징소재 나. 반도체패키징소재기술로드맵 최종중소기업기술로드맵은기술 / 시장니즈, 연차별개발계획, 최종목표등을제시함으로써 중소기업의기술개발방향성을제시 307

316 기술개발테마현황분석 다. 연구개발목표설정 로드맵기획절차는산 학 연전문가로구성된로드맵기획위원회를통해선정된 핵심요소기술을대상으로기술요구사항, 연차별개발목표, 최종목표를도출 [ 반도체패키징소재분야핵심요소기술연구목표 ] 분류핵심요소기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 충진충진소재기술고성능소재 저열팽창성비전도성언더필소재개발 저열팽창성비전도성언더필소재성능개선 저열팽창성비전도성언더필소재양산화 저열팽창성비전도성언더필소재개발 웨이퍼 Thinning( 연마 ) 소재기술 고수율웨이퍼연마소재 고수율웨이퍼연마소재개발 고수율웨이퍼연마소재성능개선 고수율웨이퍼연마소재양산화 고수율웨이퍼연마소재개발 본딩 / 범핑 칩접합소재기술 저비용칩접합소재 저비용칩접합소재개발 저비용칩접합소재성능개선 저비용칩접합소재양산화 저비용칩접합소재개발 308

317 기술개발테마현황분석 반도체공정장비

318

319 반도체공정장비 정의및범위 반도체 공정장비는 반도체 칩을 구현하는 기술로 다이오드, 트랜지스터, 태양전지 등의 다양한 반도체 소자를 제조하고 기능을 부여하기 위한 박막 제조공정 및 처리기술, 그리고 소자를 패키징하는기술을의미 미세공정을다룰수있는공정장비, 소재및가공된웨이퍼의패키징까지범위에포함 정부지원정책 한국산업기술평가관리원은신성장동력 7대분야 ( 반도체, 디스플레이, LED, 그린수송, 바이오, 의료, 방송장비 ) 장비산업의 기술경쟁력 확보를 지원하는 90억원 규모의 신성장동력 장비경쟁력 강화사업 을추진 반도체소자기업과장비 재료기업간상생협력의일환으로정부가 2007년 2월부터주도해반도체 장비재료성능평가협력사업을추진하는것은장비국산화에큰기회를창출 산업통상자원부는 6개글로벌기업과 미래반도체소자개발투자협력 MOU 를체결하고향후 4년간 최소 250억원 이상을 반도체 소자 관련 원천 기술 개발 공동투자에 합의하여 반도체 분야에서선도형기술개발에착수 산업통상자원부는 전자정보디바이스산업원천기술개발사업, 미래성장동력사업 등을 통해 반도체 산업을지원 중소기업시장대응전략 강점 (Strength) ( 환경 ) 반도체경기호황 ( 기술 ) 세계적인반도체제조사 ( 수요업체 ) ( 정책 ) 산업지원정책수립기회 (Opportunity) ( 환경 ) 제조패러다임의변화 ( 기술 ) 미세화를위한기반기술연구 개발 ( 정책 ) 정부와업계의높은니즈 약점 (Weakness) ( 환경 ) 영세한산업구조 ( 기술 ) 핵심원천기술미흡 ( 정책 ) 산업계연계미흡위협 (Threat) ( 환경 ) 생산지원인프라부족 ( 기술 ) 글로벌업체와의기술격차 ( 정책 ) 미시적 R&D 정책 중소기업의시장대응전략 국내시장뿐만아니라세계시장의약 50% 인중화권시장 ( 대만, 중국 ) 에진입노력 기업중심의표준수요를발굴하고, 산학연협업을통한표준기술연구개발

320 핵심요소기술로드맵

321 반도체공정장비 1. 개요 가. 정의및필요성 반도체공정기술은다이오드, 트랜지스터, 태양전지등의다양한반도체소자를제조하고기능을부여하기위한박막제조공정및처리기술, 그리고소자를패키징하는기술을의미함. 이들공정을구현하기위한공정장비및패키징장비의기술을포함함 웨이퍼위에회로를그리고 ( 노광 ), 회로대로가공하고 ( 식각 ), 특정막을증착하고 ( 증착 ), 균일하게연마하고 (CMP), 깨끗하게만들고 ( 세정 ), 측정하고특성을분석 ( 측정분석 ) 하는등의일련의반도체전공정을수행하는장비 웨이퍼에배선연결과밀봉을하고 ( 패키징 ), 칩의불량여부를판정 ( 테스트 ) 하는등의일련의반도체후공정을수행하는장비 반도체소자를생산하기위해사용되는제반장비를제조하는산업으로전방산업인반도체산업의영향을많이받음 최근빅데이터, AI, AR/VR, IoT, 웨어러블등의신규응용은고속대용량저장솔루션용데이터처리를요구하고있어, 데이터센터에서소비되는전력량이급격히증가하여이를감소시키기위한빅데이터저장솔루션용초저전력 3D 반도체소자공정장비기술개발이필요 데이터센터는전력공급, 낸강등에서많은비용이발생하며, IoT, 웨어러블은배터리전원을사용하고있어제품사용시간에직접적인영향이발생 반도체장비기술은디스플레이, 태양광, LED, OLED 등의유사관련산업으로그기술이전파되어기술의활용도가매우높음 [ 반도체패러다임의변화 ] 313

322 기술개발테마현황분석 반도체공정 장비 소재기술은전자회로를웨이퍼위에인쇄하는전공정, 조립 검사를하는 후공정, 제조공정의주기적오류검사와관련된측정 분석공정및이를가능케하는장비와 반도체제조에사용되는소재기술을포함함 미국, 일본, 유럽등의해외선진업체들은반도체장비, 부품 / 소재산업무기화, 특허공세등을진행 반도체공정은원재료인웨이퍼를개별칩으로분리하는시점을기준으로전 후공정, 검사로구분되며각공정별로전문화된장비를활용하고있음 PVD(Physical Vapor Deposition), CVD(Chemical Vapor Deposition), ALD(Atomic Layer Deposition) 등의박막증착장비 전통적인 Photo lithography를위한다양한박막패터닝기술 Dry/Wet 식각기술, 플라즈마식각기술 세정 (Cleaning) 기술, 도핑을위한 Ion Implantation 등의표면도핑기술, Annealing 기술 단일패키징및다중패키징기술 최근 AI, IoT, AR/VR, 웨어러블등인터넷에연결된사물의수가폭발적으로증가함에따라수집된빅데이터의분석, 판단, 추론을하기위한프로세서및저장장치의성능과에너지효율개선이절실히요구되고있음 빅데이터를처리하는데이터센터에서는성능을유지하면서전력소모를줄여유지보수비용을최소화하는추세가있으며, 이를위한메모리반도체의미세화및차세대메모리소자의개발이필요함 미세화의한계에점차다가감에따라 3D 형태의적층형반도체를상용화하고있는추세이며삼성전자, SK하이닉스등이시장을주도함 에너지효율성개선을위한미세화및 3D 반도체를제작하기위한반도체공정장비기술에대한관심이고조됨 314

323 반도체공정장비 나. 범위 (1) 제품분류관점 반도체공정기술은다양한메모리, 비메모리반도체소자, LED 소자및 LED 조명, 실리콘및 화합물태양전지제품등에적용되고, 또한반도체공정기술은이들공정을구현하는 장비들로서제품화되는경우가많음 반도체공정기술은크게전공정과후공정으로나뉘고, 전공정은노광, 식각, 세정, 연마, 이온주입, 증착, 열처리, 측정으로, 후공정은패키징, 테스트등으로세분화됨 [ 제품분류관점기술범위 ] 기술개발테마 제품분류관점 세부기술 노광 Stepper/Scanner Track 식각 Wet/Dry, Plasma etcher 세정 Cleaner, Plasma asher 반도체공정장비 전공정 연마 이온주입 증착 CMP Ion Implanter PVD, CVD. ALD 열처리 Furnace, RTP 측정분석 Wafer Inspection, alpha-step, Metrology 등 후공정 패키징 테스트 Die attacher, Wire bonder, Encapsulation 등 메모리테스터, 시스템 IC 테스터등 315

324 기술개발테마현황분석 (2) 공급망관점 반도체공정장비는반도체제조의핵심기반기술이며, 반도체산업의미세화기술경쟁력과제조원가에가장큰영향을미치는핵심기반임 전자 / 전기공학, 광학, 화학, 정밀가공기술, 기계설계, 시뮬레이션등다양한최첨단기술의총합으로이루어지며종합적이고파급력이큰산업 나노기술시대에진입하면서, 반도체제조기술개발속도가장비개발속도를추월함으로써제조공정및검사기술과장비도함께개발되어야만반도체제조가가능 [ 공급망관점기술범위 ] 기술개발테마 공급망관점 식각장비 세부기술 정밀식각을위한 ALE(Atomic Layer Etch) 기술, 생산성향상을 위한새로운 Plasma 소스기술 연마 (CMP) 장비 배선폭 10nm 이하에따른연마균일도, 설비가동율향상, 관련 소모재 (Pad conditioner, PVA brush) 의수명향상기술 반도체공정장비 증착장비 3D 반도체제조를위한고품질, 고성능박막증착을위한저온증착 공정기술및장비개발, 고균일온도제어및초정밀가스유량 제어기술 측정분석장비 공정미세화, 3D 적층화된소자구조활용, 복잡한나노패턴설계등으로인해 APC/AEC가적용된효율적인공정관리기술개발을위해프로세스장비와측정분석장비가융복합된 IM(Integrated Metrology) 기술 패키징장비 600mm 이상의패널레벨 Fanout 패키징기술 316

325 반도체공정장비 2. 외부환경분석 가. 산업환경분석 (1) 산업의특징 반도체장비산업은선진국형산업으로반도체산업구조선진화에필수분야임 기계, 전자, 물리, 화학이론이복합되는첨단융복합기술기반선진국형산업으로원천기술뿐만아니라산업기술의발전이동시에가능 미국, 일본, 유럽은지속적인시장지배력강화를위해선행개발및투자를진행 미국, 일본, 유럽등반도체경쟁국들은반도체장비기술력으로국내반도체산업을견제 최근중국정부의강력한반도체육성정책에의해반도체분야에 2015년부터약 180조원을향후 10년간투자중 반도체미세화등제조공정의난이도증대에따라기술개발양상변화및신규기술수요발생함 2000년대들어반도체회로미세화및웨이퍼대형화에따라관련기술개발의양상이변화 과거에는반도체소자기업이단독으로공정기술위주로반도체제조기술을개발하였으나, 마이크로시대에서나노시대로접어들면서공정및장비기술과관련하여소자기업과장비 재료기업과의공동개발의중요성이크게증대 미세공정의적용으로공정의난이도가높아짐에따라첨단장비 공정제어 (AEC/APC, Advanced Equipment Control/Advanced Process Control) 관련장비수요가증가 원천기술및노하우가집중된미국, 일본, EU의소수기업들이장비시장을지배하고있어, R&D 역량이부족한국내장비기업은고부가가치의장비시장진입장벽이높은편임 초기에막대한비용을투입해야하는반도체장비산업의특성상글로벌기업을배출하기위해선정부의적극적인지원과소자기업의협력이필요 국내반도체소자기업의공정라인은외산장비가장악하고있으며, 장비국산화율은낮은수준에머물고있음 317

326 기술개발테마현황분석 반도체장비산업은수명주기가짧은지식집약적고부가가치산업으로적기시장진입이매우중요하고, 한세대의장비기술이완전성숙되기전다음세대의장비기술로전환되는시기가매우중요한산업임 10nm 이하반도체소자, 3D NAND Flash, FinFET, MRAM 등의차세대반도체소자양산을위한핵심요소기술 반도체공정장비산업은장치위주의산업으로고가의제품이라도품질의신뢰도가높고시장에서검증된측면에서선진국제품을구매하고자하는경향이강함 반도체공정장비산업은최종수요자인반도체소자업체의요구사항에맞게생산되는주문자생산방식이대부분임 * 출처 : KEIT [ 초저전력 3D 반도체공정장비기술개요 ] 318

327 반도체공정장비 (2) 산업의구조 반도체는기술및사업영역으로나눌때, 설계위주의팹리스, 반도체제조전문인파운드리, 외형성형가공의후공정패키징, 기능 성능을시험하는테스트, 그리고시스템장착에필요한서비스로구분하며, 각단계별전문화된기업또는종합반도체회사로구분 팹리스업체는수요업체로부터요구받은기능을구현하기위한설계를진행 파운드리업체는설계된칩을반도체공정장비를이용하여제작 후공정패키징업체는제작된반도체칩을접합및배선연결하여제품형태로밀봉 반도체소자대기업들은수직계열화를통해협력업체경쟁력강화지원및장비 재료의안정적공급을추구하고있는데, 이는수직계열화전략운용이용이한국내업체를중심으로수직계열화구조를형성 대표적으로 SK그룹은 SK하이닉스, SK머터리얼즈, SK실트론등으로반도체수직계열화를진행 ( 헤럴드경제, SK 반도체 수직계열화한축-SKC, 반도체분야확대속도, ) 반도체공정장비산업은반도체생산의필수요소산업으로반도체제조기술을선도하며, 높은전 후방효과로타산업에의파급효과가큰산업 반도체공정장비는디스플레이, 태양광, LED 등의생산장비와도밀접하게연계되어있으므로, 향후의경제산업적파급효과를고려하여관련산업의육성이반드시필요 [ 반도체공정장비분야산업구조 ] 후방산업반도체공정장비분야전방산업 전자 / 전기공학, 광학, 화학, 정밀가공기술, 기계설계 노광장비, 식각장비, 세정장비, CMP 장비, 이온주입장비, 증착장비, 열처리장비, 측정분석장비, 패키징장비, 테스트장비 반도체소자, 디스플레이, LED, OLED, 태양광장비, 바이오, MEMS, 센서 319

328 기술개발테마현황분석 나. 시장환경분석 (1) 세계시장 반도체공정장비시장은글로벌업체들의 3D 낸드플래시및파운드리투자가본격화되면서 16년은 397억달러, 17년은 434억달러로꾸준히성장할것으로전망 [ 반도체공정장비분야의세계시장규모및전망 ] ( 단위 : 백만달러, %) 구분 CAGR 세계시장 397,12 43,456 44,035 45,012 49,088 55, * 출처 : SEMI, KSIA 자료바탕으로추정 품목별로는웨이퍼공정장비에서가장큰매출증가가예상됨 (15 년 288 억달러 16 년 312 억 달러 17 년 347 억달러 ) 세계반도체장비시장은 15년약 373억달러로신규팹투자정체에따라성장세가주춤한상태이나, 17년이후에는각사별경쟁력확보를위한미세공정투자가증대될전망 글로벌장비기업들은 10나노이하장비개발비의급증및장비시장정체에따라지속가능한경영을위한 M&A를최우선진행함 세계 1위인 AMAT는 09년 Semitool, 11년 Varian을인수하고, 13년일본 TEL과합병을추진하였으나, 각국공정위에서불인정되어 15년 5월합병을철회함 세계 2위인 LamResearch는세계 5위인미국 KLA-Tencor 인수를추진하였으나, 독점금지법에의해 16년 10월합병이취소됨 미세화의핵심인양산용리소장비의개발 적용이지연됨에따라증착 식각장비시장이증가되고있음 [ 세계반도체시장및반도체장비시장규모 ] 구분 SEMI Worldwide Equipment $36.5B $39.7B $43.4B Equipment Change -2.6% 8.7% 9.3% WSTS Semiconductor Forecast $335.2B $335.0B $346.1B Equipment Spending as % of Semiconductor Revenues 10.9% 11.8% 12.5% * 출처 : WSTS, November 2016, SEMI, December,

329 반도체공정장비 첨단패키징시장은 20년경전체반도체패키징시장의 44% 수준인약 300억달러규모로성장전망 첨단패키징시장은 14년기준반도체패키징시장에서 38%(202억달러 ) 정도의비중을차지하며, 기존의 Fan in, Flip chip 시장에 2.5D/3D 집적화제품및최근가장많은관심이집중되고있는웨이퍼레벨 Fanout 시장을포함 Fain in 및 Flip chip 시장의꾸준한성장과 2.5D/3D 적층, 그리고웨이퍼레벨 Fanout 기술의적용확대로연평군 7% 의고성장이전망 고급프리미어스마트폰에웨이퍼레벨패키지적용비중이급성장하였으며, Fanout 기술은아이폰7 적용이후로급격한성장이예상 * 출처 : Yole, 2015 [ 첨단패키징시장규모 ] 증착장비시장은약 7조원규모로 AMAT( 미국 ), Lam( 미국 ), TEL( 일본 ) 등해외업체가시장을주도 반도체장비중에서국내업체의경쟁력이있는분야로주성, 유진테크, 원익IPS, 테스등국내기업들이증착장비의영역을확장하면서국산화와함께기술내재화등에적극적임 반도체소자미세공정의난이도가높아지면서 ALD의중요도가점차올라가고있으며, 3D 관련해서 ALD 장비시장은점점증가하는경향을보임. 25nm DRAM의경우 Capacitor 유전막의두께는 3A 수준으로 ALD 장비의적용이필수이며, 20nm 이하에서일부 Oxide와 Nitride 절연막등에도 ALD가확대적용되는추세임 48단공정에는기존 CVD를적용하던공정이 ALD로대체되고있으며, 64단이후공정은증착의난이도가더욱증가해 ALD 비중이더커질것으로예상됨 321

330 기술개발테마현황분석 식각장비는약 7 조원의시장규모로, Lam( 미국 ), AMAT( 미국 ), TEL( 일본 ) 등해외업체가 시장을주도하고있으며, 핵심요소기술및노하우축적이요구되어신규업체의시장진입 장벽이비교적높은분야 CMP 장비는약 1 조원의시장규모로, AMAT( 미국 ), EBARA( 미국 ) 가세계시장 90% 이상 점유하고있으며, 반도체미세화, 다층화추세로전체공정내 CMP 장비중요도가높아지는 상황 MI( 측정검사 ) 장비는 약 5조원의 시장규모로, KLA-tencor( 미국 ), Nanometrics( 미국 ) 등이 시장을주도 KLA-Tencor는 Lam과합병을추진하였으나, 인텔등반도체소자업체들의반대로최근합병이 무산됨. 노광기업체인 ASML도 2016년대만 Hermes 사를인수하는등공정장비회사들이개발 경쟁력강화를위하여계측, 검사장비회사와의인수, 합병을추진하는추세임 웨이퍼레벨을기반으로하는첨단패키징의비중이높아짐에따라, 반도체전공정과후공정사이에 MoL 시장개념이확립되고있으며, 관련분야장비시장연평균 18% 수준으로급성장 MoL 영역은노광, 식각, 증착등의반도체전공정과 CMP, Bonding 및 Test 등의후공정분야가혼재되어있으나, 주로반도체전공정장비업체에게진입장벽이낮은상황임 공정측면에서는일부파운드리또는 IDM 기업의시장참여가위협요소로작용하지만, 전반적으로 OSAT 기업에게는웨이퍼레벨에서의패키징공정은기존의사업영역을넓히는기회로인식함 그러나첨단패키징기반의 MoL 시장확대는높은 R&D 및인프라투자비용을감당할수있는기업에게만열려있는시장으로기업실적양극화현상이발생됨 이러한상황에서반도체전고정업계에서일어나고있는 M&A를통한기업몸집불리기가반도체패키징업계에서도동일하게일어나고있음 국내패키징기업의경우세계시장에비하여그규모가매우영세하기에매우불리한상황이며, 중국의경우막대한자본의뒷받침으로규모뿐아니라기술적우위에서도경쟁력을높여가고있음 지역별로반도체장비시장의규모를살펴보면 5년연속 1위를차지한대만을제치고, 한국이가장시장이클것으로예상 대만은 TSMC와 UMC를중심으로세계에서가장큰장비시장규모를차지하고있으나, 17년에는한국이가장높은점유율을기록할전망임 한국은 16년도에는 77억달러를기록하며전년대비약간증가하였으나, 17년에는대폭증가한 130억달러전망함. 삼성전자는 DRAM 18nm 전환, 3D 낸드플래시투자, 파운드리 10nm FinFET 양산등에투자하고, SK하이닉스는 DRAM 및 3D 낸드플래시등에총 30억달러장비투자예정임 18년중국의장비시장규모증가율은가장높을것으로예상되며, SMIC, SK하이닉스 ( 우시 ), 인텔 ( 다롄 ) 을중심으로계속성장세유지할것으로예상됨 322

331 반도체공정장비 반도체제조가주로아시아 ( 일본포함, 약 75%) 에서이루어지고있으며, 최근중국의공격적인산업육성전략구사에따라그비중은더욱커질전망 지역별반도체장비투자는미국 15~20%, EU 5~7%, 일본 8~12%, 아시아 55~65%( 중국 10~15%, 대만 25~30%, 한국 20~25%) 정도임 (2) 국내시장 국내반도체장비시장은약 85억달러 ( 16년) 로전세계시장의평균 20~25% 규모이며, 국산화는약 20% 수준 [ 반도체공정장비분야의국내시장규모및전망 ] ( 단위 : 억원, %) 구분 CAGR 국내시장 85,264 90,593 95, , , , * 출처 : SEMI, KSIA 자료바탕으로추정 반도체장비수출은 20 억달러이상이나, 중국등해외에위치한국내기업 ( 삼성, SK 하이닉스 ) 으로의납품실적이대다수임 국내반도체장비기업은반도체산업 ( 특히, 메모리 ) 의성장에힘입어매출고용의양적성장이있었으나, 여전히매출 1조원고용 1천명미만으로영세 해외업체대리점및가공형태로시작한장비기업이그간정부의국산화정책, 업계의노력으로일부대규모매출기업으로성장함 ( 세메스 1.1조원, 원익IPS 0.5조원 ) 대부분의장비기업의평균고용규모는약 100명수준이며, 업체별로는 10~800명까지다양하나, 글로벌업체에비해서는매우열악한수준임 (1/20). 제한된매출규모로인해규모영세성에따른낮은처우수준으로고급인재의확보가매우어려운상황임 323

332 기술개발테마현황분석 (3) 무역현황 15년반도체무역수지는 +246억달러 ( 수출 628억달러, 수입 382억달러 ) 이나, 반도체장비무역수지는약 42억달러 ( 수출 25억달러, 수입 67억달러 ) 로반도체장비의국산화를통한무역수지개선이필요 수출현황은 12년 12억달러에서 19년 38억달러수준으로 3배이상증가하고, 수입현황은 12년 79억달러에서 19년 80억달러수준으로약간증가하여무역수지적자폭이감소할것으로기대됨 한예로, 반도체식각장비의국내시장은약 1.5조 ~2조원이며, 90% 이상이외산장비임 무역특화지수는 12년(-0.74) 부터 19년(-0.36) 까지증가한것으로나타나점차수출특화상태로국내기업의수출량이증가하고있는것으로나타났으며, 국내의반도체장비관련해해외시장진출이활발하게이루어지고있는것으로분석 [ 반도체장비관련무역현황 ] ( 단위 : 천달러, %) 구분 CAGR 수출금액 121, , , , , 수입금액 791, , , , , 무역수지 -669, , , , ,424 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : SEMI, KSIA, MTI 자료활용 (2016) 324

333 반도체공정장비 다. 기술환경분석 (1) 기술개발트렌드 연구개발동향 : 미세화 초미세고종횡비식각장비및부품기술 고정밀, 고종횡비증착장비및핵심부품기술 차세대고평탄화 3D 소장용 CMP, 세정장비및핵심부품기술 3D 적층소자나노패턴분석용 MI 기술 웨이퍼레벨패키징공정장비기술등 3D 메모리반도체및차세대메모리소자양산을위한핵심요소기술을집중적으로개발중 3D 낸드플래시, MRAM(Magnetoresistive RAM), RRAM(Resistive RAM), PRAM(Phase-change RAM) 등차세대메모리소자의특성확보를위한핵심요소기술인친환경, 고정밀, 고균일, 고횡종비증착장비기술의선점필요 스마트 APC(Advanced Process Control)/AEC(Advanced Equipment Control) 구현을위한실시간공정진단센서및제어기술개발을통해 3D 반도체생산효율극대화 초미세, 고집적, 3D 적층형소자제작을위한나노패턴의측정및검사용다모드융복합 MI 장비기술개발을통해반도체생산불량률및수율관리의최적화가능 소자 Scaling에대한어려움을극복하기위한대안으로초저전력 3D 반도체소자및적층공정기술개발에관심증가 1x nm급이하초미세고집적화가가능한메모리반도체기술선도를위한초전력, 초미세, 고집적화공정장비핵심요소기술개발이필요함 Monolithic 3D 기술은 50% 의평균배선길이를줄일수있어, 평균 50% 전력을감소시킴. 인텔, 퀄컴등선진기업에서도활발하게연구하고있음 반도체공정장비의경우 SEMI를중심으로제조설비에대한안전지침및재료표준등에대한표준화를진행중이며, 납품시에는 SEMI 안전, 통신등의규격인증이요구 한국의경우 SEMI Facility와 I&C 분야에서일부기업이활동하고있으며, 최근국내주도로 Advanced Back-end Factory 분야의 TF가승인되어향후자동화분야의표준개발이예상됨 325

334 기술개발테마현황분석 (2) 기술환경분석 신성장동력 7대분야 ( 반도체, 디스플레이, LED, 그린수송, 바이오, 의료, 방송장비 ) 장비산업의기술경쟁력확보로지원하는 90억원규모의 신성장동력장비경쟁력강화사업 을추진중 최근한국, 중국, 대만등아시아권의반도체공장투자증대로전세계반도체장비시장에서아시아권이차지하는비율이더높아질것으로전망 메모리이외에국내소자업체의파운드리시장진입가시화에따른파운드리관련공정기술 및 HV-power, 센서, 바이오칩등의다양한기능의반도체소자에사용되는특화공정이 지속적으로요구될것으로예상 반도체증착공정은반도체제조과정의 77% 를차지하는전공정중에서 13% 비율을차지하기때문에핵심공정으로분류 국내반도체증착장비에대한해외의존도는미국, 일본, EU를포함하여매년 80% 이상을기록하고있고좀처럼개선되지않고있는것으로조사 미국, 일본, 유럽 3개국 10개업체가전체시장의 60% 이상을차지하고있으며, 이들 Big Vendor들의영향력이절대적으로, 공정미세화, 대구경화등으로향후 Top 10 기업의영향력이확대될전망 DRAM 미세공정한계는오고있으나현재 TSV( 실리콘관통전극 ) 외기술혁신은없어 DPT(Double Patterning Technology) 공정사용을통한미세공정은지속될것으로전망 DPT 공정은 30나노급에서 2번사용되지만, 2x nm에서는 4번, 2y nm에서는 5번, 2z nm에서는 7번사용되기때문에 30나노급에서 2z nm로전환가속화시 DPT 공정은기존대비 3.5배증가율예상 CPM 공정은미세공정확대에따라증가전망으로 CMP 는 CVD 공정공정다음스텝으로 CVD 공정이늘게되면자연스럽게 CMP 공정도늘어나게되고, 미세공정증가에따른 제조스텝증가로과산화수소수 (H2O2) Cleaning 공정이확대될것으로전망 일부후공정장비는기술경쟁력을확보하였으나시장이큰전공정장비분야는선진국대비 70% 수준의기술경쟁력을확보 전공정중 Asher, Furnace는경쟁력을확보하였으나시장이큰전공정장비분야는선진국대비 70% 수준의기술경쟁력을확보 장비업계의사업영역확장화즉, M&A, 기술개발등을통해일관공정체계를갖추고수요기업의요구에대해토털솔루션을제공함으로써시장지배력을확대 326

335 반도체공정장비 3. 기업분석 가. 주요기업비교 세계반도체장비시장은반도체소자의초미세화및 3D 적층형공정의활용으로현세대공정장비의기술로는차세대소자의효율적개발이난관에봉착한상황 3D 낸드플래시, DRAM, MRAM, RRAM, PRAM 등차세대메모리소자개발에활용할수있는고정밀, 고균일, 고종횡비증착장비기술개발이중요 차세대메모리반도체제조수율향상및산포도최소화를위해스마트 APC/AEC용실시간공정진단센서기술및프로세스장비및 MI 장비가융복합화된 IM 장비기술개발이시급 식각기술은대표적인반도체제조공정기술로서반도체산업뿐만아니라, 향후급격히성장할것으로예상되는나노산업등의첨단산업에필수적임. 이후중국등으로반도체기술이점진적으로이전이된다고가정할때, 식각장비기술의국산화는반도체기술선두유지를위한매우중요한첨단기술임 웨이퍼레벨 Fanout 패키징의경우다양한응용분야에적용가능한특징을기반으로급격한시장확대가예상 기생성분특성이우수하여고속대용량데이터전송이가능하며, High-end 메모리제품뿐만아니라, 사용주파수대역이높아지고있는차세대이동통신및 IoT와같은새로운정보통신서비스에광범위하게적용될것으로예상 Post 스마트폰시장으로인식되는이러한시장에서의경쟁력확보를위해서는관련공정및장비기술의후방산업기술력을보강하여, 전자산업및서비스의전방산업경쟁력확보전략이시급 패키징산업이지만패널을기반으로하는 PCB 또는 Display 산업의공정과장비면에서많은유사성이존재하고, 산업간밀접한관계를형성하고있어기술개발지원을통한시장진입뿐만아니라연계시너지가큰분야임 327

336 기술개발테마현황분석 전공정 구분해외업체국내업체응용분야비고 노광 식각 세정 ASML, 니콘, 캐논 Lam, TEL, AMAT TEL, DNS 세메스 APTC, 세메스 세메스, PSK, 케이씨텍 CMP AMAT 케이씨텍 이온주입 증착 열처리 AMAT, Axcelis - AMAT, TEL AMAT, TEL [ 제품분류별주요기업 ] 주성, 원익 IPS, 유진테크, 테스 테라세미콘, AP 시스템 빛으로웨이퍼위에회로모양을그리는장비노광에서그려진대로식각을통해모양을만드는장비불순물을깨끗하게제거하고씻어내는장비 박막표면을균일하게평탄화하는장비 미세한가스입자형태의불순물을침투시켜전자소자의특성을만드는장비웨이퍼위에특정용도막 ( 산화막, 절연막등 ) 을증착하는장비열을이용하여웨이퍼내물질을균질하게하거나, 증착하는장비 세계시장 : 60억달러국내기술수준 : 10% 부품국산화 : 0% 세계시장 : 62억달러국내기술수준 : 85% 부품국산화 : 50% 세계시장 : 13억달러국내기술수준 : 85% 부품국산화 : 65% 세계시장 : 10억달러국내기술수준 : 75% 부품국산화 : 60% 세계시장 : 10 억달러국내기술수준 : 20% 부품국산화 : 0% 세계시장 : 67억달러국내기술수준 : 90% 부품국산화 : 65% 세계시장 : 6억달러국내기술수준 : 90% 부품국산화 : 70% 측정분석 KLA-Tencor, AMAT 오로스테크놀로지, 에스앤유프리시젼 웨이퍼내의물질특성 ( 두께, 성분, 이물질등 ) 을분석하는장비 세계시장 : 42 억달러국내기술수준 : 35% 부품국산화 : 30% 후공정 패키징 테스트 테스코, 히타치하이텍, ASM Pacific Advantest, Teradyne 세메스, 한미반도체, 이오테크닉스 엑시콘, 유니테스트 웨이퍼에 via 형성등을통해배선연결, 밀봉하는장비 칩의불량여부를판정하는장비 세계시장 : 20억달러국내기술수준 : 90% 부품국산화 : 60% 세계시장 : 20억달러국내기술수준 : 80% 부품국산화 : 60% 328

337 반도체공정장비 국내중소기업사례 휴넷플러스는프라운호퍼 IAP연구소간퀀텀닷원천기술을활용한차세대디스플레이용소재, 부품및기타관련제품의공동개발사업화협약체결등다양한분야로사업확대 울텍은 coating, etching, annealing 등다양한분야의고효율, 저비용반도체장비연구개발및생산 로체시스템즈는반도체용 clean 로봇을비롯 LCD용 clean robot, Laser를이용한 glass cutting 시스템등의장비를개발, 공급 엠아이반도체는반도체제조및검사에사용되는습식식각기술과로봇시스템을이용한자동화장비제작기술을토대로사업전개 큐엠씨는기계, 레이저 / 광학, 영상처리, 자동제어기술을바탕으로공정장비및검사장비공급 에스엔텍은대면적연구용증착설비도입및연구용장비를이용하여시장에빠르게적용가능한기술개발 [ 주요중소기업비교 ] ( 단위 : 백만원,%) 국내업체자산총계매출액 매출액증가율 영업이익율 당기순이익율 R&D 집중도 ( 주 ) 휴넷플러스 16,647 5, ( 주 ) 울텍 7,332 1, ( 주 ) 로체시스템즈 88,640 98, ( 주 ) 엠아이반도체 3,452 2, ( 주 ) 큐엠씨 11,739 11, ( 주 ) 에스엔텍 56,684 66,

338 기술개발테마현황분석 나. 주요업체별기술개발동향 (1) 해외업체동향 반도체장비는지속적으로미국, 유럽, 일본기업들이독식 15 년기준으로 Top 15 업체에미국 4 개, 유럽 3 개, 일본 8 개사로대부분차지함 * 출처 : VLSI Research, 2016 최근중국의메모리산업진입및장비 재료산업육성본격화에따라중국기업의추격이거센상황 파운드리인 SMIC는 24 나노플래시메모리분야, 메모리기업인XMC는플래시및 3D 낸드플래시에집중할예정으로향후 3~4년이내에국내기업과경쟁이예상됨 반도체장비업체는식각장비기업인 AMEC 이외에약 15개공정별핵심장비기업을선정하여정부차원의자금을지원함 중국정부는반도체제조의경쟁력은설계 / 공정경쟁력과핵심반도체장비와소재의경쟁력에서만들어지는것으로이해하고, 장비산업육성에도막대한지원을하고있음. 특히국유기업인칭화유니그룹은반도체회사뿐만아니라장비회사인수에도큰관심을갖고있음 330

339 반도체공정장비 (2) 국내업체동향 국내반도체공정장비업체는높은기술진입장벽, 핵심부품의낮은국산화율, 원천기술확보미비등으로, 세계시장을선도하는국내소자업체와비교하여세계시장점유율이매우낮은실정 ( 식각장비개발 ) 국내기업 (SEMES, APTC) 의점유율은약 5% 내외, 해외선진사대비 80%(RF Generator, Matcher, ESC(Electro Static Chuck) 등관련부품은 90%) 기술수준을보유하고있으나, 핵심요소기술및노하우축적이요구되어신규업체의시장진입장벽이비교적높은분야임 (SEMES 매출은 15년약 1,800억원, 16년약 2,500억원이고 APTC 매출은 16년약 400억원 ) - 플라즈마소스정밀제어, ESC 등의지속적인개선기술이개발중이며, 장치간의유의차및가동시간에따른공정변화최소화등성능안정화기술이요구됨 - 해외선진사대비열악한자본력으로지속적개발투자및인력확보에어려움 (CMP 장비 ) 케이씨텍이기술난이도가낮은제품을일부양산공급중으로해외선진사대비 75% 기술수준을보유, 세계시장본격진입을위해서는다년간의기술개발및기술노하우축적이필요함 - 반도체소자의미세화, 다층화추세로전체공정내 CMP 장비의중요도가높아지는상황이나, 대부분수입에의존 ( 증착장비 ) 테스, 원익IPS, 유진테크, 주성엔지니어링등이해외선진사대비 90% 기술수준으로세계시장경쟁력보유 - 3D 낸드플래시제조를위해서 ONO(Oxide-Nitride-Oxide) 증착장비, ARC(Anti Reflective Coating) 증착장비, ACL(Amorphous Carbon Layer) 증착장비가필수적이며, 소자의미세화, 다층화로 ALD(Atomic Layer Deposition) 장비시장증가추세 - 기존 CVD 공정이 ALD로대체되고있으며, 증착난이도가높아질수록비중은더욱증가할전망 - 외산장비와경쟁이가능한수준이나, ALD 등기술트렌드를따라잡기위한지속적인장비개발이필요하며, 관련공정분석비용의증가와양산성검증을위한 Marathon 테스트가필수적 (MI 장비개발 ) 오로스테크놀로지, 넥스틴등국내기업은일부장비는개발되어시장진입을하고있으나, 3D 반도체관련장비는상용화실적이없음 - MI 장비산업분야는독과점폐해가심각하며, 국내소자업체의기술유출우려가상존하고, 장비국산화를통한경쟁체제유도가필요 ( 해외업체의평균 Gross Margin이 60% 에달함 ) - 3D 낸드들래시등국내소자업체가선도하는 3차원ㄴ반도체공정에적합한계측 / 검사장비가상용화되어있지않아차세대공정기술개발과양산수율확보에어려움 - 해외주요장비업체들은업체별로특화영역을가지고시장을거의독점 ( 막질특성계측장비는 KLA-Tencor, Nanometric이 95% 이상점유, 임계선폭계측장비는 Hitachi 90% 이상, AMAT 10% 점유, 오정렬측정장비는 KLA-Tencor 거의 100% 독점, 패턴결함검사장비는 KLA-Tencor 80% 이상, AMAT 20% 정도점유 ) - 3차원반도체공정에적합한 3차원프로파일계측장비와패턴결함검사장비는아직상용화된제품이없으나, KLA-Tencor와넥스틴에서 3차원공정용패턴결함검사장비를개발중임. 광학임계선폭 (Optical Critical Dimension;OCD) 계측장비의개발이시급하나, 국내에확보된기술은미흡한실정 331

340 기술개발테마현황분석 ( 패키징장비개발 ) 첨단패키징관련 2.5D/3D 공정기술은삼성전자와 SK하이닉스가세계최고수준이라할수있으나, 관련장비기술은전무한실정 - 2.5D/3D 관련공정장비들은노광, 식각및증착과같은반도체전공정영역에해당하는기술로구성되어있기때문에, 전술한반도체전공정관련국내기술력수준은비슷한상황임 - 웨이퍼레벨 Fanout 공정의경우, 패널기반으로진행할경우대면적기반의 PCB 또는 Display 공정및장비와많은부분에서유사점이존재하기때문에국내에서접근하기비교적기술적장벽이높지않을것으로판단됨 332

341 반도체공정장비 4. 기술개발현황 가. 기술개발이슈 ( 식각장비개발 ) 10nm 이하의반도체소자, 3D 낸드플래시, FinFET, MRAM 등의제조에필요한식각공정의난이도가점점높아지고있어, 이를위한미세패턴식각등의기술개발이활발히이루어짐 탄소저감을위한새로운화학반응공정기체, 중성빔과같은무손상플라즈마소스개발등과기존공정의개선기술개발등의방향으로연구개발이진행중 향후반도체소자의물리적인축소는한계에도달하며, 이에따른공정기술난이도가점점높아짐에따라소재부분의 R&D에집중하는경향이있음. 새로운소재의식각기술개발과필요한물질만선택식각할수있는무한대선택비식각기술의중요성이증대 (MRAM 등차세대비휘발성메모리분야에서새로운박막재료를식각할수있는플라즈마소스및화학반응공정기술을요구 ) 10nm 이하및 3D 반도체소자구조제조에서중요한 CD(Critical Dimension) 제어와, 양산산포를줄이기위한식각재현성확보를위한진단, RF, 온도등의정밀제어기술개발이필요 미국의 Lam, AMAT은삼성전자, SK하이닉스, 인텔, TSMC 등의제조사를위한기술개발프로그램을개별적으로운영하고있으며, 소자에따라각각다른방식의식각기술로대응하고있음. 또한최근고종횡비식각기술등의대응을위해서는반도체제조사와공동으로기술개발을진행중 일본의 TEL은 Dielectric 식각분야에서전통적인시장강세를유지하고있으며, 기술수준은미국 Lam의 95% 수준임. Hitachi는독특한 ECR(Electron Cyclotron Resonance) 플라즈마소스를지속개량하여건식식각시장의일부분을유지해왔으며, 저압공정의수요가증가함에따라저압특성이좋은 ECR 소스에다른플라즈마기술을접목하여기술개발중 중국제일의건식식각장비업체인 AMEC는막강한자본력을바탕으로주고객인 TSMC와기술개발을하고있으며, 이외에 NMC 등의중소건식식각장비업체가있음 국내에서는세메스와 APTC에서제품을개발하여양산진입에성공하였으나, 국내업체의자본력은해외선진업체대비열악하여지속적개발비투입과인력확보가힘든실정임 (CMP 장비 ) 반도체소자의미세화및다층화로인하여 CMP 공정기술이차지하는비중이전체디바이스제조공정내에서도높아지는추세이며, 미국의 AMAT와일본의 EBARA가시장및기술을선도함 시스템반도체뿌난아니라, 메모리분야에서도제품의성능향상을위한 3D 구조의다층화가이루어지고있으며, 배선선폭역시 10nm 이하의수준으로미세화추세 디바이스성능개선을위한 BEOL(Back-End of Line) 에서의 Cu 배선, FEOL(Front-End of Line) 에서의 High-K metal gate 등과같은적용재질의급변화가이루어지고있어, 이에대한디바이스공정기술에대한개선미급변화가요구 연마균일도, 설비가동률향상, 관련소모재 (Pad, Conditioner, PVA Brush) 의수명향상등기능적다변화가요구 333

342 기술개발테마현황분석 ( 증착장비 ) 3D 낸드플래시, FinFET 등 3D 구조를적용하는데매우복잡하고어려운공정을사용하게되면서, 증착장비및부품의친환경, 고정밀, 고균일, 고횡종비제어기수에대해활발히연구개발이진행되고있은추세 고온증착, 고밀도플라즈마증착기술은박막및소자신뢰성저하의원인이되기때문에, 3D 반도체제조를위한고품질, 고성능박막을증착하기위한저온증착공정및장비개발이활발히진행 3D 낸드플래시는 64층이상적층트랜지스터제작을위한고균일 PECVD(Plasma Enhanced Chemical Vapor Deposition) 증착기술과련공정장비및부품개발을미국의 AMAT가선도하고있으며, 유진테크, 원익IPS, TES 등국내기업들이시장진입을위한장비개발을진행중 패턴의미세화및고집적소자의수율에큰영향을미치는히터온도의균형을맞추기위한고정밀, 고균일온도제어가가능한세라믹멀티존히터부품은일본 NGK가기술을선도하고있으며, 보부하이테크, 미코등의국내기업들이기술을개발하여삼성전자, SK하이닉스에납품하기위한양산평가를진행중 고정밀증착을위하여 CVD 증착공정재료가스의초정밀유량제어기술에대한연구를국내외에서활발히진행중 (MI 장비개발 ) MI 기술과공정장비기술의융합화지속확대추세 미세화기술은반도체소자의특성향상및제조원가절감의핵심요소기술로서 기술을확보하지못한회사들은경쟁에서도태되고있음 최신의미세화 공정의미세화, 3D 적층화된소자구조활용, 복잡한나노패턴설계등으로인해 APC/AEC가적용된효율적공정관리기술개발을위해프로세스장비와 MI 장비가융복합된 IM(Integrated Metrology) 기술개발을진행 공정진단센서의소형화, 직접화, 지능화를통해신공정및장비상태를실시간으로정밀하게측정및분석하는공정진단센서의필요성이강조되고있으며, 웨이퍼형태센서및외부툴박스와실시간통신이가능한공정진단센서를개발하는추세로진행 (TEL, KLA-Tencor 주도 ) ( 패키징장비 개발 ) 삼성전자와 TSMC는 3D 제품의 경우는 자체 첨단 패키징 공정을 적용하고 있으며, 특히 TSMC는 Fanout 패키징까지 내재화하여 향후 치열한 경쟁이 예상됨 TSMC의 Fanout 패키징시장진입과더불어삼성전기도패키징시장에직접진입하기로결정하고 기술개발진행중 또한 OSAT 진영에서는가격경쟁력제고를위하여 600mm 이상의패널레벨 Fanout 기술의 도입을앞당기려고시도 패널 레벨 Fanout 기술의 경우 독일의 프라운호퍼가 기술을 선도하고 있으며, 일본 APIC YAMADA사와공동으로패널기반몰딩공정장비개발 웨이퍼레벨 Fanout 제품은 JCET, STATSchipPAC, Nanium 그리고국내의 Nepes에서생산하고 있으며, 최근합병된대만의 ASE/SIPIL, 그리고 Amkor에인수된일본 J-Device 등이패널레벨 Fanout 기술로시장진입을준비중 334

343 반도체공정장비 2.5D/3D 적층또는외부부품까지패키지내부로집적하여, 패키지연결부위를최소화하는동시에내부연결의모든공정을반도체공정으로미세화하는방향으로기술진화중 ( 고속대용량데이터전송을위해서는회로간짧은연결및연결부분에서의기생성분에의한손실최소화가필수적임 ) 패키지내부에서의데이터대용량화및고속화는 2.5D/3D 기술로해결가능한반면, 패키지외부로의대용량화및고속화는 Interconnection 기생성분특성이우수한 Fanout 기술로해결가능 웨이퍼단위를기반으로하는 2.5D/3D 및 Fanout 패키징증가로, 반도체전공정과후공정간경계가허물어지고있으며, MoL(Middle of Line) 공정이라는새로운부류가생겼으며, 그중요성및시장비중이계속높아지고있는추세 또한비용효율면에서도가장많은압박을받고있는패키지분야에서가장최근기술인웨이퍼래벨 Fanout 공정에서도비용절감을위하여면적효율이제일우수한사각형태의 panel level 공정도입을계획중이며, 이렇게될경우많은공정과관련장비의변화가예상 335

344 기술개발테마현황분석 나. 특허동향분석 반도체공정장비특허상주요기술 주요기술 반도체공정장비는 CMP, 세정공정기술로고평탄화 3D 소자용 CMP 기술, CMP 공정소재기술로구분되고, 식각공정기술은초미세고종횡비식각기술, 초정밀레이저식각기술, 신규플라즈마소스기술, 무한대선택비식각기술, 식각공정정밀제어기술로분류되며, 증착공정기술은고정밀, 고균일, 고종횡증착기술, 저온증착공정기술, 고적층고균일증착기술, 증착가스제어기술로구분됨 분류요소기술설명 CMP, 세정공정 식각공정 고평탄화 3D 소자용 CMP 기술 CMP 공정소재기술초미세고종횡비식각기술초정밀레이저식각기술신규플라즈마소스기술무한대선택비식각기술 시스템반도체, 메모리등에서의 3D 구조다층화및배선선폭 10nm 이하의미세화추세에따른고평탄화 3D 소자용 CMP 공정장비기술 연마균일도, 설비가동율향상관련소모재 (Pad conditioner, PVA brush) 의수명향상등 CMP 공정소재기술 3D 낸드플래시공정등에필요한식각종횡비 (Aspect Ratio) 가 100 이상인많은 ion 들이 hole 하부식각전면부까지도달가능한초미세고종횡비식각기술 정밀식각을위한 ALE(Atomic Layer Etch) 기술 기존 CCP(Capacitively Coupled Plasma) 와 ICP(Inductively Coupled Plasma) 기반기술을결합한플라즈마소스, 중성빔 (Beam) 같은무손상 (Damage-free) 플라즈마소스등초정밀식각을위한신규플라즈마소스기술 필요한물질만선택식각할수있는무한대선택비식각기술 식각공정정밀제어기술 10nm 이하및 3D 반도체소자구조제조에서중요한 CD(Critical Dimension) 제어와, 양산산포를줄이기위한식각재현성확보를위한진단, RF, 온도등의정밀제어기술 증착공정 고정밀, 고균일, 고종횡증착기술저온증착공정기술고적층고균일증착기술증착가스제어기술 3D 낸드플래시, MRAM(Magnetoresistive Random Access Memory), RRAM(Resistive Random Access Memory; ReRAM), PRAM(Phase-change RAM; PC-RAM) 등차세대메모리소자의특성확보를위한친환경, 고정밀, 고균일, 고종횡비증착장비기술 3D 반도체제조를위한고품질, 고성능박막증착을위한저온증착공정및장비기술 3D 낸드플래시등 64 층이상적층트랜지스터제작을위한고균일 PECVD(Plasma-enhanced Chemical Vapor Deposition) 증착기술및관련공정장비기술 고정밀증착을위한 CVD 증착공정재료가스의초정밀유량제어기술 336

345 반도체공정장비 세부분야별특허동향 주요기술별국가별특허동향 반도체공정장비의요소기술별주요국가별특허정보데이터입수하였으며, 최근 10 년간의 특허데이터를비교분석함 분류요소기술한국미국일본유럽계 CMP, 세정공정 식각공정 증착공정 고평탄화 3D 소자용 CMP 기술 CMP 공정소재기술초미세고종횡비식각기술초정밀레이저식각기술신규플라즈마소스기술무한대선택비식각기술식각공정정밀제어기술고정밀, 고균일, 고종횡증착기술저온증착공정기술고적층고균일증착기술증착가스제어기술 합계 ,022 국가별요소기술별특허동향에서 CMP, 세정공정기술분야는미국이가장많은비중을차지하고있으며, 일본과유럽이상대적으로적은출원량을보유하고있음 식각공정기술분야도미국이가장많은특허출원비중을보이고있으며, 유럽이상대적으로적은특허출원을나타내고있음 증착공정기술분야는미국이가장많은비중을차지하고있으며, 유럽이상대적으로적은출원량을보유하고있음 337

346 기술개발테마현황분석 주요기술별출원인동향 세부분야 요소기술 기술집중도 주요출원인 국내특허동향 CMP, 세정공정 고평탄화 3D 소자용 CMP 기술 CMP 공정소재기술 솔브레인 ( 주 ) 삼성전자 Cheil 대기업중심솔브레인 ( 주 ), 삼성전자, 동진쎄미켐등 초미세고종횡비식각기술 식각공정 초정밀레이저식각기술신규플라즈마소스기술무한대선택비식각기술식각공정정밀제어기술 삼성전자 Globalfoundries 솔브레인 ( 주 ) 대기업중심삼성전자, 솔브레인 ( 주 )( 주 ) 디비하이텍등 증착공정 고정밀, 고균일, 고종횡증착기술저온증착공정기술고적층고균일증착기술증착가스제어기술 삼성전자 Globalfoundries Semiconductor Energy 대기업중심 디비하이텍, 삼성전자, Dai Nippon Printing 등 CMP, 세정공정기술분야주요출원인동향 CMP, 세정공정기술분야는솔브레인 ( 주 ) 가가장많은특허를보유하고있으며그다음으로는삼성전자, Cheil 등이많은특허를보유하고있는등한국회사들이주류를이루고있는것으로나타남 식각공정기술분야주요출원인동향 식각공정기술분야는삼성전자가가장많은특허를보유하고있으며그다음으로는 Globalfoundries, 솔브레인 ( 주 ) 등이많은특허를보유하고있는등한국회사들이주류를이루고있는것으로나타남 증착공정기술분야주요출원인동향 증착공정기술분야는삼성전자가가장많은특허를보유하고있으며그다음으로는 Globalfoundries, Semiconductor Energy 등이많은특허를보유하고있으며, 미국회사들이주류를이루고있음 반도체공정장비분야의주요경쟁기술및공백기술 반도체공정장비분야의주요경쟁기술은식각공정기술이고, 상대적인공백기술은 CMP, 세정공정기술로나타남 반도체공정장비분야에서초미세고종횡비식각기술, 초정밀레이저식각기술, 신규플라즈마소스기술, 무한대선택비식각기술, 식각공정정밀제어기술로구성된식각공정기술분야가가장경쟁이치열한분야이고, 고평탄화 3D 소자용 CMP 기술, CMP 공정소재기술로이루어진증착공정기술분야가상대적으로출원이활발하지않은공백기술분야로나타남 338

347 반도체공정장비 세부분야요소기술기술집중도 CMP, 세정공정 식각공정 증착공정 고평탄화 3D 소자용 CMP 기술 CMP 공정소재기술초미세고종횡비식각기술초정밀레이저식각기술신규플라즈마소스기술무한대선택비식각기술식각공정정밀제어기술고정밀, 고균일, 고종횡증착기술저온증착공정기술고적층고균일증착기술증착가스제어기술 : 50 건이상, : 30~49 건, : 20~29 건, : 10~19 건, : 10 건미만 최신국내특허기술동향 분류요소기술최근핵심요소기술동향 CMP, 세정공정 식각공정 증착공정 고평탄화 3D 소자용 CMP 기술 CMP 공정소재기술초미세고종횡비식각기술초정밀레이저식각기술신규플라즈마소스기술무한대선택비식각기술식각공정정밀제어기술고정밀, 고균일, 고종횡증착기술저온증착공정기술고적층고균일증착기술증착가스제어기술 텅스텐등선택적연마속도조절슬러리소재기술연마속도향상및연마선택비가능슬러리소재기술고선택비식각용조성물소재기술마이크로파기반초정밀플라즈마에칭기술콘택플러그용금속증착법기반초미세화증착공정기술균일한가스유동패턴을위한증착가스제어기술 국내특허동향을살펴보면모든기술분야가대기업중심으로활발하게연구개발이추진되고있는것으로나타남 식각공정기술분야는대기업을중심으로솔브레인 ( 주 ), 삼성전자, 동진쎄미컴등에서고선택비식각용조성물소재기술, 마이크로파기반초정밀플라즈마에칭기술등을연구개발하고있음 증착공정기술분야도대기업을중심으로 디비하이텍, 삼성전자, 에스케이하이닉스등에서콘택플러그용금속증착법기반초미세화증착공정기술, 균일한가스유동패턴을위한증착가스제어기술등이연구개발되고있음 339

348 기술개발테마현황분석 중소기업특허전략수립방향및시사점 반도체공정장비분야의상대적인공백기술분야는 CMP, 세정공정관련기술로나타남 반도체공정장비분야는반도체제조공정에서웨이퍼, 메모리, SoC 등을제조하는데유용하게사용될수있음 반도체공정장비는소수의반도체제조업체가최종수요자이고대규모의장치투자가필요한분야로주로대기업중심으로연구개발및투자가이루어지고있는분야임 하지만중소벤처기업도공정장비의일부기술및핵심부품, 공정소재등을연구개발하여공정장비제조업체와협업한다면최종수요자인반도체생산업체에납품할수있는가능성이있음 향후중소기업은상대적으로경쟁이치열하지않는 CMP, 세정공정분야의고평탄화 3D 소자용 CMP 기술이나 CMP 공정소재기술을공공연구기관의기술을이전받거나공동으로연구개발하여제품화하는특허전략을수립하는것이바람직할것으로사료됨 340

349 반도체공정장비 5. 연구개발네트워크 가. 연구개발기관 / 자원 진공플라즈마발생장치및시뮬레이션 한양대학교플라즈마전자공학연구실 ( 정진욱교수 ) - 진공플라즈마발생장치를이용한 PECVD, Etch 장비성능개선 충남대학교응용플라즈마물리연구실 ( 유신재교수 ) - 플라즈마소스개발, 플라즈마시뮬레이션및플라즈마진단기술 부산대학교플라즈마연구센터 ( 이해준교수 ) - 플라즈마시뮬레이션, 플라즈마소스, 대기압플라즈마기술 명지대학교터보기계실험실 ( 최민석교수 ) - 진공챔버, 진공펌프및진공시스템열유체모델링및유동해석 플라즈마공정및표면처리기술 한국기계연구원플라즈마연구실 ( 이대훈박사 ) - 저탄소 / 저공해나노촉매-플라즈마하이브리드기술개발 성균관대학교플라즈마공정연구실 ( 염근영교수 ) - 식각공정기술개발및원자층식각공정기술개발, 반도체공정플라즈마소스개발 성균관대학교나노스케일공정연구실 ( 채희엽교수 ) - 원자층증착공정기술및장비기술 CMP 공정기술 한양대학교나노바이오전자재료및공정연구실 ( 박진구교수 ) - CMP 공정기술, 세정기술및나노임프린트기술 부산대학교 CMP 실험실 ( 정해도교수 ) - CMP, ECMP, CMP 모니터링및측정장비개발, CMP 시뮬레이션및모델링 341

350 기술개발테마현황분석 포토리소그래피및광계측기술 한양대학교나노공정및소자연구실 ( 안진호교수 ) - EUV 측정검사기술, 포토마스크및펠리클기술, EUV 포토리소그래피시뮬레이션 한양대학교리소그래피연구실 ( 오혜근교수 ) - 포토리소그래피패터닝기술및오염방지를위한펠리클기술 명지대학교첨단광응용연구실 ( 김재순교수 ) - 광계측, 레이저측정기술및반도체레이저측정검사장비기술 실시간반도체공정진단기술 명지대학교반도체공정진단연구소 ( 홍상진교수 ) - 실시간플라즈마진단기술및센서기술, APC/AEC, FDC 알고리즘개발 한국표준과학연구원진공센터 ( 윤주영센터장 ) - 진공공정모니터링기술및 ALD 소스평가기술 서울대학교플라즈마응용연구실 ( 김곤호교수 ) - 반도체, 디스플레이플라즈마응용기술및실시간플라즈마공정진단기술 연세대학교산업시스템다이니믹스연구실 ( 김창욱교수 ) -반도체, 디스플레이제조분야의실시간공정이상진단을위한데이터마이닝기술 342

351 반도체공정장비 나. 연구개발인력 반도체공정장비기술분야는반도체소자기업및장비기업에서주로연구개발을진행하고 있음 [ 반도체공정장비기술분야주요연구인력현황 ] 기관 성명 직급 한국표준과학연구원 강상우 수석연구원 한국기계연구원 강우석 책임연구원 지오엘리먼트 김대현 대표이사 코리아스펙트랄프로덕츠 김영민 이사 엔셀 김해종 이사 에스엔텍 서성만 부장 뉴파워플라즈마 유승희 이사 나노종합기술원 임성규 팀장 프라임솔루션 홍장식 이사 원익IPS 이내일 상무 SEMES 구자명 책임 MKS 한성호 사장 테스 이유영 이사 코스텍 김용섭 전무이사 에이플어스 이도형 대표이사 이테크 박성재 대표이사 343

352 기술개발테마현황분석 다. 기술이전가능기술 (1) 기술이전가능기관 반도체 공정장비의 기술이전이 가능한 기관은 한국기계연구원, 명지대학교 산학협력단, 한국표준과학연구원이있음 [ 반도체공정장비요소기술연구기관 ] 분류 요소기술 기관 플라즈마의전자에너지분포특성변동모니터링방법및장치 서울대학교산학협력단 플라즈마 플라즈마발생장치및플라즈마처리방법 한국기계연구원 리모트플라즈마발생장치 한양대학교산학협력단 PVD & ALD 승강부재, 이를이용하는전자파차단차폐막형성방법및그장치 원자층식각방법 명지대학교산학협력단 성균관대학교산학협력단 표면처리 내플라즈마평가방법 코팅성능평가장치 한국표준과학연구원 한국표준과학연구원 극자외선노광공정용마스크및그의제조방법 한양대학교산학협력단 포토리소그래피 위상반전마스크및이의제조방법 한양대학교산학협력단 열방출층을갖는펠리클및그제조방법 한양대학교에리카산학협력단 광학분광분석장치및이를구비한플라즈마처리장치 연세대학교산학협력단 실시간공정진단이가능한적외선분광분석기 한국표준과학연구원 공정진단 실리콘관통전극프로파일평가방법및장치 광모니터링을통한세정종료점검출시스템및방법 명지대학교산학협력단 명지대학교산학협력단 플라즈마식각공정에서리크원인을검출하는방법, 장치및그를이용한플라즈마식각장치 명지대학교산학협력단 RF 센서장치 명지대학교산학협력단 344

353 반도체공정장비 (2) 이전기술에대한세부내용 [ 반도체공정장비기술 ] 분류 기술명 기술개요 세부내용광모니터링을통한세정종료점검출시스템및방법실제세정시간의적용이가능하도록세정종료점을검출하여세정시스템에적용하는세정종료점검출시스템및방법에대한기술이다. 세정종료점검출방법은플라즈마세정공정동안에발생하는빛을감지하는단계, 감지된빛에서가스와반응물질에의해발생되는부산물과관련된피크값들중일부를선택하는단계와취득및분석된데이터를이용하여세정종료점을검출하는단계를포함한다. 3D-NAND Flash 공정은 64 또는 128층이상의 Oxide/Nitride 박막증착이필수적이며과거의일반적인 PECVD 공정보다월등히오랜시간동안증착공정을수행하게됨에따라다음공정을위한챔버세정기술이매우중요하다. 본특허는실시간공정진단기술을적용한플라즈마증착챔버세정공정모니터링시스템에대한특허로세정의종료점검출을용이하게해줄수있다. 기술이전목적및필요성 본기술은플라즈마증착장비의외부에설치된뷰포트창을통해광분광기를 설치하고이를통해실시간을데이터를수집하고, 별도의컴퓨터를통해취득된 데이터를실시간으로표시해주는기능을포함한다. 증착된막의두께에따라챔버의외벽에도동일한물질이증착되며, 이로인해 플라즈마공정조건이변하게된다. 본특허기술을적용할경우효율적인 챔버클리닝공정을통해 3D-NAND 공정의생산성향상을기대할수있다. 별도의챔버개조없이외부에광케이블을설치하여운영할수있다. 기존의공정모니터링에활용되고있는광학분광기를사용해서운용할수있다. 기술의특징및장점 복잡한신호처리대신통계적인알고리즘을수식화하여적용할경우, 다양한 물질을증착하는 PECVD 공정으로확대적용할수있다. 운영 SW 는자동으로플라즈마가발생했을경우자동트리거기능을설정하여 운영자의부재시에도자동으로세정종료점을알려줄수있고, 장비와연동하여 자동화함이가능하다. 기술성숙도 (TRL) 단계 6 345

354 기술개발테마현황분석 분류 세부내용 PECVD 공정챔버세정기술및장비재연성확보 활용방안및기대성과 3D-NAND 증착장비에적용하여생산성확보 식각공정에적용하여식각종점검출로활용이가능 실시간반도체공정진단분광분석기응용기술 기술이전내용및범위 다중센서실시간활용이가능한운영 SW 기술 다중센서데이터취득을위한 HW 기술및데이터분석용 SW 장비통신과연계할수있는 SECS/GEM Protocol 특허 3 건 관련지적재산권 실시권허용범위 계약기간 1) 등록번호 : ) 등록번호 : ) 등록번호 : 통상실시권, 독점 ( 전용 ) 실시권또는특허이전계약체결일로부터 5 년간또는영구이전 기술이전조건 기술료조건 ( 부가세별도 ) 구분 중소기업 중견기업 대기업 착수기본료 ( 천원 ) 30,000 47,000 57,000 매출정률사용료 (%) 기술전수교육 1 개월 / 1,213.2 천원정 ( 부가세별도 ) 기타특기사항 세부문의 기술관련 계약관련 명지대학교반도체공정진단연구소홍상진교수 / samhong@mju.ac.kr 명지대학교산학협력단이선영주임 / sylee81@mju.ac.kr 346

355 반도체공정장비 6. 기술로드맵기획 가. 중소기업핵심요소기술 (1) 데이터기반요소기술발굴 [ 반도체공정장비분야키워드클러스터링 ] 347

356 기술개발테마현황분석 [ 반도체공정장비분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 semiconductor equipment condition diagnosis 4~5 1. Enabling CMOS 0.13μm technology at 0.18μm equipment platform on 200mm Semiconductor Manufacturing Industry 2. Equipment condition diagnosis and fault fingerprint extraction in semiconductor manufacturing 클러스터 02 클러스터 03 클러스터 04 클러스터 05 클러스터 06 클러스터 07 클러스터 08 클러스터 09 클러스터 10 semiconductor equipment Effect Analysis semiconductor equipment simulation semiconductor equipment etching semiconductor equipment etch semiconductor equipment atomic-level etching semiconductor equipment Laser annealing semiconductor equipment deposition semiconductor equipment deposit semiconductor equipment polishing 4~5 4~5 4~5 4~5 5~7 4~5 4~5 7~8 5~6 1. Frequency-Domain ILC Approach for Repeating and Varying Tasks: With Application to Semiconductor Bonding Equipment 2. Improving Overall Equipment Effectiveness (OEE) through integration of Maintenance Failure Mode and Effect Analysis (maintenance-fmea) in a semiconductor manufacturer: A case study 1. Sandglass-type product specification management method for supporting modular design of semiconductor manufacturing equipment 2. Simulation model to control risk levels on process equipment through metrology in semiconductor manufacturing 1. Chemical etching of a semiconductor surface assisted by single sheets of reduced graphene oxide 2. Dry etching technologies of optical device and III-v compound semiconductors 1. Elastomer-Polymer Semiconductor Blends for High-Performance Stretchable Charge Transport Networks 2. Heat flow, transport and fluctuations in etched semiconductor quantum wire structures 1. Quantum confinement of integrated pulse electrochemical etching of porous silicon for metal semiconductor metal photodetector Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing 1. Control of threshold voltage in E-mode and D-mode GaN-on-Si metal-insulator-semiconductor heterostructure field effect transistors by in-situ fluorine doping of atomic layer deposition Al2O3 gate dielectrics 2. Laser annealing of electrodeposited CuInSe2 semiconductor precursors: experiment and modeling 1. Microwave plasma assisted process for cleaning and deposition in future semiconductor technology 2. Monolithic integration of metal-ferroelectric-semiconductor heterostructure using atomic layer deposition 1. Apparatus for reducing buildup of deposits in semiconductor processing equipment 2. METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND EVAPORATION SYSTEM 1. Implementation in-situ auto polishing rate optimization for chemical mechanical planarization process in semiconductor fabrication industry 2. Fabrication process of semiconductor device and polishing method 348

357 반도체공정장비 (2) 요소기술도출 [ 반도체공정장비기술분야요소기술도출 ] 분류요소기술출처 플라즈마장비공통 진공공정장비공통 Etcher PECVD ALD AEC/APC 대용량 RF Generator RF Matcher 플라즈마해석기술온도구간별 ( 저온, 중온, 고온 ) 신뢰성및내구성을겸비한 O-ring기술 MFC 유량제어 ESC 챔버드라이클리닝기술 ALD 가스밸브실시간공정진단알고리즘 RF generator 소비전력모니터링센서 RF 진단센서 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 진공척온도측정모니터링센서특허 / 논문클러스터링, 전문가추천 349

358 기술개발테마현황분석 (3) 핵심요소기술선정 [ 반도체공정장비분야핵심요소기술 ] 분류핵심요소기술개요 플라즈마장비공통 진공공정장비공통 대용량 RF Generator RF Matcher 플라즈마해석기술 온도구간별 ( 저온, 중온, 고온 ) 신뢰성및내구성을겸비한 O-ring 기술 MFC 유량제어 구조다층화및미세화에대응하는플라즈마응용공정기술개발 구조다층화및미세화에대응하는플라즈마응용공정기술개발 구조다층화및미세화에대응하는플라즈마응용공정기술개발 반도체장비의밸브라인에쓰이는온도구간별 O-ring 기술개발 반도체공정에필요한 MFC 가스제어기술 Etcher PECVD ESC 챔버드라이클리닝기술 식각종횡비 100 이상을내는온도구간별식각공정기술개발 반도체챔버에불순물을제거하는드라이크리닝기술 ALD ALD 가스밸브 ALD 가스유량제어에적합한가스밸브기술 실시간공정진단알고리즘 고정밀, 고종횡비증착기술개발 AEC/APC RF generator 소비전력모니터링센서 RF 진단센서 진공척온도측정모니터링센서 고정밀, 고종횡비증착기술을위한소비전력측정센서 고정밀, 고종횡비증착기술을위한플라즈마파워진단센서 고정밀, 고종횡비증착기술을위한온도조절모니터링센서 350

359 반도체공정장비 나. 반도체공정장비기술로드맵 최종중소기업기술로드맵은기술 / 시장니즈, 연차별개발계획, 최종목표등을제시함으로써 중소기업의기술개발방향성을제시 351

360 기술개발테마현황분석 다. 연구개발목표설정 로드맵기획절차는산 학 연전문가로구성된로드맵기획위원회를통해선정된핵심요소기술을대상으로기술요구사항, 연차별개발목표, 최종목표를도출 [ 반도체공정장비분야핵심요소기술연구목표 ] 분류 핵심요소기술 기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 플라즈마장비공통 대용량 RF Generator RF Matcher 플라즈마해석기술 스텝변화시안정성 (%) 스텝변화시정합률 (%) 식각공정해석용툴 5% 이내 95% 이상 3% 이내 98% 이상 1% 이내 99% 이상 TSV 식각 Oxide 식각 Poly 식각 Haunting 최소화출력안정성 RF Matching time 식각공정복합모델포함 진공공정장비공통 Etcher PECVD 온도구간별 ( 저온, 중온, 고온 ) 신뢰성및내구성을겸비한 O-ring 기술 MFC 유량제어 ESC 챔버드라이클리닝기술 진공 / 내압조건시신뢰성및오염원제어 미세유량제어가가능한 MFC De-chucking Failure(%) 챔버클리닝주기및세정효율 (%) 90% 이상 95% 이상 5% 이하 95% 이상 95% 이상 98% 이상 5% 이하 97% 이상 98% 이상 99% 이상 1% 이하 98% 이상 오염원이최소화된내구성 오차범위 1% 이내 오차범위 1% 이내 불소계세정가스사용최소화기술 ALD AEC/APC ALD 가스밸브 실시간공정진단알고리즘 RF generator 소비전력모니터링센서 RF 진단센서 진공척온도측정모니터링센서 최고동작속도 (ms) AI& 빅데이터를이용한데이터마이닝기술 IoT 를이용한소비전력모니터링기술 플라즈마변위측정정확도 실시간다중온도측정 ( 점 ) 500 이하 적용공정 1 개이상 90% 이상 80% 이상 30 이상 300 이하 적용공정 3 개이상 95% 이상 90% 이상 50 이상 200 이하 적용공정 5 개이상 98% 이상 95% 이상 64 이상 Two-way valve 제어응답속도 공정및장비특성이반영된진단알고리즘 Fab-wide RF Generator 소비전력감시시스템구축 RF 신호정합성 열유체해석이가능한온도측정모니터링센서 352

361 반도체센서 기술개발테마현황분석

362

363 반도체센서 정의및범위 반도체기술개발에따른단일센서모듈에서복합센서모듈로, 더나아가하나의칩으로구성된 one-chip 복합센서를의미함 정부지원정책 정부는반도체산업의고도화를위하여메모리반도체외에시스템반도체, 화합물반도체, 장비 재료산업의육성정책을적극추진 반도체산업부문에서기술개발, 인력양성, 시스템반도체및장비ㆍ재료산업육성등세부사업추진과더불어시스템IC 2010 사업의후속시스템반도체상용화기술개발 ( 시스템IC 2015) 사업의전략적추진으로시스템반도체분야글로벌역량을강화 (2014년, 산업통상자원부 ) 산업통산자원부는 5대신산업선도프로젝트를우선착수할것으로발표 ( 17년 12월 ) 하면서반도체 디스플레이분야를그중하나의선도프로젝트로추진예정 중소기업시장대응전략 강점 (Strength) 국내반도체생산업체가세계시장선도 국내에조성된전방위적인건전한반도체산업생태계기회 (Opportunity) 국산화요청에따른센서산업육성을위한정부투자 삼성전자, SK하이닉스의소모품국산화움직임활발 국내시장의규모로인한시장접근성우수 약점 (Weakness) 부품개발을위한원천기술의부족 기술개발을위한산학연네트워크기반부족 기존기술의특허회피및기술력확보어려움위협 (Threat) 연구개발에필요한높은개발비용 해외선발주자들에의한높은진입장벽 중국, 대만등후발국가의자국업체전폭지원 중소기업의시장대응전략 부품개발부터중장기적로드맵을가지고체계적인접근구축필요 설계다각화 공정단순화 품질보증체계구축을통한국내글로벌반도체센서업체로의시장진입

364 핵심요소기술로드맵

365 반도체센서 1. 개요 가. 정의및필요성 반도체센서란반도체기술과 MEMS (Micro-electromechanical systems) 기술을도입하여소형화, 집적화에용이한센서를의미함. 반도체기술개발에따른단일센서모듈에서복합센서모듈로, 더나아가하나의칩으로구성된 one-chip 복합센서로기술이진전되고있음 최근스마트폰이발전함에따라반도체센서의수요와요구가많이늘어나고있는추세이며, 하나의스마트폰에는이미지센서, 터치센서, 마이크로폰, GPS, 모션센서, 지자기센서, 조도센서, 근접센서, 지문센서등 10종이상 20개의센서가탑재되고있음 그외에도반도체기술을이용한센서로는적외선센서, 분광센서, 가스센서, 온습도센서등이있음 자동차용센서는 30종이상 160개의다양한센서가탑재됨. * 출처 : 스마트제조 R&D 중장기로드맵 - 스마트센서 [ 스마트폰센서 ] 반도체센서는단일센서외에여러개의소자를원칩으로모듈화한 SoC 및시스템형산업을포함하는고부가가치를창출하는산업이며, IoT 시대의도래에따라연관산업의활용도가대폭증대되고있는융복합사업임. 1980년대이후급속히발전한 MEMS 기술은종래의크고무거운조립식센서를반도체 IC와같이실리콘기판에서집적하여 one-chip화를가능하게함. 증폭회로, ADC(Analog to Digital Conversion)/DAC(Digital to Analog Conversion), MCU(Microprocessor Control Unit) 등의반도체회로와결합한형태로개발가능함. 또한반도체센서는마이크로센서기술에반도체 VLSI(Very Large Scale Integration) 기술을결합하여컴퓨터가갖는데이터처리능력, 판단기능, 메모리기능, 통신기능등을보유할수있음. MCU를내장하고, 반도체기술과 MEMS 기술을기반으로하여 4세대스마트센서로진화중임. 357

366 기술개발테마현황분석 반도체센서기술은유 무선네트워크통신을기반으로센서들과홈서버간의통신을통해상황에맞는동작을수행하는스마트커넥티드시스템을가능하게함. RFID, Bluetooth, Wi-Fi, ZigBee 등의통신기술, 센서의보상과데이터처리기술, 사용자알람기술, 상황인지를통해알맞은대처를수행하는인공지능기술이포함됨. 반도체기술의도입으로센서에부착된마이크로프로세서는원치않는노이즈를걸러내고, 신호를디지털화하기전에센서에서발생한오류를보상하며, 작은신호를크게증폭하여전송할수도있으며, 통신인터페이스를통해감지한정보를전송하는역할을수행. 신호를사전에처리하여서버와의보다빠르고많은정보의통신이가능함. 다수의센서로부터정보를종합하여상호보완적으로상황을판단하고유연한대처가가능함. 나. 범위및분류 센서는감지대상, 감지방식, 집적도수준, 구현기술, 적용분야등에따라다양하게분류되며, 반도체기술의적용, 스마트폰 / 지능형자동차시장성장, IoT 기술발전과더불어적용범위가점점확대되고있는기술분야임 이중구현기술에따라반도체센서, MEMS 센서, 융복합센서로나눌수있으나, 큰의미는없으며반도체공정기술을이용함에따라반도체센서라고하기도함 다수의센서로부터정보를종합하여상호보완적으로상황을판단하고유연한대처가가능함 반도체센서의핵심요소기술은 MEMS 기술, SoC(System-on-Chip) 기술, 임베디드 소프트웨어등이있음 반도체센서를이용한대표적인제품인스마트폰에는이미지센서, 터치센서, 마이크로폰, GPS, 모션센서, 지자기센서, 조도센서, 근접센서, 지문센서등이탑재되어있음 그외반도체센서로는적외선센서, 분광센서, 가스센서, 온습도센서등이있음 358

367 반도체센서 2. 외부환경분석 가. 산업환경분석 센서산업은센서제조를위한소재산업, 소재를이용하여고유기능이구현된소자산업, 여러개의소자를사용하여조립한모듈및시스템형산업을포함하는융복합산업영역임 특히반도체센서산업은칩, 패키지, 모듈, 시스템의단계를거쳐대부분의산업에활용되고있으며, IoT 시대의도래에따라산업적활용도는대폭증가할전망 인간과기기간상호작용심화에따라모든기기가지능화되고소형화 복합화가요구되는상황에서반도체센서산업이절실히요구되는상황임 저가형센서단가경쟁을통한후진국형경쟁구도를벗어나, 반도체및 MEMS 공정을이용하여첨단기기에사용되는지능화된반도체센서의개발과국산화를통해세계시장에서안정적으로발전할수있는전략수립이필요함 세계센서시장은 IT 융합의진전으로반도체센서사용이급증하고, 시장이급성장하고있으나, 국내산업의경쟁력은선진국대비매우취약한상황 IT융합의진전으로반도체센서가대부분기기의핵심부품으로대두되어반도체센서산업의경쟁력확보가국가산업경쟁력강화의필수요소임 우리나라의경우센서핵심요소기술수준이선진국대비매우낮은수준으로, 13년기준글로벌센서시장에서시장점유율은 2.1% 수준에불과함 다품종 소량생산으로응용분야에따라재료기술, 설계기술, 공정기술등이다르기때문에글로벌전문기업육성에적합하며, 주로대기업인수요기업과의상생협력이중요함 반도체센서에사용되는주요핵심요소기술인 MEMS 기술, SoC(System-on-Chip) 기술, 임베디드소프트웨어등의후방산업이필요함 반도체센서는마이크로센서기술에반도체 VLSI(Very Large Scale Integration) 기술을결합하여컴퓨터가갖는데이터처리능력, 판단기능, 메모리기능, 통신기능등을보유 증폭회로, ADC/DAC, MCU, 저주파통과필터 (LPF), 공진기등의반도체회로와결합한형태로개발이필요하며, 반도체공정기술이필수적임 359

368 기술개발테마현황분석 나. 시장환경분석 (1) 세계시장 전세계센서시장은 2017 년 836 억달러에서수요급증으로연평균 9.8% 성장하여 2020 년약 1,147 억달러 (CAGR+9.8%) 로전망됨 [ 반도체센서분야의세계시장규모및전망 ] ( 단위 : 백만달러, %) 구분 CAGR 세계시장 67,700 75,300 83,600 92, , , * 출처 : 지식경제부, 센서산업고도화를위한첨단센서육성사업기획보고서, 국가별세계센서생산규모에서는미국, 일본, 독일이 70% 이상을점유하며세계시장을 주도하고있음. 한국은중국에이어 7 위수준이며, 2025 년까지시장점유율 5%( 생산액 5 조원 ) 를목표로하고있음 반도체센서비중은 2010 년 14% 에서 2020 년 40% 로크게높아질것으로전망됨 (2014 하반기산업전망 : 유진투자증권, ) MEMS 센서, CIS, 레이더 SoC 등의반도체센서가전체센서시장의성장을주도하고있음 * 출처 : IC insights 2012, ICT standarizationroadmap 2010, isupplimarket Tracker : MEMS 2009, Yole 2010 [ 반도체센서비중의증가 ] 지역별로센서시장의규모를살펴보면 2014년기준으로북미지역이 255억달러규모, 점유율 32% 로가장크고, 유럽, 아시아-태평양지역이그뒤를잇고있음 센서시장의성장률을살펴보면, 아시아-태평양지역이 2019년기준으로유럽시장을제치고북미에뒤이어두번째로큰시장을형성할것으로전망됨 360

369 반도체센서 [ 세계지역별센서시장전망 ] ( 단위 : 백만달러, %) 구분 CAGR 북미지역 22,420 23,835 25,525 37, 유럽지역 19,715 20,980 22,395 32, 아시아-태평양지역 17,240 19,300 21,310 32, 기타지역 8,805 9,625 10,310 13, 합계 68,180 73,740 79, , * 출처 : BCC Research, Global Markets and Technology for sensors,

370 기술개발테마현황분석 (2) 국내시장 산업통상자원부 ( 이하산업부 ) 의보도에따르면, 국내센서내수시장은 2017 년약 82 억달러 규모에서연평균성장률 10.5% 로성장하여 2020 년 99 억달러규모로성장할것으로 전망됨. 세계시장에비해고속으로성장중임 [ 반도체센서분야의국내시장규모및전망 ] ( 단위 : 억원, %) 구분 CAGR 휴대폰 18,590 21,912 25,198 28,977 29,176 33, 자동차 40,481 45,935 49,931 51,275 52,385 56, 기타 17,711 20,347 22,381 24,619 25,533 28, 합계 76,782 88,194 97, , , , * 출처 : 지식경제부, 센서산업고도화를위한첨단센서육성사업기획보고서 (2012) 바탕으로추정 국내내수시장은세계시장보다높은성장률이예상되나국내기업의내수시장점유율은 10.5% 수준으로매우낮은상황 국내기업의생산액은 12년기준 13.3억달러규모로세계시장에서차지하는비중은 1.9% 로매우낮은수준 정부는 첨단스마트센서육성사업 에 15년부터 6년간 1,508억원을투자할계획이며, 20년기준 42억달러생산과 21억달러수출을달성할것을목표로설정 반도체센서중하나인자동차용센서는 2017 년 43 억달러에서 2020 년 48 억달러, 스마트폰용센서는 2017 년 20 억달러에서 2020 년에는 27 억달러로성장이예상되며, 이 2 가지분야가전체센서시장의 70% 이상을차지하고있음 반도체센서국내생산은 2011 년 11.5 억달러로세계시장 1.6%, 국내시장의 24% 에 불과함. 더욱이센서시장은 IoT 시대의도래에따라소비자의안전성, 편의성등의요구 증대로첨단센서비중이높아지고있어센서산업육성이필요함 [ 국내센서산업시장규모현황및전망 ] ( 단위 : 억달러, %) 구분 CAGR 국내내수시장 국내생산액 수출액 수입액 세계시장에서국내생산비중 (%) 국내기업의내수시장점유율 (%) * 출처 : 지식경제부 (2012), 센서산업발전전략 보도자료를기반으로 ETRI 산업전략연구부추정 362

371 반도체센서 국내기업은기술력부족으로센서칩을수입해모듈화하는수준임 국내센서기업의평균매출액은 50억원미만의소규모업체가 63% 를차지할정도로매우영세함 생산제품의핵심소자, IC 등은수입에의존하고있어신제품개발과고부가가치창출곤란함 특히, 수요가증가하는반도체센서의경우이미지센서를제외하고거의 100% 를수입하고있어국내기업의시장점유율은더욱낮아질전망 363

372 기술개발테마현황분석 (3) 무역현황 무역현황은 센서부품 의무역현황으로살펴보았으며, 수출량이급격히늘어나고있는추세임 수출현황은 12년 22만 7천달러에서 16년 238만 7,000만달러수준으로 10배가까이증가하였으며, 수입현황은 12년 348만 8,000만달러에서 16년 426만 9,000만달러수준으로증가하여무역수지적자폭이감소한것으로나타남 최근 5년 ( 12~ 16년) 간연평균성장률을살펴보면수출금액은 80.1% 로증가하였으며, 수입금액은 5.2% 로증가한것으로나타남 무역특화지수는 12 년 (-0.88) 부터 16 년 (-0.28) 까지증가한것으로나타나점차수출특화 상태로국내기업의수출량이증가하고있는것으로나타났으며, 국내의센서제품관련해 해외시장진출이활발하게이루어지고있는것으로분석 [ 센서관련무역현황 ] ( 단위 : 천달러, %) 구분 CAGR 수출금액 227 2,078 16,343 3,130 2, 수입금액 3,488 2,896 2,892 5,371 4, 무역수지 -3, ,451-2,241-1,882 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 364

373 반도체센서 다. 기술환경분석 반도체센서를만들수있는파운드리와팹리스는 50% 정도의큰비중을차지하고있는산업이며, 최근 IoT 시장의도래로국내기업에도새로운성장의기회가도래함. 그러나막대한팹건설비용과설계 IP의부족으로중국, 대만등에추격당하고있는실정이며, 국내센서기업의지원인프라는매우미흡한실정임 국내유일의 MEMS 팹이었던지멤스가운영어려움으로문을닫은후국내 MEMS 파운드리인프라가사라짐. DB하이텍 ( 옛동부하이텍 ) 이 MEMS 센서파운드리사업을소규모로시작했지만, 센서업체대부분은양산에나서려면수백억원을들여자체팹을구축하거나대만이나싱가포르등해외파운드리를돌아다닐수밖에없는실정임 지멤스가보유했던장비를대전 KAIST 나노종합기술원과포항포스텍나노융합기술원, 수원한국과학기술원으로기증하고, 2018년 7월나노종합기술원내에첨단센서팹이문을열면다소나마숨통이트일것으로보이지만지속적인인프라확대를위해서는신규장비투자와엔지니어가절실한상황 대전 KAIST 부설나노종합기술원은기존에셋업된 8 인치기반의 Si CMOS 180nm 반도체 공정기술과 MEMS 공정을한번에할수있는국내유일한인프라기관임 연구개발동향 모바일 IT 시대가도래하면서무엇보다도초소형의반도체센서 (CMOS, MEMS) 가요구됐으며, 이후벌크형센서와초소형센서가하나의반도체칩안에집적화된 imems 센서, 하나의칩으로동시에구현하거나두가지센서를하나로통합하는융복합센서 (Combo, Multi) 로발전해옴 시스템분야는소형화와대량생산화등의패키지분야의이슈가크며, 벌크시스템형태에서 SiP(System in Package), SoC (system-on-a Chip) 기술로발전하고있음 반도체센서기술의주요이슈로는고성능화 ( 기계 / 전기식, 광 / 전자센서 ), 소형화 (CMOS, MEMS 센서 ), 다기능화 ( 복합센서 ), 저전력화 ( 나노센서 ) 등이있음 [ 나노종합기술원반도체및 MEMS 장비보유현황 ] 365

374 기술개발테마현황분석 최근자동차, 모바일, 웨어러블등에활용되는대표적인핵심 8대센서에대한관심이집중되어 있고국가적차원의지원과산업화가집중적으로진행중 [ 8대핵심스마트센서분야 ] 센서분야 중분류 주요기능및적용제품 ( 시스템 ) 레이더센서 2D 레이더영상레이더 전방충돌방지시스템자동차, 군수, 보안 물체형상인식센서 PMD 라이더 FPA 라이더 3 차원거리측정첨단 UI/UX 기술, 게임기, 로봇, 가전 자기 IC 센서스마트자기센서 회전속도, 각도측정배터리감시, 전력모니터링 자이로센서 MEMS 자이로센서 3 축자이로센서,MEMS 패키징,9 축모션 SoC 통합형 압력센서 MEMS 압력반도체압력 자동차용압력센싱나노센서고압 / 고감도반도체식 압력트랜스듀서 영상센서 다파장영상센서초소형영상센서 WDR 영상센서 적외선 / 가시광 WDR 영상센서로봇비전용초소형 영상센서초광대역이종접합영상센서 광센서 바이오메디컬센서 적외선 Optics Fiber Optics 바이오 Optics 암진단센서마이크로유체칩모바일용진단칩 Digital X-ray 적외선감지건축물 안전진단, 전력기기 진단 산업, 항공, 전력, 의료 폐암진단마이크로유체칩 휴대형 저전력소모형 바이오센서디지털 X-ray 바이오센서 센서기술의주요이슈는센서와회로, 시스템기술로구분할수있고센서는감지방식과감지구조가핵심으로, 주요이슈로는고성능화 ( 기계 / 전기식, 광 / 전자센서 ), 소형화 (MEMS 센서 ), 다기능화 ( 복합센서 ), 저전력화 ( 나노센서 ) 등 시스템분야는소형화와대량생산화등의패키지분야의이슈가크며, 벌크시스템형태에서 SiP(System in Package) 로발전하여패키지를층층이쌓는 MCP(Multi-Chip Package) 가등장 앞으로는 SoC 형태의 MEMS와 CMOS를직접집적하는 imems가등장할것이며, 나노기술이접목되면서소형화및멀티센서로진행될것으로전망 366

375 반도체센서 3. 기업분석 가. 주요기업비교 반도체센서기술은미국, 독일등선진국을중심으로연구가활발하게진행되고있음 국내기업은반도체센서에대한기술력부족과가격경쟁력취약으로인해미국, 독일, 일본등선진기술보유국과가격경쟁력을앞세운중국의중간에위치한상태임 우리나라의경우핵심요소기술의수준이선진국대비매우낮은수준으로특히수요가급증하는반도체센서는국내수요의대부분을수입에의존함 구분국외업체국내업체응용분야 압력 모토로라, 덴소, 보쉬, 델파이, 인피니언, TI KEC, 케피코 자동차, 의료, 자동화공정, 가정 / 사무기기, 모바일기기 가속도 각속도 토크 Analog Device, 보쉬, 덴소, 모토로라, TI, VTI 보쉬, Silicon Sensing System, 파나소닉, 지멘스 보쉬, BI-Tech, TRW, SSI-Tech,Hella, 발레오, Koyo, NSK 현대오토넷, 케피코, 마이크로인피니티, 카스 현대오토넷, 케피코, 마이크로인피니티, 카스 대성전기, LG 이노텍 레벨 Hella, AISHIN, WABCO 현대오토넷 유량 / 유속 온도 습도 광 Intelligent Controls, Mc- Millan, Namco Controls, Hanatek Sensivision, Kamstrup, Auxitrol,Temperature Specialists Sensivision, Able Instruments&Control Gems Sensors, EN- DRICH, Mikoelektronik Gmbh 아이에스텍, 두온시스템 오토닉스, 코닉스, 엠에스티 엠에스티 고덴시, KEC 자동차, 자동화공정, 가정 / 사무 자동차, 자동화공정, 가정 / 사무 자동차, 자동화공정, 가정 / 사무 자동차, 자동화공정, 가정 / 사무 자동차, 자동화공정, 의료, 환경 자동차, 의료, 자동화공정, 가정 / 사무 자동차, 의료, 자동화공정, 가정 / 사무 의료, 환경, 자동화공정, 가정 / 사무, 보안 이미지 Agilent, OmniVison, Toshiba, Sharp, Sony, Kodak, Micron, Mastusita, Nikon, Mistubishi 삼성전자, 매그나칩, 한성엘컴텍 자동차, 의료, 환경, 자동화공정, 가정 / 사무, 보안, 모바일기기 온도 Sensivision, Kamstrup, Auxitrol,Temperature Specialists 오토닉스, 코닉스, 엠에스티 자동차, 의료, 자동화공정, 가정 / 사무 습도 Sensivision, Able Instruments&Control 엠에스티 자동차, 의료, 자동화공정, 가정 / 사무 [ 주요반도체센서별제작업체및응용분야 ] 367

376 기술개발테마현황분석 국내중소기업사례 픽셀플러스는보안, 자동차, 메디컬, 바이오등다양한분야에서활용가능한이미지센서를전문으로연구개발하고생산하는 fabless 업체 크루셜텍은초소형입력장치, OTP와모바일기기에최적화된지민인식모듈, 새로운방식의 TSP 정전식터치스크린을세계최초로개발하여소프트웨어와함께솔루션형태로공급 드림텍은글로벌표준의자체보유반도체패키징시설과공정기술개발및설비운영에숙달된인력통해가격경쟁력을갖춘고품질의센서제공 실리콘화일은 CMOS 이미지센서개발기술보유기업 레이언스는 CMOS wafer 설계기술, 섬광체핵심요소기술을자체보유한국내유일한이미징솔루션기업 테라셈은 Image sensor package 등의제품을필두로한영상관련반도체전문기업 [ 주요중소기업비교 ] ( 단위 : 백만원, %) 국내업체자산총계매출액 매출액증가율 영업이익율 당기순이익율 R&D 집중도 ( 주 ) 픽셀플러스 109,697 73, ( 주 ) 크루셜텍 277, , ( 주 ) 드림텍 30,493 29, ( 주 ) 실리콘화일 34, ( 주 ) 레이언스 188,528 91, ( 주 ) 테라셈 18,049 17,

377 반도체센서 나. 주요업체별기술개발동향 Bosch, Freescale, Analog Device, ST micro, Hitachi 등글로벌기업들이반도체센서 R&D를주도함. 자동차용센서의경우, Bosch, Freescale, Analoge Device, BEI Tech 등현재약 40개이상의글로벌기업이경쟁하고있으며, 가격, 신뢰성, 크기, 정밀도등이주요경쟁요소임. 스마트폰용반도체센서의경우, Analog Device, ST Micro, Freescale, Hitachi, Bosch 등약 15개이상의글로벌기업이경쟁하고있으며, 가격, 정밀도, 크기등이주요경쟁요소임. 인텔, 코닝, 하니웰, 제록스등의업체에서는 MEMS Industry Group 을신설하는등 시장성을높이평가하고있으며 Nova Sensor, Motorola, Delphi 등의업체에서 MEMS 기술을이용한센서를생산, 판매중. [ 국외중요기업동향 ] 회사명국가설립연도관련동향 프랑스이탈리아 년 MEMS 시장매출 3 위 애플아이패드에어와 HTC 원에 MEMS 마이크공급 최근차량용가속도센서 (AIS3624DQ) 출시 독일 년 MEMS 시장매출 1 위 주력시장은차량용 MEMS 센서임 애플아이폰 5S, 5C, 아이패드에어가속도센서공급 최근가속도및자이로스코프를통합한 6 축센서를양산, 소니, 삼성전자, HTC 에공급하고있음 미국 2003 애플아이폰 6 시리즈에모션센서공급 2013년아나로그디바이스 (ADI) 의 MEMS 마이크사업인수 2013년한국에디자인 R&D센터설립 자이로스코프센서를활용해관련애플리케이션과기기의개발을돕는모션앱스라는소프트웨어플랫폼개발 미국 년모바일디바이스부문 3 축가속도계 MEMS 센서시장점유율 2 위 Micro-Amp Magnetic Gyro(KMX61G) 가 2013 센서엑스포 & 컨퍼런스에서 2013 년최우수혁신상수상 미국 1954 MEMS 마이크로폰센서의시장선도기업 369

378 기술개발테마현황분석 국내센서산업의경우삼성전자등일부대기업을제외하고는대부분의기업이영세함. 상당수의기업이수입된센서를기반으로제품의후가공, 조립, 패키징에의한모듈생산중. [ 국내중요기업동향 ] 회사명국가설립연도관련동향 한국 2001 항법센서전문기업으로로봇청소기와자동차내비게이션등민수용항법시장에진출 국산무인기 ( 드론 ) 와유도탄개발에자체개발한군수용항법센서와시스템적용을목표로기술개발진행중 군수분야국산화가필요한 MEMS( 자이로, 가속도계, 압력센서 ) 와관성측정장치 (IMU) 개발에역량을집중 한국 2005 삼성전기에서분사한 MEMS 관성센서전문업체 유도무기항법및탐색기안정화용자이로, 가속도계개발생산 한국 1998 MEMS 블로메타타입적외선센서개발 방산업체로민수, 수출, 방산용적외선센서양산 한국 1997 한국 1982 한국 2006 국내산업용센서, 제어기기부문 1 위기업 근접센서, 포토센서, 광화이버센서, 압력센서를포함한다양한센서를제공 산업용자동제어시스템및전기, 전자제품수입 / 제조 / 판매업체 기술제휴를통해타이머, 근접센서등을국산화하고있음 APS, TPS, SLS 등자동차용변위센서개발및공급 자율주행자동차대응을위한 IR 센서및카메라기술개발중 한국 2010 국내유일의스마트폰용나노분광센서개발 한국 2005 스마트폰, 헤드셋, 이어폰용마이크로폰센서개발및양산 한국 2001 스마트폰용광입력장치및지문인식기술보유 한국 년세계최초로스마트폰용 3D 터치칩개발 370

379 반도체센서 4. 기술개발현황 가. 기술개발이슈 반도체센서기술의주요이슈는센서와시스템기술을하나로융합할수있고, 이를소형화, 다기능화, 저전력화하는것이핵심목표임. 패키지분야의이슈가크며, 벌크시스템형태에서 SiP(System in Package) 로발전하여패키지를층층이쌓는 MCP(Multi-Chip Package) 가등장 SoC (System-on-a-Chip) 형태의 MEMS와 CMOS를직접집적하는 imems가등장할것이며, 나노기술이접목되면서소형화및멀티센서로진행될것으로전망 스마트폰응용분야 스마트폰은기존가속도나각속도, 지자기, 오토포커스, 지능 인식센서등이 이미탑재됐으며 스마트폰으로 직접 영상을 쏘는 피코 프로젝터 (Pico Projector), 헬스케어 기능을 위한 바이오 케미컬, 자외선을측정하는 UV 센서, 홍체인식센서등이탑재 향후, 에너지저장이나적외선 (IR) 센서, 라이다 (LIDAR) 등이탑재될전망 자동차분야 가속도와각속도등의관성센서와압력센서, IR 센서, RF 센서가다양하게탑재됨. [ 센서의첨단화가미래산업의스마트화견인 ] 371

380 기술개발테마현황분석 나. 특허동향분석 반도체센서특허상주요기술 주요기술 반도체 센서는 Photo Diode 집광 기술분야로는집광 방식에 따라 마이크로렌즈 집광기술, 광도전막집광기술, 후면조사집광기술, 공유형집광기술로구분되고, 신호처리기술은반도체 센서암전류제거기술, 반도체센서고정패턴잡음 (FPN) 제거기술, 반도체센서기타잡음제거 기술로분류되며, 센서기기기술은반도체센서컬러필터기술, 전기화학적바이오센서기술로 구분됨 분류요소기술설명 Photo Diode 집광 마이크로렌즈집광기술 광도전막집광기술 후면조사집광기술 컬러필터상에마이크로렌즈 (microlens) 를형성하여광감지부이외의영역으로입사하는빛의경로를바꿔서광감지부로모아줌으로광감도를높여주는집광기술 마이크로렌즈없이화소의전체면적이수광부가되도록하는방식의집광기술 화소위에배치되어있는금속배선의방해로인하여외부로부터입사된빛이포토다이오드에충분히집광되지못하는현상을해결하기위한포토다이오드를빛이입사하는방향에가능한한가까이배치하는배면조사 (Back Side Illumination : BSI) 방식의집광기술 공유형집광기술 반도체센서암전류제거기술 4 개의화소에있어서각각트랜스퍼게이트만별도로가지며, 나머지 3 개의트랜지스터는공유함으로수광면적을넓히는집광기술 누수전류가주원인으로빛의축적시간동안꾸준하게포토다이오드에축적되는암전류를제거하는잡음제거기술 신호처리 반도체센서고정패턴잡음 (FPN) 제거기술 반도체센서기타잡음제거기술 공정상의불균질성으로인해획득된영상에나타난얼룩 (FPN) 을제거하는기술 인접픽셀간커플링노이즈, 이미지데이터의샘플링과관련되는 kt/c 잡음, 이미지신호를증포하기위해사용되는회로와관련되는 1/f 잡음, 이미지센서의출력을시간적으로변화하는랜덤노이즈, 이미지센서의픽셀출력에서픽셀리셋에기인한리셋노이즈등을제거하는기술 센서기기 반도체센서컬러필터기술 전기화학적바이오센서기술 각화소에필요한파장의빛만통과시켜이를포토다이오드에입사시킨신호를조합해서컬러영상을만드는것으로포토다이오드위에적층되는유기물질등으로구성된컬러필터관련기술 바이오신호를획득 / 전송및분석하는기술로전기화학적인신호를종합적으로처리할수있는기술 372

381 반도체센서 세부분야별특허동향 주요기술별국가별특허동향 반도체센서의요소기술별주요국가별특허정보데이터입수하였으며, 최근 10 년간의특허데이터를 비교분석함 분류요소기술한국미국일본유럽계 마이크로렌즈집광기술 Photo Diode 집광 신호처리 광도전막집광기술후면조사집광기술공유형집광기술반도체센서암전류제거기술반도체센서고정패턴잡음 (FPN) 제거기술반도체센서기타잡음제거기술 센서기기 반도체센서컬러필터기술 전기화학적바이오센서기술 합계 국가별요소기술별특허동향에서 Photo Diode 집광기술분야는한국이가장많은비중을차지하고있으며, 유럽이상대적으로적은출원량을보유하고있음 신호처리기술분야은미국이가장많은특허출원비중을보이고있으며, 일본이상대적으로적은특허출원을나타내고있음 센서기기기술분야도미국이가장많은비중을차지하고있으며, 유럽이상대적으로적은출원량을보유하고있음 주요기술별출원인동향 세부분야 요소기술 기술집중도 주요출원인 국내특허동향 Photo Diode 집광 마이크로렌즈집광기술 광도전막집광기술 후면조사집광기술 공유형집광기술 디비하이텍 SEMICONDUCTOR ENERGY RENESAS ELECTRONICS 대기업중심 디비하이텍, 삼성전자, 경북대학교, 연세대학교등 신호처리 반도체센서암전류제거기술 반도체센서고정패턴잡음 (FPN) 제거기술 반도체센서기타잡음제거기술 Mitsubish Electric DENSO TAIWAN SEMICONDUCTOR 대기업중심 디비하이텍, Mitsubish Electric, 오스테오시스, 전자부품연구원, 한국전자통신연구원등 센서기기 반도체센서컬러필터기술 전기화학적바이오센서기술 삼성전자 TAIWAN SEMICONDUCTOR 디비하이텍 대기업중심삼성전자, 디비하이텍, 한국전자통신연구원등 373

382 기술개발테마현황분석 Photo Diode 집광기술분야주요출원인동향 Photo Diode 집광기술분야는 디비하이텍이가장많은특허를보유하고있으며그다음으로는 SEMICONDUCTOR ENERGY, RENESAS ELECTRONICS 등이많은특허를보유하고있는등미국회사들이주류를이루고있는것으로나타남 신호처리기술분야주요출원인동향 신호처리기술분야는 Mitsubish Electric이가장많은특허를보유하고있으며그다음으로는 DENSO, TAIWAN SEMICONDUCTOR 등이많은특허를보유하고있는등일본회사들이주류를이루고있는것으로나타남 센서기기기술분야주요출원인동향 센서기기기술분야는삼성전자가가장많은특허를보유하고있으며그다음으로는 TAIWAN SEMICONDUCTOR, 디비하이텍등이많은특허를보유하고있는등한국국회사들이주류를이루고있는것으로나타남 반도체센서분야의주요경쟁기술및공백기술 반도체센서분야의주요경쟁기술은신호처리기술이고, 상대적인공백기술은 Photo Diode 집광기술과센서기기기술로나타남 반도체센서분야에서가장경쟁이치열한분야는신호처리기술이고센서기기기술분야가상대적으로출원이가장활발하지않은공백기술분야로나타남 세부분야요소기술기술집중도 마이크로렌즈집광기술 Photo Diode 집광 광도전막집광기술 후면조사집광기술 공유형집광기술 신호처리 반도체센서암전류제거기술 반도체센서고정패턴잡음 (FPN) 제거기술 반도체센서기타잡음제거기술 센서기기 반도체센서컬러필터기술 전기화학적바이오센서기술 : 50 건이상, : 30~49 건, : 20~29 건, : 10~19 건, : 10 건미만 374

383 반도체센서 최신국내특허기술동향 분류요소기술최근핵심요소기술동향 Photo Diode 집광 마이크로렌즈집광기술광도전막집광기술후면조사집광기술공유형집광기술 마이크로렌즈위치상관없이입사광각도일정유지하기위한포토레지스트패턴구현기술 3 차원구조의다결정화합물반도체이미지센서용포토다이오드기술 반도체센서용저항및커패시터구조기술 신호처리 반도체센서암전류제거기술 아날로그도메인 (Analog Domain) 에서같은색상의픽셀을더하거나, 평균하여센싱감도를향상시키기반도체센서고정패턴잡음 (FPN) 위한고정패턴잡음제거기술제거기술 저조도환경하에서향상된품질의이미지를출력할반도체센서기타잡음제거기술수있는잡음제거기술 센서기기 반도체센서컬러필터기술 산화물반도체나노섬유기반전기화학바이오센서기술 실리콘나노선기반바이오센서기술 전기화학적바이오센서기술 산화실리콘및그래핀기반바이오센서기술 국내특허동향을살펴보면모든기술분야가글로벌대기업중심으로활발하게연구개발이추진되고있는것으로나타남 경쟁이치열한신호처리기술분야는대기업을중심으로 디비하이텍, Mitsubish Electric, 오스테오시스등에서아날로그도메인 (Analog Domain) 에서같은색상의픽셀을더하거나, 평균하여센싱감도를향상시키기위한고정패턴잡음제거기술, 저조도환경하에서향상된품질의이미지를출력할수있는잡음제거기술등을연구개발하고있음 상대적으로경쟁이덜치열한 Photo Diode 집광기술분야도대기업을중심으로 디비하이텍, 삼성전자등에서마이크로렌즈위치상관없이입사광각도일정유지하기위한포토레지스트패턴구현기술, 3차원구조의다결정화합물반도체이미지센서용포토다이오드기술, 반도체센서용저항및커패시터구조기술등이연구개발되고있는것으로나타남 375

384 기술개발테마현황분석 중소기업특허전략수립방향및시사점 반도체센서분야의상대적인공백기술분야는센서기기관련기술로나타남 반도체센서분야는광센서, 온도센서, 압력센서, 바이오센서등매우다양한분야에유용하게사용될수있음 반도체센서는최종제품은대규모의장치투자가필요한분야로주로대기업중심으로연구개발및투자가이루어지고있는분야임 하지만중소벤처기업도바이오센서분야등에서핵심부품, 공정소재등을연구개발한다면시장진입이가능한분야라고판단됨 향후중소기업은상대적으로경쟁이치열하지않는센서기기기술을공공연구기관의기술을이전받거나공동으로연구개발하여제품화하는특허전략을수립하는것이바람직할것으로사료됨 특히 Photo Diode 집광기술은경북대학교, 연세대학교등과, 신호처리기술은전자부품연구원, 한국전자통신연구원등과, 센서기기기술은한국전자통신연구원등과기술도입또는공동으로연구개발을추진하는것을우선적으로고려해볼수있을것으로판단됨 376

385 반도체센서 5. 연구개발네트워크 가. 연구개발기관 / 자원 (1) 연구개발기관 전자부품연구원 ( 센터장이대성, 차철웅박사 ) 스마트센서연구센터를설립해나노 /MEMS기반스마트센서, 차세대입력디바이스및내츄럴 UI/UX, 집적광학센서및소자, 환경 / 바이오센서및소자, 무선전력등자립형디바이스기술, 스마트센서응용시스템을개발중 센서산업고도화를위한첨단센서육성사업을통한센서기업지원과제수행 이미지센서, 압력센서, 홀센서의테스트인터페이스기술, 표준평가기술개발 UNIST 스마트센서연구센터 ( 센터장김재준교수 ) 센서인터페이스, 스마트센서소자및소재, 웨어러블스마트센서등 3 개분야를융합연구 자동차, 모바일, 산업방재등분야별로특화된사업중심의기술개발 나노종합기술원 ( 나노구조기술개발부김희연부장 ) 나노종합기술원이보유하고있는 CMOS와 MEMS 기술을이용한센서플랫폼구축사업 국내유일의 MEMS 센서공정지원 (8인치웨이퍼 ), CMOS/MEMS 일괄공정기술개발 WLP (Wafer level Package), 3D flexible package, 유연소자개발등센서구현기술연구 한국표준과학연구원 ( 강상우센터장 ) 반도체공정용온도센서, 압력센서, 열전소자원천기술개발, 측정설계및성능검증기술확보 플라즈마식각및증착모니터링센서개발및교정기개발 한국전자통신연구원 ( 융합부품본부이진호본부장, 이강복실장 ) IT 융합관련반도체센서개발 반도체공정을이용한광센서, 방사선센서, 고입자센서기술개발 한국광기술원 ( 노병섭센터장 ) 광센서용광원출력기술, 평가기술, 평가데이터베이스구축기술개발 377

386 기술개발테마현황분석 (2) 연구개발자원 정부지원프로그램 센서산업고도화전문기술개발 ( 산업통상자원부 ) - 주력산업및차세대신성장산업의경쟁력강화를위해국내일반센서중심의산업구조를첨단센서중심으로고도화 - 산업기술경쟁력강화를위해산 학 연이공동활용할수있는핵심산업기술분야의장비 시설조성을지원 - 부품무역및제조창업기반구축, 특수목적형자동차튜닝클러스터, 스마트제조혁신기반구축 - 주력 / 신성장산업의첨단센서제품에공통으로적용되는핵심센서원천기술개발및센서산업생태계조성을위한 R&BD 협력네트워크구축 민관합동스마트공장추진단 - 보급사업을통해 2015 년말까지 1,240 개의스마트팩토리구축을지원했고, 2020 년까지전체중소제조기업의 1/3 에해당하는 1 만개의스마트팩토리를보급한다는계획 - 추진단이진행하는사업은크게세가지로보급및확산사업지원사업, R&D 지원사업, 표준진단서비스로이루어져있음 - 스마트공장 R&D 로드맵을수립해설계자동화, 품질고도화, SW 통합운영, 개방형산업 IoT 플랫폼등스마트공장보급과연계한 6 대 R&D 과제를진행 - 민간의자발적인스마트공장확산을위해표준진단모델을개발, 자발적진단지원 제조업혁신 3.0 전략실행대책 ( 관계부처합동 ) - 생산현장의스마트화를통해획기적인생산성 경쟁력제고 - 개인맞춤형유연생산을위한스마트공장고도화와융합신제품생산에필요한 8 대스마트제조기술개발추진 - 스마트공장자동화설비, 지능형로봇, 자율공정시스템등에복합센서연결및데이터수집 제어등에활용 - 출연연장비활용 공동연구확대 K-ICT 스마트디바이스육성방안 ( 과학기술정보통신부, 산업통상자원부 ) - 제조업혁신 3.0 전략실행대책의후속조치 - 성장성 경쟁력등을고려해 10 대스마트디바이스부품 모듈기술및스마트센서핵심성능구현을위한공정 회로설계기술개발 - 스마트디바이스제품개발 제작 테스트를위한단계별맞춤형지원및공통시설 장비등제작지원환경구축 - 투자유치 마케팅진행및해외시장진출지원 - 스마트디바이스분야의석 박사급전문인력양성및디바이스제작프로그램개발 보급등저변확대 IoT 서비스적용스마트센서사업품목도출 ( 과학기술정보통신부 ) - IoT 센서 ROIC 등 2 개분야로각과제당 30~40 억 /5 년 (3+2 년 ) 지원 - 나노인프라연계 2015 년 45 억확정 378

387 반도체센서 중소기업대상시설및장비지원 연구장비공동활용지원사업 ( 전자부품연구원 ) - 전자부품연구원이보유한연구장비의중소기업공동활용을지원하여국가장비활용도제고및중소기업기술경쟁력향상기반과이용요금을절약함 점단센서산업화지원센터기업지원사업 ( 전자부품연구원 ) - 센서관련기업의단기, 수시기술개발을요구받아컨소시엄내개별기관이개발지원 - 산업현장에서발생하는기술애로사항의해소지원 DC전기전자기기성능평가및기술지원서비스 ( 전자부품연구원 ) - 전자부품연구원의산업혁신인프라및성능평가, 시험인증전문인력활용 - DC전기전자관련기업의경쟁력고취와고부가가치글로벌제품개발및기술력재고 광주에너지변환, 저장용소재부품사업역량강화를위한일반기술지원사업 ( 전자부품연구원 ) - 경제협력권산업육성사업비R&D사업, 광주지역에너지연관기업대상 - 산업현장에서발생하는기술애로사항의해소지원 경제협력권산업육성사업 (KAIST부설나노종합기술원 ) - 대전에본사, 공장, 연구소가있는광, 전자융합산업관련제품생산기업 - 시제품제작, 기술지도, 신뢰성향상, 제품고급화, 특허컨설팅지원 연구장비공동활용지원사업 (KAIST부설나노종합기술원 ) - 나노종합기술원이보유한연구장비를활용할경우, 이용금액의 60~70% 를정부에서지원 시제품제작을위한시설및제작장비공동활용 (K-ICT 디바이스랩 ) - 지역인프라와 K-ICT 디바이스랩의장비와시설현황을공유하고연계활용추진 - 旣구축된시제품제작지원시설을연계 활용및웨어러블특화장비 ( 유연소재 3D프린터등 ) 추가구축을통해웨어러블특화시제품제작 첨단스마트센서거점센터구축사업 (( 재 ) 경북IT융합산업기술원 ) - 경북지역내중소기업중스마트센서기업및업종다각화희망기업대상 - 스마트센서관련제품개발및조기상품화를위한시제품설계지원 - 회로설계, 전자기파간섭해석, 소비전력해석 SW 지원 - 수혜기업기업부담금없음 중소기업전용연구시설 (Rental Lab) 제공 ( 한국생산기술연구원 ) - 공동연구수행및장비를효율적으로이용하고자하는중소기업에게연구공간을제공 - 연구원인프라 ( 인력, 장비등 ) 를활용한근접지원 - 지원내용은크게시설지원, 장비지원, 기술및공동연구지원으로구성되어있음 공통서비스인프라구축 운영사업 ( 한국전자통신연구원 ) - 정보통신중소기업이 R&D 및상용화제품개발에필요한애로기술지원, 시험측정장비지원및고주파시험시설을활용한시험지원등에대한통합기술을지원 379

388 기술개발테마현황분석 - 시험지원은고주파부품, 모듈등의고주파특성, PIMD, 신뢰성시험지원및민간시험시설공동활용을통한민간시험시설을지원 - 장비지원은고가의시험 측정장비를 IT 중소기업에게임대 연구장비공동이용클러스터사업 ( 중소벤처기업부 ) - 중소기업이첨단고가연구장비를쉽게이용할수있도록지원 경기도나노공동연구플랫폼지원사업 ( 한국나노기술원 ) - 경기도내 15개기업을선정, 나노기술개발을위해장비지원 380

389 반도체센서 나. 연구개발인력 [ 반도체센서분야주요연구인력현황 ] 기관 성명 직급 전자부품연구원 이대성 선임연구원 전자부품연구원 차철웅 선임연구원 전자부품연구원 신규식 책임연구원 전자부품연구원 장진모 책임연구원 전자부품연구원 박승철 수석연구원 전자부품연구원 김지철 선임연구원 전자부품연구원 홍유찬 선임연구원 전자부품연구원 이성규 책임연구원 나노종합기술원 김희연 책임연구원 나노종합기술원 강일석 선임연구원 나노종합기술원 이종권 선임연구원 나노종합기술원 윤석오 선임연구원 나노종합기술원 양충모 선임연구원 나노종합기술원 임성규 책임연구원 나노종합기술원 박재홍 선임연구원 나노종합기술원 박종철 선임연구원 나노종합기술원 이석재 책임연구원 나노종합기술원 이문근 선임연구원 나노종합기술원 이태재 선임연구원 나노종합기술원 이경균 선임연구원 한국광기술원 노병섭 책임연구원 한국광기술원 김영호 선임연구원 표준과학연구원 강상우 책임연구원 표준과학연구원 김태완 선임연구원 표준과학연구원 이효창 선임연구원 한국전자통신연구원 이강복 수석연구원 한국전자통신연구원 정주연 수석연구원 한국전자통신연구원 정영도 책임연구원 한국전자통신연구원 손경준 책임연구원 한국전자통신연구원 김영우 책임연구원 한국전자통신연구원 곽봉섭 연구원 한국전자통신연구원 권순근 연구원 한국기계연구원 허신 수석연구원 한국기계연구원 김동훈 책임연구원 한국기계연구원 박철훈 책임연구원 381

390 기술개발테마현황분석 다. 기술이전가능기술 반도체센서의요소기술은반도체공정, MEMS 센서, 웨이퍼레벨패키지, Flexible hybrid 기술, 웨이퍼형공정진단센서시스템등이있음 기술이전이가능한기관은나노종합기술원, 한국표준과학연구원, 한국전자통신연구원, 전자부품연구원이있음 [ 정전감도향상보호막을가진정전방식지문센서의제작기술 ] 분류 기술명 기술개요 기술이전목적및필요성 세부내용 정전감도향상보호막을가진정전방식지문센서의제작기술 본기술은정전방식의지문센서에관한기술로써, 특히 mutual 방식혹은 self 방식의지문센서방식에무관하게지문이센싱전극상판에형성된보호막에닿을때발생하는정전용량의변화량의크기를높임으로써지문센서소자의신호읽음에있어그민감도를높여서지문인식의정확도를높일수있는지문센서센싱전극상에형성하는보호막기술에관한것임 지문센서는 IoT 시대에필요하는수많은소자사용에있어서본인인증이매우간단한대표적인소자임. 향후에는모든기기의사용시본인인증을요구할것으로판단되므로관련소자의성능향상은매우중요한기술로판단됨. 본기술은다양한구조의플렉시블지문센서에까지도적용가능하여초연결시대의보안에매우필요한기술로판단됨 정전방식지문센서의맨상부레이어의경우지문의물리적접촉으로부터소자를보호하여소자내구성을높이면서도높은정전감도로지문인식도를높여야함, 특히곧도래할유연전자시대의보안용지문센서의경우유연성까지확보해야함 본기술은정전감도향상보호막을가진정전방식지문센서의제작과 관련된기술을제시함 기술의특징및장점 본기술은최상부에배치되는정전감도향상보호막을단차도포율 (step coverage) 이우수한 ALD(atomic layer deposition) 방법으로형성할경우, 전극간의공간을메워주고, 매우얇은박막을형성하여도 ( 예를들어, 알루미나의경우 30 nm) 전기적리키지 (leakage) 특성이적어절연특성이그어떠한증착법보다우수하고, 전기적크로스토킹 (cross talking) 영향이확연히줄어정전센싱시노이즈를방지하고인식율이현저하게개선됨 ALD로증착할경우전기적리키지특성이우수하여얇은박막을사용해도되고이로인하여터치센서의센싱전극상의전체정전용량이커지는유리한효과도있음 정전감도향상보호막이얇아지면전체소자시스템이얇아지므로휘는반경도커져다양한용도로사용가능하다는장점을가질수있음기술성숙도 (TRL) 단계 : 7 382

391 반도체센서 활용방안및기대성과 본기술은플렉시블지문센서의내구성을높이면서도인식도를높일수있으므로단순히휴대폰모바일기기뿐만이아니라, 본인인증이필요한모든제품, 예를들어신용카드, 의약품복용, 기타중요물건의개폐확인등을필요로하는모든물건에확대적용이가능함 디스플레이 ( 베젤리스포함 ) 및모바일보안시장 ( 핀테크 ) 등의거대시장이주요시장으로시장성이충분함 기술이전내용및범위 특허명세서 정전방식지문센서상에하드코팅막형성노하우기술제공 특허 : 정전감도향상보호막을가진정전방식지문센서 관련지적재산권 실시권허용범위 계약기간 ( 출원번호 : ) 정전방식지문센서상에하드코팅막형성노하우기술문서 비독점적통상실시권 계약체결일로부터 5 년간 기술이전조건 기술료조건 ( 부가세별도 ) 기술전수교육 기타특기사항 경상기술료구분 중소기업 중견기업 대기업 착수기본료 ( 천원 ) 50, , ,000 매출정률사용료 (%) 개월 / 필요경비지급 소요경비일체부담하에시제품제작지원 나노구조개발팀강일석 세부문의 기술관련 계약관련 기술개발발표당시 현재 기술개발발표당시 현재 iskang@nnfc.re.kr 나노구조개발팀강일석 iskang@nnfc.re.kr 전략정책팀이광연 gylee@nnfc.re.kr 전략정책팀이광연 gylee@nnfc.re.kr 383

392 기술개발테마현황분석 [ 실시간반도체공정상태진단을위한웨이퍼형공정진단센서시스템개발 ] 분류 기술명 기술개요 기술이전목적및필요성 기술의특징및장점 세부내용 실시간반도체공정상태진단을위한웨이퍼형공정진단센서시스템개발 반도체및디스플레이제조공정 ( 플라즈마 비플라즈마증착, 건 습식식각, 세정등 ) 내부상태를실시간으로측정하고그결과를공정및장비최적화에활용하기위한진단센서시스템 웨이퍼형공정진단센서는실공정에직접적으로침투하여공정내부의온도상태진단하는장치를말함 On-Wafer 상에다수배치된온도측정센서를통해반도체공정제어인자의분포및시간에따른변화와, 장소의이동에따른변화값을직접분석할수있도록자체전원을갖는무선웨이퍼반도체공정모니터링시스템 실공정시제조가진행중인웨이퍼와함께삽입되어공정내부의온도와플라즈마상태를실시간으로측정할수있는모듈이결합되어있는상태센싱시스템 공정상태 ( 온도 ) 진단의신뢰성확보를위한센서자체교정이가능한기능을포함하고있는시스템 반도체및디스플레이소자의제조기술발전속도가공정장비의발전속도보다빠르게증가하고있고, 공정상태를실시간 직접적으로정교하게측정이가능한공정진단기술니즈가점점커지고있으며, 선택사항이아닌필수사항이라는인식이높아지고있음 20 nm 이하급반도체소자의집적도향상구현을위하여국내주요수요처에서공정수율향상을위한보다엄격한공정관리에대한필요성이급증함. 특히실공정에서의위치별공정상태확인을통하여공정이상유무를실시간으로관리하고이를분석할수있는지능형장비및공정제어가가능한진보된공정진단센서를요구하고있음 본주요핵심요소기술의경우대부분미국및일본에의존하고있고 KLA-Tenkor 기업의독점적제품공급에따른높은가격이형성되어있음. 국내수요기업의보호를위하여기술차별성으로기존제품의한계를극복한차세대센싱제품을통한국산화로경쟁력확보및내수시장확대가반드시필요함 반도체및디스플레이장비진단에사용되는무선웨이퍼센서시스템에필수적인배터리가실공정시온도변화에취약하므로높은온도에서활용한계를극복하기가어려운상황임. 수요기업을중심으로배터리가없는웨이퍼센서시스템의필요성이지속적으로요구되어지고있는상황임 기존광학기를활용한간접적반도체공정진단센서시스템은위치별차이측정과측정정확성에한계가있어실공정에영향을주지않는범위에서실시간 직접적공정진단센서시스템개발이요구되고있음 145 이상에서온-웨이퍼센서를사용한실시간공정온도정량분석가능 무선통신기반반도체공정온도실시간모니터링이가능한온-웨이퍼진단센서모듈 실시간플라즈마상태의불연속현상을실시간으로모니터링가능한온-웨이퍼기술 384

393 반도체센서 분류 세부내용 온 - 웨이퍼센서측정능력평가를위한표준절차서확보 온 - 웨이퍼온도센서교정기술개발 ( 정확도 ±0.08 ) 기술성숙도 (TRL) 단계 : 5 사용자편의성을위한서비스 활용방안및기대성과 차량용시트등에적용하여사용자와사용자의상태에따라차량내의다양한환경조건들을사용자에최적화된상태로제어하는서비스로써, 최근에고급승용차내의운전차인식시스템혹은특장차의실내환경제어등의용도로개발중 재난안전대응장비관리 일상적인관리와주기적인교체가필요한재난대응장비의모니터링을위하여다중센서모듕을활용한움직임및교체주기파악등의서비스에활용가능 스마트홈및가전제품에적용 센서허브플랫폼을활용하여생체신호모니터링이가능하며심전도, 맥박및체온에대한모니터링을통해개인의건강정보를관리하는제품에응용가능 착용형 IoT 디바이스에적용제품에적용 별도의가속도, 자이로센서등을활용하여개인의움직임을관찰하고활동량을모니터링하여생활습관을교정하고운동량을측정하는착용형디바이스제품에활용가능 대기환경, 실내오염등환경용 IoT 디바이스제품에적용 Co2, Co 및수질센서등다양한환경센서들과연계하여실내외환경및대기환경모니터링을통해오염환경을개선하고피드백을하는환경관리용 IoT 디바이스에활용가능 다층저항식다점온도측정센서 온도웨이퍼센서신뢰성검사장치기능 기술이전내용및범위 열전효과를이용한온도웨이퍼센서전력공급기능 온도웨이퍼센서저전력소모기능 특허 2 건 1) 방열기능을갖는웨이퍼센서및그제조방법 관련지적재산권 ( 출원번호 : ) 2) 온도측정웨이퍼센서의교정장치및그방법 ( 출원번호 : ) 3) 다층저항식다점온도측정웨이퍼센서및그제조방법 385

394 기술개발테마현황분석 분류 세부내용 ( 출원번호 : ) 4) 매립형온도측정웨이퍼센서및그제조방법 ( 출원번호 : ) 5) 열전효과를이용하는온-웨이퍼전력공급장치및그제조방법 ( 출원번호 : ) 6) 온도측정웨이퍼센서의신뢰성검사장치 ( 출원번호 : ) 7) 다층저항-열전식온도측정웨이퍼센서및그제조방법 ( 등록번호 : ) 6) 다층저항식다점온도측정웨이퍼센서및그제조방법 기술이전조건 실시권허용범위 계약기간 기술료조건 ( 부가세별도 ) ( 출원번호 : ) 비독점적통상실시권 계약체결일로부터 5 년간 경상기술료 구분 중소기업 중견기업 대기업 착수기본료 ( 천원 ) 28,000 57,000 57,000 매출정률 사용료 (%) 기술전수교육 1 개월 / 1,213.2 천원정 ( 부가세별도 ) 기타특기사항 해당사항없음 세부문의 기술관련 계약관련 기술개발발표당시 현재 기술개발발표당시 현재 기술이전센터배성수 ssbae@kriss.re.kr 기술이전센터배성수 ssbae@kriss.re.kr 기술이전센터배성수 ssbae@kriss.re.kr 기술이전센터배성수 ssbae@kriss.re.kr 386

395 반도체센서 6. 기술로드맵기획 가. 중소기업핵심요소기술 (1) 데이터기반요소기술발굴 [ 반도체센서분야키워드클러스터링 ] 387

396 기술개발테마현황분석 No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 클러스터 02 클러스터 03 클러스터 04 클러스터 05 클러스터 06 클러스터 07 클러스터 08 클러스터 09 클러스터 10 semiconductor sensor image sensor semiconductor sensor bio semiconductor sensor design semiconductor bio-sensor biochip semiconductor biosensor semiconductor sensor bio-imaging semiconductor sensor biological semiconductor sensor biochemical semiconductor sensor biodegradable semiconductor sensor organic 4~ ~6 4~5 7~8 5~6 8 [ 반도체센서분야주요키워드및관련문헌 ] 1. A low-voltage complementary metal oxide semiconductor image sensor using pulse-width-modulation scheme for biomedical applications 2. A new approach to light up the application of semiconductor nanomaterials for photoelectrochemical biosensors: Using self-operating photocathode as a highly selective enzyme sensor 1. Contact angle and biocompatibility of sol-gel prepared TiO2 thin films for their use as semiconductor-based cell-viability sensors 2. Bioinspired solar water splitting, sensitized solar cells, and ultraviolet sensor based on semiconductor nanocrystal antenna/graphene nanoassemblies 1. Design for optimized coupling of organic semiconductor laser light into polymer waveguides for highly integrated biophotonic sensors 2. Design of portable digital microscope by using complementary-metal-oxide- semiconductor image sensor for biomedical applications 1. Bacteria inside semiconductors as potential sensor elements: Biochip progress 2. Detection of charged proteins with a bio-sensor device using a semiconductor-on-insulator structure 1. Flexible NWs sensors in polymer, metal oxide and semiconductor materials for chemical and biological detection 2. High performance GdTixOy electrolyte-insulator-semiconductor ph sensor and biosensor 1. Integrated bio-imaging sensor array with complementary metal-oxide- semiconductor cascode source-drain follower 2. Kelvin probe force microscopy for characterizing doped semiconductors for future sensor applications in nano- and biotechnology 1. Label-free electrical detection of cardiac biomarker with complementary metal-oxide semiconductor-compatible silicon nanowire sensor arrays 2. Metal-insulator-gap-insulator-semiconductor structure for biological sensors 1. Monolithic Integration of a Silicon Nanowire Field-Effect Transistors Array on a Complementary Metal-Oxide Semiconductor Chip for Biochemical Sensor Applications 2. Nanostructured semiconductor based biochemical sensors 1. Enzymatically catalyzed degradation of biodegradable polymers investigated by means of a semiconductor-based field-effect sensor 2. Novel semiconductor materials for the development of chemical sensors and biosensors: A review 1. Organic Field-Effect Transistors VII and Organic Semiconductors in Sensors and Bioelectronics 2. Organic semiconductors in organic thin-film transistor-based chemical and biological sensors 388

397 반도체센서 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로 로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여최종요소기술명확정 [ 반도체센서분야요소기술도출 ] 분류요소기술출처 생산공정서비스 / 상황 / 이치인지용디바이스 / 센서기술 특허 / 논문클러스터링 인지형스마트디바이스 자율교정을위한인지형스마트디바이스 ( 영상 )/ 센서기술 특허 / 논문클러스터링, 전문가추천 스마트디바이스 ( 영상 )/ 센서용내장형 OS 기술 특허 / 논문클러스터링, 전문가추천 수집데이터고속필터링연관매칭기술 특허 / 논문클러스터링, 전문가추천 이벤트처리분석 메모리기반의비정형데이터고속분석기술 특허 / 논문클러스터링, 전문가추천 센싱정보링크 이종센서변환및연결기술 특허 / 논문클러스터링 특허 / 논문클러스터링 Factory-Thing 자원관리 소프트웨어정의 FCM 제어기술 특허 / 논문클러스터링 389

398 기술개발테마현황분석 (3) 핵심요소기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심요소기술선정위원회를통하여 중소기업에적합한핵심요소기술선정 핵심요소기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업 적합성 (10) 을고려하여평가 [ 반도체센서분야핵심요소기술 ] 분류핵심요소기술개요 생산공정서비스 / 상황 / 이치인지용디바이스 / 센서기술 공정별상황과생산품의위치, 상태를인지하는기술개발 인지형스마트디바이스 자율교정을위한인지형스마트디바이스 ( 영상 )/ 센서기술 비정형적상황에대한센싱모니터링기술 스마트디바이스 ( 영상 )/ 센서용내장형 OS 기술 다기능성스마트디바이스경량 OS 기술 수집데이터고속필터링연관매칭기술 수집데이터분류 / 매칭기술개발 이벤트처리분석 메모리기반의비정형데이터고속분석기술 비정형데이터고속처리기술개발 센싱정보링크이종센서변환및연결기술이종센서의정보변환및연결기술개발 Factory-Thing 자원관리 소프트웨어정의 FCM 제어기술 생산디바이스의유연성확보기술개발 390

399 반도체센서 나. 반도체센서기술로드맵 최종중소기업기술로드맵은기술 / 시장니즈, 연차별개발계획, 최종목표등을제시함으로써 중소기업의기술개발방향성을제시 391

400 기술개발테마현황분석 다. 연구개발목표설정 [ 반도체센서분야핵심요소기술연구목표 ] 분류 핵심요소기술 기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 이벤트처리분석 수집데이터고속필터링및연관매칭기술 물리적매칭률 (%) 90% 이상 95% 이상 98% 이상 수집데이터분류 / 매칭기술개발 메모리기반의비정형데이터고속분석기술 데이터분석률 (%) 90% 이상 95% 이상 98% 이상 비정형데이터고속처리기술개발 센싱정보링크 이종센서정보변환및연결기술 연결성공률 (%) 95% 이상 98% 이상 이종센서의정보변환및연결기술개발 Factory-Th ing 자원관리 소프트웨어정의 FCM 제어기술 FCM 의데이터처리성공률 (%) 95% 이상 99% 이상 생산디바이스의유연성확보기술개발 자율교정을위한인지형스마트디바이스 ( 영상 )/ 센서기술 동작교정성공률 (%) 95% 이상 98% 이상 비정형적상황에대한센싱모니터링기술 인지형스마트디바이스 생산공정서비스 / 상황 / 위치인지용디바이스 ( 영상 )/ 센서기술 생산공정상황인지율 (%) 95% 이상 98% 이상 공정별상황과생산품의위치, 상태를인지하는기술개발 스마트디바이스 ( 영상 )/ 센서용내장형 OS 기술 OS 완성율 (%) 90% 이상 95% 이상 99% 이상 다기능성스마트디바이스경량 OS 기술 392

401 기술개발테마현황분석 반도체화학소재

402

403 반도체화학소재 정의및범위 반도체화학소재는반도체에서사용되는다양한소재들을일컬으며전구체 (Precursor), 화학적기계적연마 (CMP) 슬러리, 포토레지스트 (Photoresist) 등이있음 정부지원정책 정부는반도체산업의고도화를위하여메모리반도체외에시스템반도체, 화합물반도체, 장비 재료산업의육성정책을적극추진 반도체산업부문에서기술개발, 인력양성, 시스템반도체및장비ㆍ재료산업육성등세부사업추진과더불어시스템IC 2010 사업의후속시스템반도체상용화기술개발 ( 시스템IC 2015) 사업의전략적추진으로시스템반도체분야글로벌역량을강화 (2014년, 산업통상자원부 ) 원천기술확보및국산장비ㆍ재료의신뢰성향상을위한 반도체장비원천기술상용화개발사업, 성능평가협력사업, 수급기업투자펀드사업 등의상생협력프로그램을통해육성 산업통산자원부는 5대신산업선도프로젝트를우선착수할것으로발표 ( 17년 12월 ) 하면서반도체 디스플레이분야를그중하나의선도프로젝트로추진예정 중소기업시장대응전략 강점 (Strength) 국내반도체생산업체가세계시장선도 국내에조성된전방위적인건전한반도체산업생태계기회 (Opportunity) 국산화요청에따른소재산업육성을위한정부투자 삼성전자, SK하이닉스의소모품국산화움직임활발 국내시장의규모로인한시장접근성우수 약점 (Weakness) 소재합성을위한원천기술의부족 기술개발을위한산학연네트워크기반부족 기존기술의특허회피및기술력확보어려움위협 (Threat) 연구개발에필요한높은개발비용 해외선발주자들에의한높은진입장벽 중국, 대만등후발국가의자국업체전폭지원 중소기업의시장대응전략 소재개발부터중장기적로드맵을가지고체계적인접근구축필요 원료다원화 공정단순화 품질보증체계구축을통한국내글로벌반도체업체로의시장진입

404 핵심요소기술로드맵

405 반도체화학소재 1. 개요 가. 정의및필요성 ALD 전구체 ALD(Atomic Layer Deposition) 전구체는반도체소재로사용되는유기금속화합물로원자기상증착 (ALD) 에사용되는전구체이며, 기질표면에서의화학적흡착과탈착과정을이용하여단원자층의두께제어가가능한새로운개념의증착방법으로낮은온도에서단결정으로박막을성장시키며, 원자층제어가가능하다는특 장점을보유한제품 ALD(Atomic Layer Deposition) 는원자층증착법으로 ALD 금속이포함된원료와반응 가스를교차하여주입함으로써박막을성장시키는프로세스 ALD 의기본원리는 AX 와 BY 라는기체형태의물질을원료로이용하여 AB 라는고체물질로 된박막을증착하고부산물로기체형태의 XY 를생성하는경우를예로들어제조순서에 따른 ALD 공정은다음과같음 가 ) AX 나 ) BY AB 다 ) XY 라 ) 마 ) [ALD 공정단계 ] 이상과같이 AX 공급, 여분제거, BY 주입, 여분제거공정각각을일정주기로되풀이하여 원자층을한층씩쌓아서원하는두께와조성의박막을제조하게되며, 여분의가스를 제거하는데는주로아르곤 (Ar) 등의불활성가스를흘려주는방법이이용 397

406 기술개발테마현황분석 ALD는증착과정에서원료공급단계에서원료의공급이충분하다면박막의성장속도는원료공급주기의횟수에만비례하기때문에박막의두께를 Å( 옹스트롬, Angstrom, 1 Å=1 nm) 단위로정밀하게제어할수있어다음과같은장점을지님 단차피복성 (step coverage, 높이차이가나는부분들을균일하게증착하는특성 ) 이우수하여복잡한 3차원구조도균일하게증착가능 박막의두께와조성을정밀하게조정가능 불순물이적고핀홀등의결함이없는양질의박막제조가능 대면적을균일한속도로증착할수있어지름 300mm 웨이퍼에적용가능 지금까지반도체소자제조에물리기상증착법인 PVD(Physical Vapor Deposition) 또는 화학기상증착법인 CVD(Chemical Vapor Desposition) 기술이이용되었으나이러한기술은 선폭 90 nm( 나노미터 ) 이하의초고집적소자제조에적용하는데한계가발생 반도체소자의초미세화로인한얇은증착두께의필요성및적층소자, 3차원구조소자기술필요등이크게증가하여, ALD 공정의비중이크게확대됨. 특히 3D NAND의 48단공정에서는기존에 CVD를사용했던여러공정들이 ALD로대체되었으며향후 64단이후공정은난이도가더욱증가해 ALD의비중이더커질것으로전망됨 원자증착인 ALD 의경우복잡한형상의 3 차원구조에서도뛰어난균일도를가지는나노 두께의박막증착이가능하기때문에나노급반도체소자제조에필수적인증착기술 또한플라스틱기반을가지고있는기판에대해우수한도포성과낮은공정온도를가지고 있어 Flexible Display 에실용화가능성을높이는데필요 다만, ALD 박막제조기술의경우, 공정시간이길어양산성이낮다는기술적단점이있어이를 극복하기위한연속생산 ALD 기술이최근연구중 최근반도체초미세화로증착두께가얇아지고있어 ALD 공정적용단계가많아짐에따라 ALD 전구체에대한기술적중요도가지속적으로확대 ALD 공정은원자층증착기법으로박막내불순물의양을줄일수있지만, 사용되는 선구물이유기화합물로구성되어있기때문에완벽하게오염을줄이기는어려움. 이러한 박막에오염을최소화하기위해우수한특성의전구체를선택하는것이중요 적용되는소자또는층에따라서하부막손상, 계면반응, 확산등을방지하기위하여증착온도가낮은공정이요구되기도하고, 증착된박막의성질을극대화하기위하여증착온도를가능한한높인공정이요구되기도함. 이에저온반응이가능한저온용전구체, 고온에서도 ALD 증착이가능한고온용전구체를선택적으로적용하여야함 398

407 반도체화학소재 또한각각의소자및다양한적층구조, 박막에필요한소재의다양성요구가증가함에따라, 이에대응한최적화된전구체가필요하여전구체에대한기술적중요도가가파르게확대되고 있음 ALD 전구체의이상적인조건은다음과같음 높은증기압 ( 반응증착실내부로용이한원료전달 ) 고순도화가용이할것 증착온도내에서열분해가일어나지않을것 넓은온도영역에서자기제한적특성유지 우수한박막조성및순도 ( 탄소나산소등의오염을배제 ) 액체화합물 반응가스와의원활한반응성 반응성이없는부산물의생성과제거가용이할것 단차피복성확보 ALD 전구체는위에서열거한다양한조건을만족하기위한화합물디자인및합성및분석기술, 이에필요한유기리간드합성기술이필요함. 이와함께실제박막증착시우수한전구체인지를확인하기위한증착테스트및이에대한결과피드백을통한전구체개량등을필요로함. 이렇듯전구체의화학합성부터증착및소자공정까지전반적인공정을통해야최적화된전구체를개발 생산할수있음 [ ALD 전구체개발및생산 Process ] 399

408 기술개발테마현황분석 [ ALD 응용분야 ] CMP 슬러리 화학적기계적연마 (CMP) 슬러리란반도체표면을화학적또는기계적방법으로연마하여 평탄화하는 CMP 공정에사용되는연마재료로서화학첨가물을포함한수용액과미립자로 분산된연마입자로구성 CMP 공정에는 CMP 패드, 슬러리, 컨디셔너로이루어진 3 가지의소모품이사용되며이중직접적으로평탄화에관여하는소모품은패드와슬러리임 CMP 공정은웨이퍼표면을기계적 화학적인방법을이용하여평탄화하는공정으로서반도체공정에서발생하는웨이퍼표면의요철을감소시켜주어공정효율과수율을향상시키는공정으로표면을효율적으로연마하기위하여연마입자와여러종류의화학첨가제가포함되어있는슬러리가필수적 CMP 슬러리는기계적연마를담당하는연마입자와화학적반응을담당하며연마입자가잘분산되도록해주는분산제, 산도조절제및여러가지케미컬들의혼합액으로, 텅스텐막질, 구리막질등의금속막질연마제로 SiO 2 입자가사용되고있으며, 일반적으로실리콘옥사이드 (SiO 2 ), 실리콘나이트라이드 (Si 3 N 4 ) 등의절연박막에는 CeO 2 입자가사용 현재가장많이사용되고있는것은 CeO2인세리아슬러리로서전체시장에서가장큰비중을차지 현재반도체선폭이감소하고고집적화되면서요구되는슬러리입자의크기도작아지고 있으며, 금속재료의 CMP 공정이늘어남에따라이에적합한새로운재료의슬러리개발 요구 CMP 공정이평탄화공정뿐만아니라배선공정에까지사용됨에따라소모품인슬러리의사용 량역시점차증가되는추세 400

409 반도체화학소재 웨이퍼의대구경화가진행되면서 CMP 슬러리특성에따라웨이퍼위치에따른연마율의 차이가나타나는바, Removal selectivity 를유지하면서연마율산포를개선할수있는 새로운슬러리의개발이필요 또한, 최근반도체재료로새롭게사용되는물질이늘어남에따라서산화막이아닌재료의평탄화를위해서는화학반응을일으키는슬러리약액의조성이중요해지고있는실정 질화막, 구리, 텅스텐등의비산화막의평탄화를위해서는연마입자가작용을할수있도록막질을산화시켜주는슬러리약액의조성이매우중요 최근슬러리제조업체들은연마작용을하는슬러리원액뿐만아니라점차적으로다양한막질및반도체구조에대응하기위한여러종류의첨가제상품화를시도하고있음. 특히, 화학적기계적반응을동시에지니고있는첨가제는학문적원리에근거한원천기술이중요하므로대학에서도많은연구가진행중 포토레지스트 포토레지스트 (Photoresist, 감광약품 ) 는설계된반도체회로를웨이퍼위에전사시킬때빛의 조사여부에따라달리감응함으로서미세회로패턴을형성할수있도록하는노광공정용 감광재료로반도체 Chip 및 TFT-LCD 등에사용 포토레지스트를일정한파장의빛에노출시키면그부위에광화학반응이일어나포토레지스트의화학적성질이특정용매에대한용해도가급격하게변화하여빛을받은부분만녹거나 (Positive Photoresist) 빛을받지않은부분만녹아 (Negative Photoresist) 조사된패턴을남게해주는화학재료 * 출처 : 산업통상자원부 [ 감광제의기능 ] 401

410 기술개발테마현황분석 반도체용포토레지스트는여러가지성분이녹아있는용액의형태로되어있는데이를공정에적용하기위해서는일정한양의용액을실리콘웨이퍼위에회전도포 (Spin-coating) 하여수백나노에서수마이크론단위의얇은필름을형성시킨후노광기로옮겨사용 포토레지스트의기본구성은 Resin( 내식각성 ), Solvent(Covering), Sensitizer( 광반응 ), Additive( 특성개선 ) 로포토레지스트의각소재의역할은다음과같음 Resin( 수지 ): 포토레지스트의주성분을이루는고분자화합물 감광제 : 빛을받으면성질이변하는화합물 PAC: Photo Active Compound (i-line Resist에주로사용 ) PAG: Photo Acid Generator ( 화학증폭용 Resist에주로사용 ) 첨가제 : 성능향상을위해첨가 Solvent( 용제 ): 액체상태로유지하기위하여고형분을녹이는용제 포토레지스트의일반적인요구특성은소재가빛에대해얼마나민감한지정도, 노광부와 비노광부의용해성에대한차이, 반도체의생산성과관련된포토스피드, 열적안정성, 접착성, 식각공정시저항성등이요구 포토레지스트는리소그라피 (Lithography-반도체노광공정기술 ) 공정에서사용되는감광성수지이며반도체디바이스의미세화를가능케해주는재료 포토레지스트는반도체소자의미세화에의해사용되는광원의파장이점차로단파장으로변화중 광원에따라포토레지스트의종류가결정되며, 점차로투과도가좋으며, 식각시저항성, 감도및해상도가좋은포토레지스트에대한요구가증대 402

411 반도체화학소재 나. 범위 (1) 제품분류관점 ALD 전구체 전구체는박막을증착하기위한화학증착공정용원료로증착을원하는주요원자를중심으로 기화특성을향상시키기위한리간드 (Ligand) 1) 로결합되어있는구조 전구체를구성하는리간드는반응성이높고금속원자와결합력이상대적으로낮아어떠한반응기체와만나더라도완벽히원하는리간드로교체가이루어질수있도록아민류나알킬류와같은리간드를사용. 이외에도원형알킬류 (Cp), 알콕시류, 아미딘류등의다양한리간드를사용함. 박막증착에사용되는핵심원소는실리콘 (Si), 알루미늄 (Al), 지르코늄 (Zr), 루테늄 (Ru), 니켈 (Ni), 티타늄 (Ti), 코발트 (Co), 텅스텐 (W), 탄탈럼 (Ta) 등 20가지가존재 전구체는용도에따라확산방지막 (Diffusion Barrier) 용전구체, 전극 (Electrode) 용전구체, 하드마스크 (Hardmask) 용전구체, Gap-Fill용전구체, 커패시터용 High-k 전구체, 게이트 (Gate) 산화막용 High-k 전구체등으로구분 확산방지막전구체의확산방지막은금속배선형성시금속원소나타불순물원소가절연막으로확산되어오염되는것을방지하기위한용도로사용되며, 이를위해 Ti(N), Ta(N), Ru 등이사용 전극용전구체의전극용소재는전자를보관하는커패시터의전극물질로사용되는경우가대부분이며, 접촉저항이낮은금속재료가필요하므로 TiN, Ru, Nb 등이사용되고, TiN, Ru 등전극의금속재료가확산방지용재료와동일한경우전극용전구체도혼용이가능 최근에는반도체소재로금속을배선등에사용하는경우가증가하여이를위해 W, Co, Cu, Ta등의다양한금속전구체에대한경쟁이산업체에서시작되었음. 하드마스크용전구체의하드마스크는하드마스크용박막의경우초창기에는실리콘산화막과질화막을사용했으나, 최근에는미세패턴제작이용이하고식각내성이우수한비정질탄소박막 (Amorphous Carbon Layer, ACL) 이사용 Gap-Fill용전구체의반도체소자간간섭 (Cross-Talk) 을막기위해절연막이필요하며, 실리콘산화막 (SiO2), 실리콘나이트라이드 (SiN) 등이주로사용 커패시터용 High-k 전구체소자의미세화로커패시터를 3D로제조해야하는경우증착특성이우수하고유전율이높은물질 (HfO2, Al2O3, ZrO2 등 ) 이필요 다양한소재의 ALD 공정을위해각소재용전구체에해당하는반응가스역시전구체와함께고려해야할사항임 1) 착화합물에서중심금속원자에전자쌍을제공하면서배위결합을형성하는원자나원자단 403

412 기술개발테마현황분석 ALD 전구체로는아래표와같은전구체들이각용도에맞게사용되고있음 Si기반전구체들의특징은산소기반으로분위기를조성해줄경우 Oxide 막질 (SiO2) 과같은절연막이되며, 질소분위기일경우 SiON이나 Si3N4와같은질화막을형성 High-K 역시기존 SiO2 대신 ZrO2나 HfO2를사용하는방식으로최종적으로 ZrO2 막질을형성하기위해서는거대원형 Penta 계열리간드가부착되어있는전구체가사용되며, Zirconium계열전구체의경우산소분위기에서최종적으로 ZrO2막이형성 [ 적용기술에따른분류 ] 대분류중분류세부제품 전구체 확산방지막전구체 하드마스크 (Hardmask) 용전구체 Gap-Fill 용전구체 DPT 용전구체 커패시터및게이트용 High-k 전구체 금속용전구체 Ru(EtCp)2, C16H22Ru, Ti(N(CH3)2)4 (TDMAT), TiCl4, Ta(NC(CH3)3)(N(C2H5)2)3 (TBTDET), Ta(NC(CH3)3)(N(CH3)(C2H5))3 (TBTEMT) 등 C6H12, C3H6, C4H12Si, C3H10Si 등 [SiH2-NH]n (polysilazane), H2Si(N(CH3)(C2H5)2)2, HSi(N(CH3)2)3 (TDMAS), Si(N(CH3)(C2H5))4 등 Si2Cl6 (HCDS), H3Si(N(CH(CH3)2)2) (DIPAS) 등 Hf(N(CH3)(C2H5))4 (TEMAHf),Zr(N(CH3)(C2H5))4 (TEMAZr), (CH3)3Al (TMA), CpZr(NEt2)3, CpHf(NEt2)3 등 WF6, WCl5, Ru(EtCp)2, C16H22Ru, CpCo(CO)2, Cu(CH3COCHCN(C2H5)CH3)2 등 CMP 슬러리 CMP 공정에사용되는슬러리를제품분류관점에서분류하면절연박막 (dielectric) 용 슬러리와전도박막 (electric) 박막용슬러리로분류 절연박막에는실리콘옥사이드 (SiO 2 ), 실리콘나이트라이드 (Si 3 N 4 ) 등의박막이있고, 전도박막은대부분금속박막 (Copper, Tungsten, titanium, Aluminum 등 ) 이사용 금속박막의경우산화막이금속보다기계적강도가낮기때문에산화제를사용해표면을 산화시킨뒤연마제를이용해기계적으로연마하는방식을사용함. 이를위해과산화수소, 질산철등과같은산화제가슬러리에포함 404

413 반도체화학소재 기술개발테마 CMP 슬러리 제품분류관점 절연박막 (dielectric) 용슬러리 금속박막 (electric) 용슬러리 Oxide 용슬러리 STI 공정용슬러리 ILD 공정용슬러리 Cu 슬러리 W 슬러리 Barrier 금속슬러리 [ 제품분류관점기술범위 ] 세부기술 SiO 2 박막을효과적으로연마할수있는슬러리 수율확보를위한고성능, 고연마율기술확보필요 연마입자특성만으로는슬러리성능을유지할수없어서추가적인첨가제필요 SiO 2 와 Si 3 N 4 막사이의고선택비유지를위한첨가제확보필요 첨가제의정적, 동적안정성확보필요 경계면단차생성방지기술확보 Gate 또는금속막의절연체도포후평탄화진행 Over 또는 under polishing 방지기술필요 효과적인연마를위한 oxidant, inhibitor, surfactant, chelating agent 등다양한첨가제조성최적화기술필요 Corrosion 방지를위한첨가제조성최적화필요 Organic defect, scratch 개선을위한슬러리성분최적화기술확보 Plug(via) 또는 line용배선의금속 residue 제거 Erosion, dishing, EOE 방지기술필요 W seam 확장문제해결을위한첨가제확보필요 금속막과실리콘옥사이드막사이에존재하여두접합면이파괴되는현상을방지 금속박막별적합한 barrier 소재선정기술필요 초미세박막의증착기술필요 포토레지스트 포토레지스트는파장에대응한제품별로 KrF 포토레지스트, ArF 포토레지스트, 이머전 ArF 포토레지스트, EUV 포토레지스트등으로분류 포토레지스트는지금까지미세화를위한노광장치의광원의단파장화와새로운리소그라피프로세스의도입에대응해왔으며, 현재더블패터닝이나 EUV 노광이라는차세대리소그라피기술에대응하는포토레지스트의개발도착실하게진행되고있음 노광광원의단파장화는 436 nm(g 선 ), 365 nm(i 선 ), 248 nm(krf 엑시머레이저 ), 193 nm(arf 엑시머레이저 ) 로진행되어온가운데일반적으로포토레지스트같은유기재료는노광파장이짧아지면광흡수가크게됨. 그결과, 노광시에포토레지스트중에형성되는광학상이변형되는바, 이것을피하기위하여노광파장에맞추어베이스수지를개량하고광흡수를억제해왔음 g 선이나 i 선의세대에서는베이스수지에노보락수지를사용했고, KrF 세대에서는노광파장에서의노보락수지의광흡수가크게되기때문에이파장에서의광흡수가작은폴리히드로키시스틸렌 (PHS) 수지로바꾸었음. 이어서 ArF 세대에서는베이스수지중의벤젠고리의노광파장에서광흡수가크게되어벤젠고리를포함하지않는아크릴수지를 405

414 기술개발테마현황분석 베이스로아다만탄등의환상화합물 ( 지환식화합물 ) 을측면사슬에붙여서에칭내성을높인수지를사용하고있음 노광이 KrF 엑시머레이저로바뀌는때에는포토레지스트에또하나큰변경을추가한가운데광강도의저하에대응하기위하여종래의포토레지스트와비교하여고감도의화학증폭형포토레지스트를도입함. 이포토레지스트는광산발생제 (PGA) 로부르는감광제를포함, 빛을흡수하면감광제가산을발생하고, 이산이촉매가되어베이크공정에서수지측면고리에차례로수산기가생기고포토레지스트가알카리현상액에녹게됨. 하나의산이촉매되어복수의수산기생성반응을요구하기때문에포토레지스트의고감도산과고콘트라스트화가가능하게됨. 화학증폭형포토레지스트는 ArF 세대에서도계속채용되고있음 KrF 포토레지스트는현재사용되고있는포토레지스트중가장큰비중을차지 이전에사용하던 g-line 또는 i-line 용포토레지스트에비해서변화된광강도의저하에따른손실을보완하기위하여감도가높은화학증폭형레지스트를도입 KrF 용레지스트는광산발생제 (PAG, Photoacid Generator) 인감광제를포함하고있기때문에빛의흡수시산을발생시키고발생된산이촉매작용을통하여유기수지표면에수산기를발생시켜알칼리현상액에녹도록설계 하나의산이촉매작용을통하여다량의수산기생성반응을유도하므로 KrF 용레지스트는고감도및고대조비를갖는공정이가능하게되어미세패턴화를지원가능 ArF 포토레지스트공정은패턴미세화에따라서점차로 KrF 포토레지스트보다비중이커지고있으며, 특히 Immersion 포토레지스트는그중요도가더욱증대 DRAM 기준의 30 nm 공정을본격적으로도입하고있는생산업체에서는 ArF Immersion 에대한수요가매우큰비중을차지 ArF 포토레지스트는특히제조공정중의불순물관리가가장중요한부분이며, 모든제조공정은클린룸에서진행하며반드시수십 nm 수준의필터로여과과정을거쳐서생산 미세패턴화를성공적으로구현하기위해서는 LWR(Line Width Roughness) 를억제해야하며그편차는 10% 이내로조절 식각시공정마진을확보하기위해서식각내성을증가시킬수있는조성의변조를동반하고있으며, 패턴유지를위해도포두께가점차로감소되며, 보다넓은식각공정도를확보하기위해서하드마스크공정이도입 EUV 용포토레지스트는삼성전자와 SK 하이닉스의주문에의해네덜란드의 ASML 사가가장먼저상용화장비를도입하므로조만간현실화될가능성이매우높은상황 EUV 포토레지스트를사용한노광공정은 20 nm 급공정을위한가장적격한노광공정으로판단 406

415 반도체화학소재 현재 1 nm 급수준의광원으로는 EUV, X-ray, EB(Electron Beam) 등이연구진행중 포토레지스트는매우미세한패턴에사용되므로약액속의먼지나금속등의불순물을가급적 감소시켜야하므로제조에서불순물을혼입되지않도록원료, 프로세스관리시행 [ 적용기술에따른분류 ] 대분류중분류세부제품 포토레지스트 KrF 포토레지스트 ArF 포토레지스트 (immersion 포함 ) EUV 포토레지스트 248 nm 파장용레지스트 193 nm 파장용레지스트 1 nm 급파장용레지스트 (2) 공급망관점 ALD 전구체 원자층증착기술로가장많이증착하는물질은산화물, 질화물, 황화물등이며, 금속원소같은 단일원소증착에도적용 원자층증착공정은나노스케일의두께제어가가능하고우수한단차도포성과균일한 피복성을지닌박막을성장시킬수있는증착법으로서차세대메모리반도체소자인 DRAM, Flash Memory, RRAM 및 PRAM 에핵심증착기술로응용 [ 공급망단계별주요제품분류 ] 대분류중분류세부제품및분야 원자층증착공정 (ALD) 전구체 원자층증착공정 (ALD) 소재 원자층증착공정 (ALD) 전구체 원자층증착공정 (ALD) 응용분야 산화물, 질화물, 황화물외 HCDS, DIPAS, High-k 등 DRAM, 플래시메모리, RRAM, PRAM 등 CMP 슬러리 현재가장많이사용되던세리아슬러리의경우안정적인상태로 50 nm 급의입자분포를 유지할수있으나금속계열 CMP 를진행하는알칼리또는산성의 ph 에서안정적인분산 상태유지어려움존재 실리카입자의경우알칼리또는산성의 ph 에서안정적인분산상태를유지할수있으나 입자의크기를작게만드는것이어려움존재하는바, 이에따라작은크기의실리카입자를 407

416 기술개발테마현황분석 제조하는기술과안정적인분산상태를유지하는기술이요구되고있으며, 금속 CMP에사용될수있는새로운재료의개발또한요구되고있음 기존에입자를합성하는방법으로사용되던고상법, 액상법의제조법을개선시키는연구와새로운합성법인초입계법등이새롭게연구진행중 슬러리제조후 CMP 공정에공급되기전까지슬러리의안정성을유지하고공정마진확보를 위한공급방식, 유통방식이필요 또한, 슬러리를제조한후, 슬러리의안정성이유지되는지여부의모니터링과, 유지기간의 확보, 제조 LOT 간의편차최소화등의기술요구됨 [ 슬러리요구조건로드맵 ] 구분 Particle size (nm) ph Oxide ceria Oxide silica metal silica 5 ~ 7 중성 10 이상의알칼리 2 이하의산또는 11 이상의알칼리 Defect size (nm) [ 공급망관점기술범위 ] 기술개발테마 CMP 슬러리 공급망관점입자합성기술제조장비기술슬러리안정화기술슬러리가공기술평가 / 관리기술 세부기술 고상법합성기술, 액상법합성기술, 초입계법합성기술, 입자형상제어기술 입자합성재현성확보, 대량양산장비확보, 제조 LOT 당제조일관성확보 분산안정화기술, ph 안정화기술, 공정마진유지기술 유체, 고체제어기술, 화학약품제어기술, 입자분산도유지기술 입자크기분포측정기술, 입자형상측정기술, 안정성모니터링기술, 슬러리물성측정분석기술 408

417 반도체화학소재 포토레지스트 포토레지스트의공급망관점에따른주요제품분류는크게 KrF 포토레지스트, ArF 포토레지스트, EUV 포토레지스트로나뉘어짐 KrF 포토레지스트에속하는제품및기술로는 PFOS/PFAS 미사용, High Aspect Ration 구현, 열적안정성구비, GKR, TDUR 등이있음 ArF 포토레지스트에속하는제품및기술로는 High Throughput, 고해상도의넓은공정윈도우, GAR, FAiRS, TARF 등이있음 EUV 포토레지스트에속하는제품및기술로는고정밀해상도, 넓은공정윈도우, FEP, FEN 등이있음 [ 공급망단계별주요제품분류 ] 대분류중분류세부제품및기술 포토레지스트 KrF 포토레지스트 ArF 포토레지스트 EUV 포토레지스트 PFOS/PFAS 미사용, High Aspect Ration 구현열적안정성구비, GKR, TDUR High Throughput, 고해상도의넓은공정윈도우 GAR, FAiRS, TARF 고정밀해상도, 넓은공정윈도우, FEP, FEN 409

418 기술개발테마현황분석 2. 외부환경분석 가. 산업환경분석 (1) 산업의특징 ALD 전구체 전구체는개발이어려운소재로진입장벽이높으나납품이개시될경우장기적으로안정된 매출발생가능하고고가의소재로상대적으로대규모설비가필요하지않아수익성이높은 품목 반도체박막재료부문으로반도체재료산업의경우대부분설비가동을위한소모성원재료로 구성되어있기때문에제조장비에비해산업경기영향을적게받음 전구체산업은합성, 정제, 충전이라는프로세스로진행되며, 개발된전구체의경우 장비업체나소자업체의평가를받기전까지그특성을확인할수없음 ALD 전구체제조업체의핵심경쟁력은박막의물성이해당공정에적합하도록메카니즘을화학적으로시뮬레이션하여실제공정장비에서적용이원활하도록설계하는것이며, 또한소재의안정적공급을위한높은수준의합성및정제기술뿐아니라소자업체의공정변경에신속하게대응할수있는사후관리능력까지필요 메모리소자및비메모리소자인로직소자를포함하는반도체소자및이를제조하기위한소재 / 부품과관련한기술들이집적된분야가반도체공정관련산업으로분류 전구체관련산업은상기의반도체공정관련산업중전체의 70% 가량을차지하고있는전공정산업에해당되고이중에서증착관련한산업은 15% 내외를차지하고있는산업분류에해당 반도체제조공정은광학계및증착, 식각과정을거쳐소자를웨이퍼상에구현하는전공정과제조된소자를조립하고검사하는후공정으로크게분류 전공정은웨이퍼상에소자를제조히는데필요한공정으로서노광공정, 식각 (Etching) 공정, 증착공정, 열처리공정, 이온주입공정, 세정및건조공정등을포함 소형화및휴대성이강화된첨단전자기기의수요증가에따른반도체소자의미세화요구로전공정중증착공정의비중이증가하는추세 2000 년대부터지속적으로진행된반도체미세화로인해회로구현의난점, 누설전류의 발생, 동작속도저하등으로최근에는공정개선에대한기술적한계에부딪혀미세화 진행속도가현저히줄어들었으며, 그에따른박막재료의중요성이확대 410

419 반도체화학소재 CMP 슬러리 슬러리산업은전방산업보다는후방산업과의연계가더두드러지는산업으로, 후방산업인 반도체제조산업의요구조건에맞추어산업이변형되는경우가많음 슬러리제조에는화학적인요소 ( 입자합성기술, 분산안정제, 입자안정제, ph 안정제, 표면 보호제, 산화제등등 ) 와기계적인요소 ( 입자크기분포조절, 입자형상조절, 입자밀링 기술등 ) 가동시에필요하므로복합적인기술을요하는산업 메모리반도체소자뿐만아니라시스템반도체소자도미세화됨에따라 CMP 공정의 요구치가증대되면서슬러리성능요구치역시높아졌고, 이에따라높은수준의기술력을 요구 국내반도체생산업체에서원가절감및기술개발의편의성을위해소모품의국산화에 대한요구가증가하면서공동개발, 기술교육등이진행중 기술적요구수준이높고, 제조기술의노하우가많이필요한산업으로신규기업의시장 진입이어려운편이며, 시장규모는반도체소모품중가장크지만성장률은낮은편에 속하여신규기업진입어려움존재 포토레지스트 반도체제조공정에서는노광공정이매우중요하며, 공정핵심소재인포토레지스트는생산원가에서차지하는비중도높아기술, 산업적인중요도가높음 반도체종류에따라차이는있으나메모리반도체생산공정에서원가비중이 30%, 생산시간은 60% 정도로매우큰비중을차지 반도체소자는집적도및성능개선을위해서끊임없이패턴의미세화를추구하며, 관련 공정이가능한광원의개발과함께포토레지스트도개발중 포토레지스트시장의주공급자는주로산업초기부터시장에참여해온유기감광재료 업체들로편성되어있으며, 해당되는업체로는 JSR, TOK, Dow Chemical, Shinetsu, Sumitomo Chemical, AZEM 등으로구성 메모리반도체분야는삼성전자, SK 하이닉스가세계시장점유율 70% 이상을차지하는등 세계최고수준의기술력을보유하고있기때문에포토레지스트공급업체들은한국시장을 중요하게생각 411

420 기술개발테마현황분석 (2) 산업의구조 ALD 전구체 전방산업으로서전구체의주요시장은반도체분야이나디스플레이, 태양전지재료분야로 확대중 전방산업인반도체산업은반도체제조업체들의미세화전략에따른 Bit Growth 와반도체 수요, 가격, 설비투자등에영향을받는가운데최근반도체미세화공정화의흐름과 3D 핀펫 (FinFET) 등신기술이도입되면서전구체사용량증가및수요다양화추세 후방산업은전구체소재, 원부재료, 장비및부대설비로구성 원재료의대부분을미국, 일본, 유럽, 중국등일부주요선진국으로부터수입에의존하고있어재고 확보등리스크존재 [ ALD 전구체산업구조 ] 후방산업 ADL 전구체전방산업 전구소재소재, 원부재료및부대설비 금속또는유전체증착을위한반응용물질 반도체, 디스플레이, 태양전지재료 CMP 슬러리 슬러리산업은전방산업으로나노입자합성기술, 화학첨가제공급산업, 슬러리원재료공급 산업등이있고, 후방산업으로는메모리반도체제조, 시스템반도체제조, 로직소자제조 등이존재 후방산업인반도체제조산업의발전에맞춰동시에기술발전이이루어져야하며, 다른 소모품 ( 패드, 컨디셔너등 ) 와의조합및최적화가매우중요하므로동시에연구되는경우가 다수 후방산업인반도체제조업체에서장비개발, 기술개발을위해슬러리기술개발업체로 기술자를파견하거나공동개발, 기술이전, 자금지원등을하는경우가많아후방산업과의 기술적연계가높음 전방산업중세리아슬러리원재료공급산업은대부분중국에의존하고있어수입 의존도가높은상태 412

421 반도체화학소재 [ CMP 슬러리의산업구조 ] 전방산업 CMP 슬러리후방산업 나노입자합성기술화학첨가제공급산업슬러리원재료공급산업 절연박막용슬러리금속박막용슬러리 메모리반도체시스템반도체로직소자 포토레지스트 주요전방산업은반도체, 디스플레이, LED 이며이외에리드프레임의정밀가공에서도포토에칭 공정에중요하게사용되고있으며, 다층인쇄회로기판 (PCB) 의정밀제조에서도사용 [ 포토레지스트중심의산업구조 ] 후방산업포토레지스트전방산업 원부재료, 장비및설비 KrF, ArF & ArF immersion 및 EUV 용포토레지스트 디스플레이, 반도체, LED 413

422 기술개발테마현황분석 나. 시장환경분석 (1) 세계시장 반도체화학소재의세계시장규모는반도체산업의확장에따라연평균 10% 의증가율을 보이며 2021 년에는 18.5 억달러의시장을형성할것으로전망 2015 년이후로중국에서국가단위로반도체산업을지원하게되면서중국후발업체들의 기술력이급격하게증가하고있으며, 투자금액역시큰폭으로증가하여중국업체들과의 경쟁이불가피한상황 [ 반도체화학소재세계시장규모및전망 ] ( 단위 : 백만달러, %) 구분 CAGR 세계시장 11,450 12,550 13,800 15,240 16,850 18, * 자료 : Techcet CA LLC, 토러스투자증권, 메르츠종금증권리서치센터, 반도체중소기업기술로드맵 (2015), SEMI( ) 자료를바탕으로전망치추정 특히, 반도체용전구체소재에서는미세화및적층소자기술양쪽모두에서증착공정 Step 이증가하면서시장성장세를견인전망 2015 년후지카메라에서보고된반도체용전구체및특수가스예상시장규모자료에서는 CVD/ALD 기반에서전극, 배리어메탈전구체와 Gap fill 소재및고유전율절연체등의시장의 규모가점점증가할것으로내다보고있음 품목별로세리아 CMP 슬러리와함께금속계슬러리의성장도두드러지고있는가운데금속 박막으로는텅스텐과구리가대표적이며, 기존의알루미늄박막을높은전도도와저손상을 앞세워대체하고있어텅스텐과구리박막용슬러리의사용량이증가 2000 년대부터진행되고있는반도체공정미세화에따른반도체노광공정에서 ArF 포토레지스트, KrF 포토레지스트등의소요량이증가 414

423 반도체화학소재 (2) 국내시장 국내반도체화학소재시장규모는 2016 년 8,701 억가량으로추산되며, 연평균성장률은 20.0% 로국내시장의고성장을유지하여 2020 년에는 2 조원규모의시장으로성장할 것으로예상 [ 반도체화학소재국내시장규모및전망 ] ( 단위 : 억원, %) 구분 CAGR 국내시장 8,701 10,227 12,052 14,235 16,849 20, * 자료 : 메르츠종금증권리서치센터 < >, SEMI( ), 반도체중소기업기술로드맵 (2015) 자료바탕으로전망치추정 반도체전구체는반도체의성능과직결되기때문에반도체공정기술의발전과더불어 지속적인성장이가능한사업 국내전구체시장을이끌고있는산업으로는반도체분야로메모리반도체선두업체인삼성전자와 SK하이닉스가 25 nm급미세공정을적용한 D램에서 23 nm급및 21 nm급 D램으로주력제품이전환되었고, 최근 18 nm D램본격양산을시작했으며, 낸드플래시는 20 nm급미세공정에이은 16 nm급미세공정과 3D NAND(Vertical 낸드플래시 ) 를적용한제품을생산중인바, 메모리반도체분야에영향력증가전망 반도체시장의호황이지속되고삼성전자와 SK 하이닉스의 D 램시장점유율이 60-70% 에 달하고있어국내 CMP 슬러리시장이동반성장중 삼성전자의비메모리반도체투자확대로 CMP 슬러리수요가크게늘어날것으로예상 또한, 최근용도가확장되고있는세리아슬러리와함께반도체제조사들의구리배선공정 도입으로인하여연마용슬러리시장은폭발적으로성장할것으로예상되며, 특히 Cu 및 Cu barrier 슬러리의경우는매년높은성장률을기록하며성장세를유지할것으로전망 415

424 기술개발테마현황분석 (3) 무역현황 반도체 화학 소재로 품목 단위의 무역현황을 분석하는데 한계가 있어 수출품목 중 기타유무기화합물, 조제점결제 ( 주물의 주형용 또는 코어용의 것에 한한다 ), 따로 분류되지 아니하는 화학품과 화학공업이나 연관공업에 따른 조제품 ( 천연물만의 혼합물을 포함한다 ), 포토레지스트 품목의 무역현황을 살펴보았으며, 수출량에 비하여 수입량이 다소 큰 폭으로 감소하는추세 반도체화학소재의수출현황은 12년 14억 4,539만달러에서 16년 14억 1,282 달러로조금 감소하였으나거의그대로유지하고있으며, 수입현황은 12년 32억 164만달러에서 16년 22억 7,836만달러로약 10억달러정도크게감소하였으나, 여전히무역수지적자기조를지속 최근 5년 ( 12-16년) 간연평균성장률을살펴보면수출금액은 0.6% 로조금감소하였고, 수입금액은 11% 로많이감소한것으로나타남 무역특화지수는 12 년부터 16 년까지 0.38 에서 0.23 로변화를보이며, 국내기업의 수출량은크게변동이없는것으로나타났으나수입량이크게감소하였음 [ 반도체화학소재관련무역현황 ] ( 단위 : 천달러, %) 구분 CAGR 수출금액 1,445,390 1,090,568 1,179,027 1,427,510 1,412, 수입금액 3,201,644 2,977,806 2,728,985 2,629,419 2,278, 무역수지 -1,756,254-1,887,238-1,549,958-1,201, ,543 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 416

425 반도체화학소재 다. 기술환경분석 (1) 기술개발트렌드 ALD 전구체 ALD(Atomic layer deposition, 원자층증착 ) 는반도체및디스플레이소자제조시물질을원자단위로미세하게증착시킬때사용되는기술로단차피복성 (step coverage) 이우수하여복잡한 3차원구조를균일하게증착할수있고, 박막의두께와조성을정밀하게조정할수있으며, 불순물이적고핀홀등의결함이없는양질의박막제조가가능함 기존반도체소자제조에는 CVD(Chemical Vapor Deposition), PVD(Physical Vapor Deposition) 기술이이용되었으나, 이러한기존의기술은선폭 90 nm( 나노미터, 1 nm=1x10-9 m) 이하의나노급초고집적소자제조에적용하기에는한계를보유 ALD(Atomic layer deposition) 공정은대부분 400 이하의낮은온도에서이루어지고또한, 뛰어난균일도와나노두께의박막증착이가능하여점차증가하고있는복잡한 3차원구조의반도체및나노급초고집적반도체소자의수요가증가함에따라그중요도가상승중 ALD 전구체는금속, 금속산화물및질화물등의반도체박막재료를형성하는 ALD 공정에 사용되는유기금속화합물또는무기화합물로기질표면에서의화학적흡탈착과정을 이용하여단원자층의두께제어가가능하도록박막을형성함 ALD는박막형성에필요한원소를번갈아공급하여기판위에한원자층씩흡착되도록하는기술로지난 70년대초핀란드에서세계최초로개발되어 70년대말에는미국에서도관련연구개발이진행 ALD는 80년대초반에대면적전계발광표시소자 (ELD, electroluminiscent display) 제조에적용된적이있으나, 실리콘반도체소자제조에적용하기위한기술을연구하기시작한것은우리나라가최초로, 90년대중반부터 ALD 장비와공정기술의연구가활발히진행중 ALD 원천특허는특허기간이만료되어공개기술로전환된상태이며, 이기술을바탕으로 1990년대부터우리나라기업들이반도체소자제조에 ALD를활용하는방안에대한연구를시작한뒤현재는로열티를받고기술을수출하는 ALD 관련기술의선진국으로기술을선도 반도체소자제조장비와공정은미국이나일본등의선진국에비해관련연구가늦어원천기술보유가쉽지않았으나, ALD 공정및장비는국내에서최초로반도체소자제조공정에적용시키기위한연구를시작하여현재상당수준까지개발이진행 2000년대초특허출원의약 70% 이상을내국인이차지하고있으며, 우리나라가기술개발의종주국위치를유지하면서생산성이증가된장비가개발되어나노급반도체소자개발및양산경쟁에서다른나라의기업들보다우위를확보 417

426 기술개발테마현황분석 한국소자업체의세계시장점유율에비해반도체제조공정에적용되는 ALD 전구체등의핵심소재의국산화율은아직미국, 유럽, 일본등의소재강국에비하여뒤쳐져있으나기존기업에서꾸준히관련연구를진행하면서점점성장하고있으며, 최근에는다수의전구체기업들이설립되었음 ALD 전구체는반도체소자에필요한다양한소재를형성하기위해필요하므로매우다양한종류의전구체가필요함. 특히반도체공정미세화등에따라새로운 ALD 전구체의필요성이대두되고있음 확산방지막및전극용전구체, 하드마스크용전구체, Gap-Fill용전구체, DPT QPT용전구체, 커패시터및게이트용 High-k 전구체, 금속용전구체등 ALD 전구체에사용되는적합한리간드들의설계및합성기술, 이를이용한 ALD 전구체개발기술이필수 안정적공급을위한높은수준의합성및정제기술, 소자업체의공정변경에신속하게대응할수있는사후관리능력필요 ALD 전구체는향후 ALD 공정이적용될반도체의게이트유전막, 게이트스페이서, 커패시터, 금속등에적용될것으로예상 [ ALD 전구체적용분야 ] 번호기술명기술내용 1 게이트유전막 열산화막에의한게이트산화막대체분야 30 nm 이하의공정에는 3A 이하의 EOT(Equivalent oxide thickness) 가요구되나기존열산화막공정은두께감소로인한유전막의누설전류증가로더이상적용이어려움따라서높은유전도를갖는 Al2O3 와 HfO2 유전막의정밀제어가가능한 ALD 가기존공정을대체하고있음 2 게이트스페이서 3 커패시터 반도체소자가고집적화가됨에따라게이트채널길이감소길이감소에따라게이트특성도감속하고있는데이를저온에서이온주입층확산을방지해채널길이를일정하게유지할수있는 ALD 공정이많이적용되서사용중 미래의반도체시장을주도하기위해서는 20 nm 급이하의초집적화된소자제조공정기술의확보가매우중요 20 nm 이하공정의전극재료, 유전재료를증착하기위해선 ALD 공정이적극활용될것으로예상 4 금속 * 출처 : 최첨단반도체에서의 ALD 증착기술, 황철주 초고밀도미세회기술과새로운재료의사용이요구되는 30 nm 이하의고집적소자는금속전극및게이트전극등의증착기술에관한중요성이증대따라서단차피복성문제로기존의주를이루고있었던 PVD 방법이나 CVD 방법이문제가제기되고있고, ALD 로변화를추진 418

427 반도체화학소재 기존단점인생산성을보완하고, 미세화되는차세대반도체소자의특성손상및저하 문제를극복하고저온에서최고의막질을형성할수있는 ALD 장비개발진행 ALD 관련유망기술로는생산성을향상시키는플라즈마 ALD, 배치타입 ALD 기술및균일성및 정밀도를높이는샤워헤드, 저온원자층증착기술등이있음 번호기술명기술내용 플라즈마 ALD (plasma enhanced ALD) 배치타입 ALD 장치 (batch-type ALD apparatus) 종횡비 (high aspect ratio) 금속장벽층 (metal barrier layer) 샤워헤드 (shower head) 단차피복성 (step coverage) 두께균일성 (thickness uniformity) 밸브제어장치 (valve control device) 자기제한증착과정 (self-limiting deposition process) [ ALD 관련주요유망기술 ] 두번째원료공급시플라즈마를적용하여원료분해와첫번째원료와의반응을촉진하여전체증착주기를단축하는플라즈마 ALD(Plasma Enhanced ALD, PEALD) 방법 배치타입 (batch type) 으로한증착장비로여러장의웨이퍼를동시에처리하여장당평균처리시간을단축이가능한기술 높은종횡비 (Aspect Ratio) 에서 100% 단차피복성 (Step Coverage) 을충족시키는기술 우수한막질을지닌메탈층을활용한원자층증착기술 기판주위에자기장을걸어대면적균일성을증진시키는자기 ALD와원료를샤워헤드방식으로기판에고르게분사하는기술높이차이가나는부분들은균일하게증착하는특성으로복잡한 3차원구조도균일하게증착이가능한기술복잡한형상의 3차원구조에서도뛰어난균일도를지닌나노두께의박막증착이가능하여나노급반도체소자제조의필수적인증착기술 각공정주기의시간을최소화하여전체공정속도를증가시키는기술 반응물 - 표면의반응만일어나고, 반응물 - 반응물간의반응이일어나지않는반응으로원자단위로증착이가능한기술 10 저온원자층증착 (low temperature ALD) 식각공정이불필요하도록 ALD 공정의선택적증착특성을이용하여유연성폴리머인 PEN 기판상에 AZO 투명전극패턴을직접형성하는기술 * 출처 : 원자층증착장비, KC 리포트, 나노융합산업협력기구외 반도체소자의크기가감소함에따라반도체소자에사용되는박막은원자단위로제어되면서, 단차피복성이우수한특성을가져야하며, 또한계면에서확산과산화가일어나지않게하기위해서증착온도가낮은공정을요구 그결과향후반도체에사용되는박막은높은증착률의요구보다는매우정밀하고, 얇은박막이요구되기때문에 ALD 공정을위해서요구되는증착시간은문제가되지않고, 따라서반도체시장에 ALD 공정의수요가더높아질것으로판단 419

428 기술개발테마현황분석 CMP 슬러리 CMP(Chemical Mechanical Polishing) 는배선단차를낮추고평탄화하는공정 CMP 공정은 Platen위에굴곡이있는 Pad가있고그위에 CVD 공정을거친 Wafer를 Head라는장치에부착시켜 Wafer에압력을가하면서회전시키는방식 여기에 Slurry 용액이투하되면서 CVD 막질을화학적 (Chemical), 기계적으로 (Mechanical) 연마하는 (Polishing) 공정 자료 : 메리츠종금증권리서치센터 [ CMP 공정개념도 ] 1980년대말미국 IBM은기계적제거가공과화학적인제거가공을하나의가공방법으로 혼합한 CMP(Chemical Mechanical Polishing) 라는새로운연마공정을개발 CMP는 PECVD와 RIE 공정과함께 submicron scale의칩제조에있어서반드시필요한공정 ILD(Interlayer Dielectric, 층간절연막 ) CMP와 metal CMP는 디바이스 층의 모든 표면에서 계속적으로적용되어야하며, 3차원의형상정도를얻기위해서각층의광역적인평탄화를형성하는 것이 CMP의주된역할 CMP는 이러한 기계적인 작용과 화학적인 작용이 동시에 작용하여 서로 상호작용을 일으키는 연마공정 CMP 공정에서 웨이퍼는 패드와 슬러리에 의해서 연마되어지며, 패드가 부착된 연마 table은 단순한회전운동을하고 head부는회전운동과요동운동을동시에행하며일정한압력으로가압 헤드부의자체하중과인가되는가압력에 의해웨이퍼표면과패드는 접촉하게되고이접촉면 사이의미세한틈 ( 패드의기공부분 ) 사이로가공액인슬러리가유동을하여슬러리내부에있는 연마입자와패드의표면돌기들에의해기계적인제거작용이이루어진후슬러리내의화학성분에 의해서는화학적인제거를수행 CMP 공정에서 패드와 웨이퍼간의 가압력에 의해 디바이스 돌출부의 상부에서부터 접촉이 이루어지고이부분에압력이집중되어상대적으로높은표면제거속도를가지게되며, 가공이 진행되어갈수록이러한요출부는줄어들어전면적에걸쳐균일하게제거 기존의기계적인연마방식은가공변질층이형성이되는데이러한변질층은반도체칩상의결점이 되며 화학적인 연마는 변질층이 생성되지는 않지만 평탄화된 형상 즉 형상정밀도를 얻을 수가 없으며단순히평활한면을형성 420

429 반도체화학소재 * 출처 : 메리츠종금증권리서치센터 [ CMP 공정 - 평탄화 + 단차조절 ] CMP 슬러리는화학적기계적연마 (CMP) 슬러리는반도체소자를제조할경우웨이퍼상에형성된다양한배선의단차를낮추고평탄화하는공정에사용되는분말을의미 화학적기계적연마 (CMP) 슬러리는굴곡이있는웨이퍼면의평면성을향상시키고, 배선및박막의표면을균일하게하며돌출부를선택적으로제거하는연마슬러리로사용 현재가장많이사용되고있는것은 CeO2인세리아슬러리로서전체시장에서가장큰비중을차지 절연층 CMP 슬러리는반도체공정중 ILD(Interlayer Dielectric) 공정과 STI(Shallow Trench Isolation) 공정에사용 과거에는소자분리를위하여 LOCOS 공정을이용하였으나, 소자의고집적화가보편화되기시작하면서 STI공정이적용 * 출처 : Asahi Glass [ CMP 공정 - 평탄화 + 단차조절 ] STI 공정에서 CMP에의한평탄화를달성하기위해서는상부막으로사용되는질화막에대한선택비의엄밀한관리가요구 기종의평탄화용슬러리로사용되었던실리카슬러리는질화막에대한연마선택비가매우낮으며, 패턴농도에따라서사전에 etch back 공정을도입해야하는단점발생 위와같은추가공정에의해서선택비가감소하며모서리부분에서의 rasing 현상에의한문턱전압의증가를가져오는결과초래하여, 이와같은단점을극복하기위하여세리아를슬러리로적용 421

430 기술개발테마현황분석 Ceria Slurry는고유의화학적특성으로인하여좋은연마능과질화막에대한높은선택비를나타내고있는상황 기존 STI 공정에는수백나노의 Silica를 KOH용액에분산시킨용액으로절연막인 SiO2와화학반응을통해반도체막질을박리하는 Oxide CMP Slurry를사용 하지만최근에는 STI 전용 CMP Slurry인 Ceria Slurry가 Oxide CMP Slurry를대체 Ceria Slurry는 CeO2를계면활성제인첨가제와섞어사용 Ceria Slurry는 Nitride와 Oxide간선택비가매우높아원하는단차에서 CMP 공정을멈출수있는장점을보유 금속 CMP 슬러리는주로배선용인텅스텐, 알루미늄, 또는구리의인터커넥션재료의연마를위하여사용하며, 그밖에는컨택용금속과비아플러그형성시또는이중의상감공정 (damascene) 을위하여사용 텅스텐의화학적기계적연마시에는산화제가포함된슬러리를사용하며, 실리카, 알루미나가포함된슬러리는과산화수소, 질산철등과같은강산화제를혼합하여사용 텅스텐박막의화학적기계적연마과정은산화제에의한텅스텐박막의산화로형성된산화텅스텐을기계적인연마를수행함으로써진행, 이는산화텅스텐이텅스텐박막보다기계적인강도가현저하기낮기때문에가능 * 출처 : 한양대학교국가지정연구실 [ Ceria CMP Slurry Process ] 강산화제가포함된슬러리의경우는강력한산화반응에의한연마선택비가매우크게나타나므로표면의거칠기가증가하는단점이존재하며, 이러한단점을보완하기위해서는슬러리의농도에대한세밀한관리가필요 Cu의화학적기계적연마공정은이중상감공정을통해진보되었으나이공정을거치면서 Cu 박막의표면에많은결함들의발생을수반하게되어이에대한해결책제시가가장큰기술적이슈로등장 422

431 반도체화학소재 포토레지스트 포토레지스트 (Photoresist) 란노광에의한광화학적반응을이용하여포토마스크의미세패턴을반도체웨이퍼상에형상화할수있는감광성화학약품의지칭을의미 포토레지스트는빛에반응하여특성을변화시키는유기물로서반도체공정을위해소자패턴을구현하기위하여웨이퍼상에도포되는감광성물질을의미 노광시사용되는광원과패턴구조를형성하는방법에따라서다양한포토레지스트가존재하며, 노광후현상액에의해불필요한부분이제거되는특성을보유 포토레지스트는반도체를제조하기위해반드시거쳐야하는리소그래피공정에사용되는감광성유기물을의미 Lithography( 리소그래피 ) 란 litho( 돌 ) 과 graph( 그림, 글자 ) 를뜻하는말의합성어로석판화를그리는것으로어원을찾을수있으며, 오늘날의오프셋인쇄의근본이된기술로서, 1798년독일의 A. 제네펠더가발명 * 출처 : 포토레지스트기술동향, 한국과학기술정보연구원 [ 포지티브와네가티브포토레지스트 ] 현재반도체생산에사용되는포토리소그래피기술은미세회로를구현하고자하는기판위에포토레지스트가코팅된상태에서마스크를통해노광하는방식으로진행 1813년 N. Niepce (France) 가감광성을갖는천연 Asphalt를최초로발견하였음. 이후 1959년 Minsket al. 이위개념의합성감광성재료로폴리비닐계물질을논문에발표하였으며, Eastman Kodak사가 (KPR: kodak photo resist) 감광성수지의개발상품명을포토레지스트로명명하여이후포토레지스트라는용어가사용 포토레지스트는빛에의해경화되며, 노광후현상액에의해노출된부분이제거되는포지티브포토레지스트와반대로노출되지않은부분이제거되는네거티브포토레지스트로분류 423

432 기술개발테마현황분석 포토레지스트재료는광및전자선으로반응하는고분자화합물로 KrF 포토리소그래피용, ArF 포토리소그래피용, F2 포토리소그래피용, EB-EUV 리소그래피용레지스트가존재 KrF 레지스트는제막및현상을수계에서하는포지티브형레지스트가합성되어높은에칭저항성, 고해상도를보유 KrF 레지스트는스핀코팅후의고분자박막의건조, 가열시에탈탄산반응이일어나수불용의레지스트막이생성됨. 노광후의가열로보호기의탈리가일어나므로알칼리현상으로포지티브형패턴이됨 ArF 리소그래피용레지스트재료는방향환을함유하지않는것이기본구조로노보르넨 / 무수말레인산공중합체, 노보르넨 / 말레인산에스테르공중합체, 지환구조를측쇄로하는메타크릴레이트고분자를중심으로하는레지스트재료가포지티브및네가티브형으로연구중 EB-EUV 리소그래피용레지스트는화학증폭형및비화학증폭형의 EB 레지스트가연구진행중으로고감도, 고플라스마에칭내성을보유 포토레지스트는반도체소자의미세화에의해사용되는광원의파장이점차로단파장으로변화 포토레지스트는 436 nm의 g-line에서 365 nm의 i-line을거쳐서최근에는 248 nm 의 KrF 엑시머레이저와 193 nm의 ArF 엑시머레이저로광원이진화 나노크기의반도체소자의미세화가더욱진전됨에따라서포토레지스트는자외선을이용한 EUV까지광원의단파장화가진행 광원에따라포토레지스트의종류가결정되며, 점차로투과도가좋으며, 식각시저항성, 감도및해상도가좋은포토레지스트에대한요구가증대 KrF 포토레지스트는현재사용되고있는포토레지스트중가장큰비중을차지 이전에사용하던 g-line 또는 i-line 용포토레지스트에비해서변화된광강도의저하에따른손실을 보완하기위하여감도가높은화학증폭형레지스트를도입 KrF 용레지스트는광산발생제 (PAG, Photoacid Generator) 인감광제를포함하고있기때문에빛의흡수시산을발생시키고발생된산이촉매작용을통하여유기수지표면에수산기를발생시켜알칼리현상액에녹도록설계 하나의산이촉매작용을통하여다량의수산기생성반응을유도하므로 KrF 용레지스트는고감도및고대조비를갖는공정이가능하게되어미세패턴화를지원가능 ArF 포토레지스트공정은패턴미세화에따라서점차로 KrF 포토레지스트보다비중이커지고 있으며, 특히 Immersion 포토레지스트는그중요도가더욱증대 424

433 반도체화학소재 ArF 포토레지스트는 특히 제조공정중의 불순물 관리가 가장 중요한 부분이며, 모든 제조공정은 클린룸에서 진행하며 반드시 수십 nm 수준의 필터를 이용하여 여과과정을 거쳐서생산 미세패턴화를성공적으로구현하기위해서는 LWR(Line Width Roughness) 를억제해야하며그 편차는 10% 이내로조절 식각시공정마진을확보하기위해서식각내성을증가시킬수있는조성의변조를동반하고있으며, 패턴유지를 위해 도포두께가 점차로 감소되며, 보다 넓은 식각공정도를 확보하기 위해서 하드 마스크공정이도입 EUV용포토레지스트는삼성전자와 SK하이닉스의주문에의해네덜란드의 ASML 사가가장먼저상용화장비를도입하므로조만간현실화될가능성이매우높은상황 EUV 포토레지스트를사용한노광공정은 20 nm 급공정을위한가장적격한노광공정으로판단 현재 1 nm 급수준의광원으로는 EUV, X-ray, EB(Electron Beam) 등이연구진행중 * 출처 : 인사이트세미콘 [ EUV 포토공정개념도 ] 425

434 기술개발테마현황분석 (2) 기술환경분석 정부는반도체산업의고도화를위하여메모리반도체외에시스템반도체, 화합물반도체, 장비 재료산업의육성정책을적극추진 반도체산업부문에서기술개발, 인력양성, 시스템반도체및장비ㆍ재료산업육성등세부사업추진과더불어시스템IC 2010 사업의후속시스템반도체상용화기술개발 ( 시스템IC 2015) 사업의전략적추진으로시스템반도체분야글로벌역량을강화 (2014년, 산업통상자원부 ) 국내ㆍ외수요기업과의연계강화를통해국제경쟁력을갖춘장비ㆍ재료선도기업육성에 역점을두어진행 원천기술확보및국산장비ㆍ재료의신뢰성향상을위한 반도체장비원천기술상용화개발사업, 성능평가협력사업, 수급기업투자펀드사업 등의상생협력프로그램을통해육성 산업통상자원부는 '2017년반도체산업정책방향 ' 에서파워반도체와시스템반도체설계, 소재및공정, 인력양성등 4대분야에올해총 438억7000만원을투자한다고발표. 지난해예산 416억원보다 5.5% 늘었고, 235억원은신규로기획 파워반도체는신소재를이용해저전력을만드는것으로사물인터넷 (IoT) 가전, 전기자동차, 신재생에너지등저전력 고효율반도체에사용되며이에대한수요증가에대응하기위해원천기술개발하고상용화하는데 48억8000만원이투입 4차산업혁명에따른 IoT, 인공지능등차세대반도체수요가증가에맞춰유망시스템반도체등설계기술을개발하기위해서는 17년신규로 98억원편성 기업의원천기술확보와대학의연구역량강화를위해정부와기업공동투자로미래반도체소재 공정원천기술을개발하는데에는 220억원지원 정부는반도체협회내시스템반도체지원센터를설립하고스타트업부터해외진출까지맞춤형지원시행 최근산업통산자원부는 새정부의산업정책방향 보고에서 5 대신산업선도프로젝트를 우선착수할것으로발표 ( 17 년 12 월 ) 426

435 반도체화학소재 분야미래모빌리티사회전기 자율주행차초연결사회 IoT 가전에너지전환에너지신산업수명연장과고령화바이오 헬스 4차산업혁명두뇌와눈반도체 디스플레이 주요내용 20 년고속도로자율주행 22 년전기차보급 35 만대 빅데이터, 인공지능연계 IoT 가전기술개발 가전, 건설, 통신, 자동차, 의료등융합플랫폼구축 분산형발전확대를계기로에너지신산업창출 첨단전력인프라구축, 분산전원연관산업육성등 빅데이터 +AI 기반신약및의료기기 서비스개발 AI 기반스마트헬스케어핵심요소기술개발 후발국격차 5 년이상확보 ( 글로벌 No.1) 대규모적기투자및차세대기술확보병행추진 ( 차세대메모리 파워반도체, 플렉서블디스플레이등 ) 주력산업과신산업, 대기업과중견 중소기업, 수도권과비수도권이균형있게발전하는산업 기업 지역혁신등 3대분야혁신계획 후발국격차 5년이상확보 ( 글로벌 No.1) 를위해대규모적기투자및차세대기술확보병행추진 ( 차세대메모리 파워반도체, 플렉서블디스플레이등 ) 반도체 디스플레이부분에서는반도체장비 소재패키지개발추진, 반도체 디스플레이대기업-소재 장비 부품중견기업공동 R&D 추진 반도체부분의경우대기업생산라인을활용, 중소기업성능평가 검증지원, 대-중소기업소재 장비공동개발등지원, 중소기업전용반도체성장펀드 (2,000억원) 운용예정 중 장기인력수급전망을통해 5대선도프로젝트중심으로신산업분야석 박사급혁신인재육성추진 (6,000명, 22년 ) * 지능형반도체, 미래형자동차, 웨어러블스마트디바이스, 첨단센서, 임베디드 SW 등 18년 1분기까지업종별 기능별로세부이행방안을마련하여실질적성과창출에매진예정 중견기업비전 2280, 투자유치지원제도개선방안 과함께, 자동차, IoT 가전등분야별혁신성장이행방안수립추진 * 조선, 가전, 바이오 헬스, 로봇, 반도체 디스플레이, 철강, 화학, 섬유패션, 자동차 선제적첨단기술투자를통한초격차확보추진예정 427

436 기술개발테마현황분석 3. 기업분석 가. 주요기업비교 ALD 전구체 반도체박막재료분야는미세화의성공여부를결정짓는선도기술이므로진입장벽이높아 적용초기에진입하는것이유리한데, 그런측면에서국내메모리반도체시장은신규 개발하는소재들의진입에유리한환경 국외전구체시장은주로다국적화학소재기업이대부분을차지하고있으며, 이들은전구체 단일품목뿐만아니라반도체및디스플레이공정에필요한전반적인화학소재를생산하여 소자업체에공급 국외의경우미국의 Air Product사, ATMI사및 ADEKA, 프랑스의 Air Liquid사등에서전극재료, 고-저유전물및 SOD 관련된 CVD/ALD 전구체기술을, DuPont 사에서는저유전물관련전구체기술을강점으로확보 Air Liquide는산업용가스및전자재료글로벌기업으로하이-K( 고유전상수유전체 ), 기판공정 (FEOL) 및배선공정 (BEOL) 용금속, BEOL 용유전체등에사용되는 70여종의전구체포트폴리오를구성중 Adeka의반도체용화학물질들로는식각용가스, ALD/CVD 전구체등이있고고유전율, 페로일렉트릭, 전극, 배선, 저유전율물질등거의모든부분의 ALD/CVD 전구체물질들을보유 ATMI는박막재료및그와관련된 CVD 공정처리를위한진보된시스템과가스검출을모니터링하기위한생명안전시스템을제공하는회사로 1986년설립당시는 CVD 공정용반도체재료에중점을두고있으나현재 ATMI는미국, 유럽, 아시아에걸쳐반도체및평판재료공급업체 Dow Corning은실리콘을이용한사업확대를목표로 1943년다우케미컬과코닝글래스웍스의 50대 50 합작으로설립. 미국미시간주미들랜드에본사를두고있으며, 전세계에 41개의주요생산기지및물류시설이있는다국적기업으로현재실리콘산업의선두업체로실리콘소재제품공급능력을보유하고있으며, 실리콘화학을이용해접근하기용이한저유전율용 CVD 전구체에중점을두어관한연구, 제조및판매중 이외에영국의 Epi Chem 사는여러분야에서경쟁력있는기술을보유하고있으며, Sigma-Aldrich, 악조노벨등이전구체시장의성장과함께시장에진입각축 일본의경우는고순도화학이고유전막재료 CVD 전구체관련기술을보유하고있으며, Sumito Chemical 및 TOSOH 등에서도 CVD 전구체를생산 428

437 반도체화학소재 세계반도체전구체시장은일본, 미국등의소수기업이주도권을차지하고있으나, 최근 국내업체의시장진입도활발 국내증착소재업체에서우수한특성을지닌전구체의개발이활발히이루어지고있고, 또한 개발된소재의특성파악을위해여러가지평가기술과실제증착효율을극대화시킬수 있는공정개발도원활히진행중 국내의대표적 CVD 및 ALD 전구체기술보유기업은디엔에프와유피케미칼로 High-k & Low-k와배선을위한 Metal 전구체및 SOD(Spin on Dielectric) 분야에서국외에비해기술경쟁력을보유하고있으며, 한솔케미칼, 원익머티리얼즈등에서부분적개발이진행되고있음. 교육기관으로서는한양대학교, 인하대학교등에서부분적으로진행되며화학연구소또한소규모연구소를운영 특히, 디엔에프는 2003년부터국내 외반도체제조업체및장비업체와공동개발을시작하였고, 이를바탕으로 2005년 Al 배선재료를시작으로 Hardmask용 ACL 재료, Gap fill용 SOD 재료등의순서로제품을다각화. 2012년납품시작한 DPT 재료는현재까지높은시장점유율을유지중이고, 2013년하반기부터본격적으로공급시작한 High-k 전구체는 DRAM 제조공정의핵심인 Capacitor용재료로 DRAM 미세화적용중 2015년 SK그룹으로편입된반도체소재기업인 SK머티리얼즈가일본의트리케미칼과합작법인을만들고프리커서분야로사업을확장중인가운데일본트리케미칼사는반도체, 태양전지, 광섬유등에사용되는고순도화학물질을개발및제조, 판매하는업체이며, 반도체제조에가장많이쓰이는지르코늄계프리커서를세계최초로양산하는등높은기술력을보유 그러나아직까지반도체제조공정에서핵심요소기술력을좌우하는소재의국산화율은아직도 미국과일본에비해미흡한상황 중소기업입장에서의증착소재시장진입장벽을낮추기위해대량생산시스템의개발과개발된생산시스템에서생산되는제품을실시간으로진단하기위한측정기술이반드시필요하지만그수준이낮고지원전문연구자가부족하기때문에, 생산수율을향상시키고일정한품질의전구체를생산하기위한기술개발은아직까지미흡 국내전구체업체들의글로벌시장진입을위해증착소재의합성에대한기술노하우축적이 필요하고, 생산된화학증착소재의품질관리를위하여샘플링을하여연구소내에서 전구체의품질관리시스템을구축하여측정결과를분석및 DB 화요구되는실정 429

438 기술개발테마현황분석 구분 소재 High-K DPT/QPT HCDS 소재설명 해외기업 국내기업 두꺼운 Capacitor 에서높은 Capacitance 실현을위한고유전율물질 신공정 ( 저온공정등 ) 에적합한고반응성및고안정성의소재 Air Liquide, Air Adeka, Air Liquide Product 디엔에프, 유피케미칼, 솔브레인, 한솔케미칼 디엔에프, 유피케미칼, 한솔케미칼 [ 주요전구체분류별기업 ] 경쟁환경 SiN 증착 (LPCVD), SiO2 증착 (CVD, ALD) 에주로쓰이는공정소재 Air Liquide, Dow Corning, Evonik, Nova-Kem 디엔에프, 덕산테코피아, 한솔케미칼 SOH (Spin-on Hardmask) 포토레지스트하부에적용, 후속에칭공정에대한보호막역할수행 JSR 삼성 SDI SOC (Spin-on Carbon) 포토레지스트 하부에적용, 후속에칭공정에대한보호막역할수행 AZEM, Nissan Chem, Shin-Etsu 동진쎄미켐, 디엔에프, 영창케미칼 SOD (Spin-on Dielectric) 트랜지스터, 캐패시터, 셀간절연막으로사용되는소재 AZEM 동진쎄미켐, 디엔에프, 솔브레인 CMP 슬러리 텅스텐 CMP 슬러리는미국의 Cabot Microelectronics가시장점유율 1위로기술적인특허를대부분취득해국내기업의시장진입이쉽지않은상태이며, 대부분의금속 CMP 슬러리는미국 Cabot Microelectronics와일본히타치가시장을선점하고있어국산화가어려운상황 국내슬러리제조업체로는케이씨텍, 솔브레인, 동진쎄미켐, 삼성 SDI, LTCAM 등이있으며, 최근케이씨텍에서는세리아슬러리개발에성공해삼성전자에납품하고있고, LTCAM 에서는 Cu bulk 슬러리를개발하여 SK 하이닉스에납품중 국내슬러리제조업체는대부분중소, 중견기업으로삼성전자와 SK 하이닉스와의기술적 연계를맺고제품을개발하는경우가대부분 해외기술선도기업과의특허분쟁이일어나고있으며, 향후기술개발에있어특허전쟁이 일어날가능성이높음 430

439 반도체화학소재 [ 제품분류별기업 ] 구분 제품분류 주요품목및기술 해외기업 국내기업 연마입자 경쟁환경 연마막질 세리아실리카옥사이드텅스텐구리 고상법세리아, 액상법세리아, 초임계법세리아 3M, 케봇마이크로일렉트로닉스, 히타치, Versum materials 케이씨텍, 솔브레인, 동진쎄미켐, 흄드 (Fumed) 실리카, 콜로이달 (Colloid al) 실리카 3M, 케봇마이크로일렉트로닉스, BASF 삼성 SDI, 에이스나노켐, 동우화인켐 SiO 2 박막용, TEOS 박막용, SiN 4 박막용, STI 공정용, ILD 공정용 3M, 히타치, Versum materials 케이씨텍, 솔브레인, 동진쎄미켐, W 박막용고선택비슬러리, W 박막용저선택비슬러리, Via 공정용 BC, DC 막질용 케봇마이크로일렉트로닉스 삼성 SDI, 동우화인켐 Cu bulk 슬러리, Cu barrier 슬러리, CU damascene 공정용 BASF, 케봇마이크로일렉트로닉스 LTCAM 431

440 기술개발테마현황분석 포토레지스트 포토레지스트시장의주요공급자는일본의 Sumitomo Chemical, TOK, Shin-Etsu, JSR, FFEM 및미국의 Dow Chemical로구성 TOK공업은반도체 LCD 핵심소재인포토레지스트와미세가공분야선두권업체로반도체최첨단공정인불화아르곤 (ArF) 노광 ( 리소그래피 ) 용포토레지스트는세계 1위유지. 최신반도체공정인 ArF 엑침용노광기의포토레지스트를상용화했고, 곧상용화될차세대자외선 (EUV) 노광공정용포토레지스트도개발완료단계 JSR은광파장 248nm(KrF), 193nm(ArF), Immersion 노광용의고해상도 Photoresist, 고감도부터초고해상도까지폭넓은용도의 g선, i선 Photoresist등다양한요구에대응할수있는제품라인업구축. 2015년벨기에반도체연구기관 IMEC와 EUV 노광공정용 PR 양산을위해합작사 `EUV RMQC(Resist Manufacturing&Qualification Center NV)`를설립 국내공급자는지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이여의치못했으나 2012년이후점차로시장에진입하기시작 동진쎄미켐은국내유일의반도체포토공정용 PR(Photo Resist) 소재업체로 KrF PR이주력상품인가운데차세대 Bottom up 방식포토공정인 DSA 포토레지스트도개발진행중. DSA(Directed Self Assembly) 는고분자공중합체로특성이다른고분자간에자발적으로패터닝이되는구조 금호석유화학나노미터 (nm) 단위의반도체미세회로제작에필수적인고성능 193nm 파장용포토레지스트 (ArF Photoresist) 기술과세계 6위의생산능력을확보하고있음. 2015년에는비메모리임플란트포토레지스트기술개발및상업화에성공했고현재고집적평면반도체의한계를극복할수있는 3D 낸드메모리용포토레지스트제품을중점적으로연구중. 이를통해삼성전자와 SK하이닉스등고객과의협력관계를확대예정 [ 제품분류별기업 ] 구분 제품 주요품목및기술 해외기업 국내기업 KrF 포토레지스트 PFOS/PFAS 미사용 high aspect ration 구현열적안정성구비 GKR TDUR Fuji Film TOK JSR Sumitomo DOW corning Shinetsu AZEM 동진쎄미켐금호석유화학이엔에프테크놀로지 기업환경 ArF 포토레지스트 (immersion 포함 ) high throughput 고해상도넓은공정윈도우 GAR, FAiRS TARF Fuji Film TOK JSR Sumitomo DOW corning Shinetsu AZEM 동진쎄미켐금호석유화학 EUV 포토레지스트 고정밀해상동넓은공정윈도우 FEP, FEN Fuji Film TOK JSR Sumitomo DOW corning Shinetsu AZEM - 432

441 반도체화학소재 국내중소기업사례 화백엔지니어링은 DES, 반도체, 표면처리사업을필두로한반도체제작에필요한화학약품및소재제작기업 코미코는반도체공정에사용되는화학세정분야전문기업으로서 CVD, Sputter 등반도체전공정에대하여분야를확대하고있으며, LCD를비롯한 PDP, 유기 EL 등디스플레이사업으로진출중 덕산테코피아는반도체및디스플레이핵심소재로사용되는박막증착, 유기금속화합물을합성, 정제, 생산하여, 미세공정을요구하는다양한제품에사용되는초고순도 chemical 생산 에이스나노켐은대학민국유일반도체용콜로이달실리카상용화에성공한나노소재전문회사 유피케미칼은 21세기형반도체에사용할수있는배선재료, 유전체재료, 확산방지막및접착막증착용 Precursor 등의국산화개발성공한반도체화학재료기업 디엔에프는반도체, 디스플레이용전자화학소재개발에참여하고있으며, 기능성코팅제, 균일나노입자, 기타나노화학소재기업으로성장기반마련 [ 주요중소기업비교 ] ( 단위 : 백만원, %) 국내업체자산총계매출액 매출액증가율 영업이익율 당기순이익율 R&D 집중도 ( 주 ) 화백엔지니어링 11,780 5, ( 주 ) 코미코 81,157 44, ( 주 ) 덕산테코피아 57,490 19, ( 주 ) 에이스나노켐 15,352 9, ( 주 ) 유피케미칼 65,670 61, ( 주 ) 디엔에프 93,855 58,

442 기술개발테마현황분석 나. 주요업체별기술개발동향 (1) 해외업체동향 ALD 전구체 ALD(Atomic layer deposition) 전구체는주로 Air Liquide, Dow Corning, Evonik, Nova-Kem 등유럽및미국기업이기존화학물질에대한기술을기반으로특수화학물질분야로진출함에따라시장을선도 Air Product사와 Air Liquid사등에서반도체재료관련된 CVD/ALD 전구체합성기술을, DuPont 사에서는저유전물관련 CVD 전구체합성기술을강점으로확보하고있으며, Sigma Aldrich (SAFC), 악조노벨, 고순도화학, 트리케미칼재팬, 어드밴스드케미칼사등이전구체시장을형성. Air Liquide는 ALD 전구체를생산하는주요기업으로하이-K( 고유전상수유전체 ), 기판공정 (FEOL) 및배선공정 (BEOL) 용금속, BEOL 용유전체등에사용되는 70여종의전구체기술포트폴리오를보유 Air Liquide는현재 ALD 관련 30개에달하는신기술품목을글로벌반도체기업과협력을통해시험테스트를진행중 [ ALD 전구체 (DPT) 재료를이용한반도체하이케이캐패시턴유전막재료 ] ALD 전구체시장은소자의미세화가진전되면서기술적중요도가지속적으로확대되고있으며전구체는반도체제조에서매우중요한요소 반도체공정용전구체 (Precursor) 는 1) 원하는물성의박막형성, 2) 높은증착속도, 3) 우수한경제성 ( 높은공정수율 ), 4) 낮은기화온도에서의높은증기압, 5) 기화온도에서의열적안정성, 6) 작은화학적반응성, 7) 저독성, 8) 화학적고순도, 9) 경제적이고용이한합성방법 ( 높은합성수율 ), 10) 증착온도에서의완전한열분해등다양한조건을요구 공급업체의핵심경쟁력은박막의물성이해당공정에적합하도록메카니즘을화학적으로시뮬레이션하여실제공정장비에서적용이원활하도록설계하가필요 434

443 반도체화학소재 또소재의안정적공급을위한높은수준의합성및정제기술뿐아니라소자업체의공정변경에신속하게대응할수있는사후관리능력까지필요 따라서신규 Player의진입이매우까다로우며반면, 납품이개시될경우장기적으로안정된매출흐름을기대할수있는산업적특성보유 ALD 공정은주로전구체를사용하는데참고로전구체는 Si 나메탈원소에각종리간드 (Ligand) 를부착하여 CVD 공정에서증착 Gas 로활용하는물질를의미 즉전구체에있는각종리간드는최종적으로는떨어져나가고 Si나메탈만남게되어원하는물질로막질을증착 해당전구체로는 HCDS (Hexa-Chloro-Di-Silane), DIPAS (Di-Isoprophyl-Amino- Silane) 등이있으며결과적으로합성되는 Layer는 Si기반전구체지칭 HCDS는 SiN 증착 (LPCVD), SiO2 증착 (CVD, ALD) 에주로쓰이는전구체로공급기업은 Air Liquide, Dow Corning, Evonik, Nova-Kem, 디엔에프, 덕산테코피아, 한솔케미칼등이존재 DIPAS는 EUV 노광장비의지연으로 Double/Quadruple Patterning 필요에사용되는전구체로공급기업은 Air Liquide, Air Product, Evonik, Nova-Kem, 디엔에프, 유피케미칼, 한솔케미칼등이존재 * 출처 : 반도체산업, NH 투자증권 [ 전구체 ( 희생막용 ): HCDS] [ 전구체 (DPT 용 ): DIPAS] CMP 슬러리 CMP패드와더불어 CMP공정의핵심제품으로서히타치, 캐보트, 쓰리엠, 다우케미칼등의주요해외제조업체에서 STI CMP슬러리, 텅스텐 CMP슬러리, 구리 CMP 슬러리등을생산중 2012년 15개정도의슬러리공급업체가전체시장중약 9천만달러를차지하고있으며, 패드분야는 16개이상의공급업체가약 6천만달러의시장을확보하고있는것으로조사 그밖의부재로서는패드컨디셔너가약 2천 5백만달러를, PCMP 클리너는 7천 5백만달러를기록하는등대략화학적기계적연마 (CMP) 슬러리분야의총소요품시장은약 20억 4천만달러에이르는것으로조사 반도체집적도가높아지면서반도체웨이퍼회로의불필요한박막연마공정에서높은정밀도가요구되는소비추세변화 435

444 기술개발테마현황분석 차세대웨이퍼연마기술인화학적ㆍ기계적연마공정이최근메모리양산라인에까지확대 도입되고있는가운데이와관련한각종 CMP 소모품에대한국내외업체들의시장공략이 본격화진행 * 출처 : Chemical Mechanical Planarization, F.M Doyle, D.A Dornfeld, J.B Talbot [ CMP Slurry Application ] 최근주목받고있는 CeO2 입자를통한 Shallow Tranch 용 STI Ceria Slurry 주요생산기업으로는 Hitachi, Showadenki, Nitta Mitsui 사가존재 주로일본기업에의해시장이선도 Al2O3, Mn2O3, SiO2 입자를통한 W, Al, Cu 제거용금속슬러리주요공급업체로는 DOW chemical, Hitachi, Rodel, EKC, Sumitomo 사가존재 금속 CMP 슬러리는주로텅스텐, 구리등과같은배선용금속층에적용 이중상감공정에서의불량발생은주로금속찌꺼기의잔류, 스크래치의발생, 핀 - 홀의발생, 마이크로크랙의발생등으로분류되며이를해결하기위한슬러리의개발이요구 SiO2, ZrO2, Al2O3, Mn2O3 입자를통한산화막제거용절연층슬러리주요생산업체로는 Cabot, Fujimi, JSR, Sumitomo, EKC 사가존재 고집적반도체소자를구현하기위해서미세패턴화가진행되며이에따른노광조건이더욱까다로워지고있어표면의굴곡으로인한 focus fail 을극복하기위해서는화학적기계적연마공정이필수적 절연막으로사용되는 ILD 의경우는지나치게두꺼워질경우소자의신뢰성에문제를야기시킴에따라서이를해소하기위한절연층 CMP 슬러리가요청되는추세 집적도의증가로소자간의간격이더욱좁아지고있기때문에소자간의분리가매우중요한기술적난제로등장하고, 이러한문제를해결하기위해도입된 STI 공정은상부에잉여로증착된절연막을반드시제거 436

445 반도체화학소재 최근용도가확장되고있는 Ceria 슬러리와함께반도체제조사들의구리배선공정도입으로인하여연마용슬러리시장은폭발적으로성장할것으로예상되며, 특히 Cu 및 Cu barrier 슬러리의경우는매년높은수요가유지될것으로전망 슬러리분야는주로 Cu 와 Cu barrier 가전체시장의절반정도를차지하고있으며, 텅스텐이 20% 내외를 STI 와절연막에대한슬러리가나머지를차지하고있는구도 STI 연마용슬러리와 Cu 용슬러리는지속적으로그시장이성장하는반면에텅스텐용과 ILD 용은시장의확장세의변화가정체 실리카슬러리분야는고급제품을중심으로미약하나마시장이조금씩재생되고있지만여전히슬러리분야는세리아를기본으로하여다양한응용들이도입중 슬러리시장에새로운공급자가등장하거나기존의공급자들이퇴출되는현상은거의발생하지않은상황 포토레지스트 포토레지스트주요해외제조업체로는 JSR, TOK, 다우케미칼, 신에츠등이있으며, 현재가장미세한광원파장용제품인 193 nm용 ArF 포토레지스트및이머전 ArF 포토레지스트등의제품을생산중임 포토레지스트산업은반도체소자의기술개발조건에매우민감하게적용 반도체소자는집적도및성능개선을위해서끊임없이패턴의미세화를추구하며, 관련공정이가능한광원의개발과함께포토레지스트도개발중 상기한이유로포토레지스트시장의주공급업체는반도체산업의초기부터시장에진입한업체들을중심으로시장을선도 Fuji Film, TOK, JSR, Sumitomo, DOW corning, Shinetsu, AZEM 등의주요업체가포토레지스트관련소재, 제품서비스를공급중 248 nm 노광용 PFOS/PFAS 미사용, high aspect ration 구현, 열적안정성구비, GKR, TDUR이가능한 CDN/STB/ 기간망 KrF 포토레지스트제품생산중 193 nm 노광용 high throughput, 고해상도, 넓은공정윈도우, GAR, FAiRS, TARF이가능한 ArF 포토레지스트 (immersion 포함 ) 제품생산중 1 nm 이하급광원용고정밀해상동, 널은공정윈도우, FEP, FEN이가능한 EUV 포토레지스트제품생산중 437

446 기술개발테마현황분석 포토레지스트시장은반도체패턴미세화공정기술의진보와함께발전하고있지만현시점에최대수익을얻는반도체소자및 LCD 의수요에가장크게의존 LCD 산업에서요구되고있는포토레지스트의제품그레이드는반도체공정에서요구되는그레이드보다낮지만물량면에서는매우큰시장을형성 따라서공급자들의주력으로는 KrF 포토레지스트를중심으로시장을유지 포토레지스트시장은반도체소자의지속적인시장수요가존재하므로그규모는점차로확대 성장할것으로전망되고있으며, 포토레지스트의종류별로는현재의 KrF 포토레지스트에서 점차로 ArF Dry & Immersion 포토레지스트로변화할것으로전망 현재 KrF 포토레지스트시장은일본의 TOK 와 Shin-Etsu 가시장의 60% 이상을미국의 Dow 가 25% 정도를확보하고있어 3 개의공급사가전체시장의 4/5 이상을장악 ArF 포토레지스트는주로 40 nm 이하의미세공정기술을적용한반도체소자의제작에사용 ArF 포토레지스트는 ArF Immersion 포토레지스트와함께동반성장중 패턴의미세화가더욱진행될수록 ArF Immersion 포토레지스트는그수요가더욱증가할것으로예상 ArF 포토레지스트는현재 Immersion과 dry 제품 2가지를합쳐서 KrF 포토레지스트시장의절반규모를차지하고있으나금액기준으로는 ArF 포토레지스트의시장이더욱빨리성장하는것으로판단 서브 20 nm 크기의광원을이용한소자제작을위한광원은 EUV, X-ray lithography 또는 전자빔리소그래피를활용하여이용가능 (2) 국내업체동향 ALD 전구체 다품종생산이필요한전구체시장에서우리나라업체들의공격적인진입이두드러지고국내업체들로는한솔케미컬을위시하여디엔에프, 덕산테코피아, 등이고유전율박막용전구체, 금속배선용전구체등을생산 반도체벨류체인중전구체소재는공정장비보다국산화가늦은현재시장진입초입단계 반도체미세공정전화기술의발전단계에서소재에대한의존도보다장비에대한의존이더높아공정장비의국산화가더빠르게진행 438

447 반도체화학소재 국내는 2008년부터 ACL(Amorphous Carbon Layer) 공급해온디엔에프가독보적인지위를보유 디엔에프사는 2007년설립하여 2013년까지 300억원의매출을기록 반도체전구체시장의높은진입장벽으로인해수년간퀄리피케이션테스트및양산적용하는데오랜기간이소요되어예상보다낮은매출을기록 현재꾸준한 R&D 투자로 DPT 전구체, HCDS 전구체, High-K 전구체를생산하고있으며이를기반으로 2014년에만 616억원의매출을기록 국내반도체업체 ( 삼성, 하이닉스등 ) 의 NAND FLASH 메모리의구조적변화에따른전자재료의사용량증가 DPT( 더블패터닝 ) 용전구체는미세패턴구현을위한핵심재료로, DPT를사용하는 Layer 증가, DPT에서 QPT( 쿼드러플패터닝 ) 으로의전환에따라전구체사용량은지속적으로증가하고있는추세로매출의절반을차지 HCDS( 헥사클로로디실란 ) 제품은공정의온도가비교적낮기때문에 Low-K로불리며, 일반적으로 SiN( 실리콘질화막 ), SiO( 실리콘산화막 ) 형성용전구체로 DRAM 및 NAND Flash용절연체로사용되어 3D NAND 전환및적층수증가에따라판매증가예상 High-K제품은 DRAM 메모리의캐패시터 ( 콘덴서 ) 유전막으로사용됨. 전하를일시적으로저장하는캐패시터를둘러싸고전하를흘러나오지못하게하는역할을수행하는데 20~30nm 미세공정용전구체를 2013년 3분기부터주요고객사에공급 향후 IoT 시장성장, SSD 수요증가에따른데이터처리속도및탑재용량확대로메모리반도체시장성장은가속화될전망이며이로인한 DRAM 업황개선및 3D NAND 투자본격화로동사주력제품들에대한수요증가가예상 디엔에프외 ALD 전구체진입주요기업은한솔케미칼, 원익머트리얼즈, SK머티리얼즈, 유피케미칼, 덕산테코피아, 솔브레인, SK트리켐, 메카로, 오션브릿지등이존재 이중원익머트리얼즈, 덕산테코피아, 솔브레인은기업지분인수를통해외형확대를시도 하지만 ALD 전구체시장의각종테스트및높은진입장벽과퀄리피케이션테스트등으로인해디엔에프의독보적지위는최소 2~3년간유지될것으로예상 전구체시장이확대되고전망이매우밝을것으로예상되면서 SK에서일본의트리케미칼과함께 SK트리켐을설립하였음. CMP 슬러리 국내 CMP 슬러리제조업체로는제일모직, 케이씨텍, 솔브레인, 동진세미켐등이있으며 STI CMP슬러리, 텅스텐 CMP슬러리를주요제품으로개발하여생산중이나아직미세배선용구리 CMP슬러리는기술개발이필요함 산화막제거용절연층슬러리는제일모직, 케이씨텍, 네패스, 동진세미켐등국내제조기업이존재 439

448 기술개발테마현황분석 W, Al, Cu 제거용금속슬러리는동진세미켐, 금호석유화학등에의해생산중 Shallow Tranch 용 STI용슬러리는케이씨텍, 삼성코닝등의국내기업존재 이외제일모직은 Fumed Silica 금속연마용슬러리를개발중이며특징으로는 Fumed silica용슬러리를생산중 비메모리생산라인에주로사용되던 CMP 기술이최근들어메모리생산라인으로까지확대 채용됨에따라 CMP 장비와함께향후급성장할것으로예상되는연마포 ( 패드 ) 와 연마액 ( 슬러리 ) 등 CMP 관련소모품시장선점을위한업체간경쟁도치열 최근국내반도체시장은메모리시장의규모에비해비교적규모가작았던시스템 LSI 공정분야에서도소자의패턴미세화가진행됨에따라서소재국산화에대한요구가급증 삼성전자시스템 LSI사업부는지금까지미국쓰리엠이독점공급하던특수세리아 CMP 공정을최근범용공정으로전환, 이는국내전문중견ㆍ중소기업이반도체슬러리시장에확대진입할수있는기회가될것으로예상 포토레지스트 포토레지스트주요국내제조업체로는동진세미켐, 이엔에프테크놀러지, 테크노세미켐, 금호석유화학등이있으며, ArF포토레지스트및이머전 ArF포토레지스트등의제품을국산화하여생산중 국내제조업체는지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이여의치못했으나 2012년이후점차로시장에진입하기시작 국내기업은 KrF, ArF 포토레지스트관련생산, 판매한실적은보유하고있으나신기술인 EUV 관련실적은없는것으로조사되어 EUV 포토레지스트의경우아직국내기업이점유또는진출하지못한분야로분석 국내기업은 248 nm 노광용 PFOS/PFAS 미사용, high aspect ration 구현, 열적안정성구비, GKR, TDUR이가능한 CDN/STB/ 기간망 KrF 포토레지스트제품을동진세미캠, 금호석유화학등에서생산중 193 nm 노광용 high throughput, 고해상도, 넓은공정윈도우, GAR, FAiRS, TARF이가능한 ArF 포토레지스트 (immersion 포함 ) 제품은금호석유화학, 동진세미캠만이제품의일부분을 SK하이닉스에공급하는정도에그치고있으나국내시장이상당한규모를가지고있으므로조만간더많은생산업체들이시장에참여할것으로예측 440

449 반도체화학소재 4. 기술개발현황 가. 기술개발이슈 반도체산업에서 DRAM 공정난이도증가, V-NAND 적용등으로 Pattern이복잡해짐에따라보다섬세한증착공정인 ALD의사용비중이증가할것으로전망. 이외에 Cross-point 메모리소자와같은뉴메모리 (RRAM, PCRAM, STT-MRAM 등 ) 도개발되면서메모리소자의종류와구조가다양해지고있음 * 출처 : NH투자증권리서치본부 3D NAND 단수가 48/64단으로부터 70~90단으로확대될것으로판단 (2018~2019년에는 100단이상으로단수가높아질것으로예상 ) 증착 / 에칭및 CMP 공정난이도및스텝수증가 (2D NAND 대비 CVD는 2.5배, CMP는 3배이상공정스탭증가, 고단화될수록전세대제품대비 30% 수준의공정증가 ) DRAM의경우 1x nm 이후 1y nm 도입까지상당시간이소요될것으로예상되는데 Capacity의 Aspect Ratio를높이고전구체물질개발필요 Logic은 7 nm 공정부터 EUV(Extreme Ultraviolet) 를도입할것으로예상되며 FinFET 공정난이도는확대 * 출처 : LAM Research, NH 투자증권리서치본부 [ EUV 도입시점 : 로직및메모리 ] 441

450 기술개발테마현황분석 현재 DRAM을생산하는주요기업의주력미세공정은 25 nm으로. 25 nm DRAM 의커패시터유전막의두께는 3A 수준으로원자층 2개정도두께 25 nm DRAM 커패시터유전막공정에는이미 ALD가적용되고있으며향후. 20 nm 이하공정에서는일부 Oxide나 Nitride 절연막등에도 ALD가확대적용이예상 DRAM의경우공정미세화에따른 Aspect Ratio 증가가전망되며이로인해전구체수요확대가전망됨. DRAM 공정미세화에따라캐피시터공간을늘려야하는데, 그러기위해서는더높게쌓아야하기때문임. 다른방법으로는고유전체와같은새로운물질개발이필요하여, 이에맞는전구체를검토하고있음. Logic 및 DRAM 미세공정난이도증가에따라 EUV 도입전망. 그렇지만 DPT, QPT와같은 Multi Patterning 공정은 EUV와함께사용될것으로예상 - 삼성에서는 QPT(Quadruple Patterning Technology) 를이용한 10 nm 급의미세패턴을통한 DRAM을개발 생산 - 이후 10 nm 이하의공정에도 DPT(Double Patterning Technology)/QPT와 EUV 기술사용예상 EUV용포토레지스트개발필요및사용량증가전망 로직의경우도 10 nm급에서는 3D FinFET 공정을사용하지만 10 nm 이하에서는 EUV기술에 DPT나 QPT를적용하여저전력설계를진행하고있으며, Gate내유전율을높이기위해 High-K 전구체수요도증가할전망. 2020년까지는 FinFET 구조에나노와이어를추가할것으로예상됨. 이후 Gate all around 및수직나노와이어방식등의적용이예상됨 * 출처 : ASML, NH 투자증권리서치본부 * 출처 : Applied Materials [ 로직공정 ] 3차원구조에서도균일한증착도를보이는 ALD 공정의특징에따라 3D NAND 반도체에도 ALD 적용공정이확대될것으로예상 3D NAND는레이어를여러번증착하고채널을형성하기위해홀을에칭하는공정으로구성되어있는데형성된소자들을연결하는워드라인은금속을증착해서생산 3D NAND는단수가올라갈수록홀의종횡비가올라가식각이어려워지고컨택과라인형성을위한미세한금속증착도요구 442

451 반도체화학소재 3D NAND의경우 Control Gate로메탈인텅스텐을사용하기때문에텅스텐 CVD 공정확대가예상되며이에따라전구체인 WF6 역시사용량이증가할전망 따라서 2016년시작된 48단공정에서는기존에 CVD를사용했던여러공정들이 ALD로대체되었으며향후 64단이후공정은난이도가더욱증가해 ALD의비중이더커질것으로전망 * 출처 : ASMI, 미래에셋증권, Solid State Tech. 이와같이 ALD는다중패턴 (DPR, QPT), 3D NAND, 로직 (FinFET) 등에사용되고있으며, 최근에는특정부분에만선택적으로박막을필요로하는 선택적영역증착 (Selective Area Deposition) 에서각광받고있음 다중패턴 : 증착된막은최종패턴의임계치를의미하기때문에매우균일하고평평해야함. 이에원자층수준의증착이가능한 ALD가유용하게사용됨 3D NAND: 3D NAND의 3차원구조는미세공정을제어할수있는고도의기술을필요로함. ALD는 3D NAND의홀측면에절연막을형성함으로써미세공정을효과적으로제어함. 특히금속 ALD는대체게이트단계에서좁고평평한측면에워드라인 (Word Line) 을채우는데활용. 로직 (FinFET): FinFET 공정에서트랜지스터게이트측면에 ALD를이용해 3차원핀구조에서컨트롤게이트를분리시킬수있는얇은스페이서층을증착. 선택적영역증착 (Selective Area Deposition): 최근에는특정부분에만선택적으로박막을필요로하는 선택적영역증착 분야에서원자층증착법이각광받고있음. 이에많은연구자들이원하는위치에절연막과금속막을증착할수있는방법을개발하고있으며, 이는새로운패터닝기술이될것으로기대됨 443

452 기술개발테마현황분석 * 출처 : RAM Research Tech Briefing 온도에민감한 Flexible 및 OLED 공정에도적용가능 ALD 공정을통한금속을포함한원료와반응가스를교차하며주입해원자단위로박막을성장시켜. 균일도가높고치밀한박막을넓은면적으로성장시킬수있고유기물을분자층단위로성장시키는분자층증착 (MLD) 기술과함께사용하면낮은온도에서도다층의수분 산소투과방지막을형성가능 ALD 공정은유기발광다이오드176(OLED) 박막봉지공정에도입하여유기물을수분과산소로부터차단하는효과가증대되어플렉시블 OLED 패널수명과성능향상예상 최근에는태양전지, 연료전지, 이차전지, 촉매등의분야에서도 ALD 공정을이용하여 기존보다우수한특성을보여주어관련산업분야에서도추후 ALD 공정을적용할것으로 예상 QPT, 3D NAND, FinFET등반도체 3차원구조로의변화로 CMP공정의중요성이점차확대 QPT, 3D NAND, FinFET등반도체 3차원구조로의변화로 CMP공정중요성이확대 CMP는 CVD공정다음스텝으로 CVD공정이늘게되면자연스럽게 CMP공정도증가 CVD로막질을형성하게되면 CMP로평탄화하거나단차를낮춰야하기때문. 3차원구조는 CVD공정스텝이증가하기때문에 CMP 스텝도증가 또한수십 Layer를형성해야하기때문에 CMP로단차를낮추는수요증가예상 특히 3D NAND에서는 Oxide Buffing 공정과텅스텐 CMP 공정이확대되고 CMP 소재인슬러리역시사용량이증가할전망 (Oxide Buffing CMP 및텅스텐 CMP 공정증가 슬러리사용량확대 ) 444

453 반도체화학소재 나. 특허동향분석 반도체화학소재특허상주요기술 주요기술 반도체화학소재는공정소개기술에있어서 CMP 용소재기술, Gas (Dry 공정 ) 소재기술, Hard Mask 소재기술, Precursor 소재기술로구분되고, Anti-reflection Coating(ARC) 소재, resist 소재로분류됨 분류요소기술설명 공정소재 노광소재 CMP 용소재 Gas (Dry 공정 ) 소재 Hard Mask 소재 Precursor 소재 Anti-reflection Coating(ARC) 소재 resist 소재 CMP 슬러리, 연마패드등 CMP용소재기술반도체공정중증착공정후쳄버내부잔류물제거용가스, 식각공정용가스, 증착공정용가스등반도체공정에사용되는 특수가스소재기술 3D 낸드플래시와같이 On Stack이계속증가함에따라다층 절연막의식각등에견딜수있는고선택비하드마스크형성 기술등하드마스크소재기술금속 ALD용전구체, 고안전성및고반응성 Si 전구체등전구체 소재기술 CVD 공정에서사용되는 SiOxNy, α-carbone 등무기 ARL, 스핀 코딩공정에서사용되는 Novolac Resin 등유기 ARC 등노광 소재기술포지티브형레지스트, 네거티브형레지스트, 광원별레지스트등 포토레지스트소재기술 세부분야별특허동향 주요기술별국가별특허동향 반도체화학소재의요소기술별주요국가별특허정보데이터입수하였으며, 최근 10 년간의 특허데이터를비교분석함 분류요소기술한국미국일본유럽계 공정소재 노광소재 CMP용소재 Gas (Dry 공정 ) 소재 Hard Mask 소재 Precursor 소재 Anti-reflection Coating(ARC) 소재 resist 소재대면적 Working Size 소자접합기술 합계 ,254 국가별요소기술별특허동향에서공정소재기술분야는미국이가장많은비중을차지하고있으며, 유럽이상대적으로적은출원량을보유하고있음, 노광소재기술분야도미국이가장많은특허출원을나타내고있으며, 유럽이상대적으로적은 특허출원을보이고있음 445

454 기술개발테마현황분석 주요기술별출원인동향 세부분야 요소기술 기술집중도 주요출원인 국내특허동향 공정소재 CMP용소재 Gas (Dry 공정 ) 소재 Hard Mask 소재 Precursor 소재 GLOBALFOUNDRIE S TAIWAN SEMICONDUCTOR MICRON 대기업중심에스케이하이닉스, Lam Research, TAIWAN SEMICONDUCTOR, 서울대학교, 한국생산기술연구원등 노광소재 Anti-reflection Coating(ARC) 소재 resist 소재 GLOBALFOUNDRIE S TAIWAN SEMICONDUCTOR MICRON 대기업중심에스케이하이닉스, Tokyo Electron, TAIWAN SEMICONDUCTOR, 서울대학교, 연세대학교등 공정소재기술분야주요출원인동향 공정소재기술분야는 GLOBALFOUNDRIES가가장많은특허를보유하고있으며그다음으로는 TAIWAN SEMICONDUCTOR, MICRON 등이많은특허를보유하고있는등미국회사들이주류를이루고있음 노광소재기술분야주요출원인동향 노광소재기술분야는 GLOBALFOUNDRIES가가장많은특허를보유하고있으며그다음으로는 TAIWAN SEMICONDUCTOR, MICRON 등이많은특허를보유하고있는등미국회사들이주류를이루고있음 반도체화학소재분야의주요경쟁기술및공백기술 반도체화학소재분야의주요경쟁기술은공정소재기술이고, 공백기술은노광소재기술로나타남 반도체화학소재분야에서공정소재기술분야가경쟁이치열한분야이고, 노광소재기술분야가아직까지출원이활발하지않은공백기술분야로나타남 세부분야요소기술기술집중도 공정소재 노광소재 CMP용소재 Gas (Dry 공정 ) 소재 Hard Mask 소재 Precursor 소재 Anti-reflection Coating(ARC) 소재 resist 소재 : 50 건이상, : 30~49 건, : 20~29 건, : 10~19 건, : 10 건미만 446

455 반도체화학소재 최신국내특허기술동향 분류요소기술최근핵심요소기술동향 공정소재 노광소재 CMP용소재 Gas (Dry 공정 ) 소재 Hard Mask 소재 Precursor 소재 Anti-reflection Coating(ARC) 소재 resist 소재 텅스텐등금속원자층에칭용가스소재기술 증착공정용화학물질전구체소재기술 초박막원차층초정밀증착용가스소재기술 패턴붕괴방지용표면활성입자기반포토레지스트소재기술 탈가스감소및대역외방사선흡수를위한신규포토레지스트첨가제소재기술 국내특허동향을살펴보면모든기술분야가대기업중심으로연구개발하고있으며, 일부공공연구기관에서도연구개발하고있는것으로나타남 공정소재기술분야에서대기업중심으로연구개발이집중되고있으며, 텅스텐등금속원자층에칭용가스소재기술, 증착공정용화학물질전구체소재기술, 초박막원차층초정밀증착용가스소재기술등을연구개발하고있음 노광소재기술분야도대기업중심으로엘지이노텍, 에스케이하이닉스, Tokyo Electron, TAIWAN SEMICONDUCTOR 등이집중적으로연구개발하고있으며, 패턴붕괴방지용표면활성입자기반포토레지스트소재기술, 탈가스감소및대역외방사선흡수를위한신규포토레지스트첨가제소재기술등을연구개발하고있음 중소기업특허전략수립방향및시사점 반도체화학소재분야의공백기술분야는노광소재기술로나타남 반도체화학소재분야는연마공정, 포토리소그래피공정등의다양한반도체제조공정상에서유용하게사용될수있음 대규모의장치투자가들어가는분야는아니지만최종수요자가국내외소수의반도체생산업체이기때문에중소벤처기업의시장진입이쉽지않은분야이지만, 다양한소재분야에대한연구개발및특허확보전략의방향으로판단됨 향후중소기업은상대적으로경쟁이치열하지않은노광소재분야에있어서공공연구기관의기술을이전받거나공동으로연구개발하여제품화하는특허전략을수립하는것이바람직할것으로사료됨 특히공정소재관련기술은서울대학교, 한국생산기술원등과, 노광소재기술은서울대학교, 연세대학교등과협력하여기술도입또는공동으로연구개발을추진하는것을우선적으로고려해볼수있을것으로판단됨 447

456 기술개발테마현황분석 5. 연구개발네트워크 가. 연구개발기관 / 자원 (1) 연구개발기관 ALD 전구체개발기술분야주요연구개발기관 한국화학연구원박막재료연구센터 ( 센터장정택모 ) - 주기율표상의다양한 ALD 전구체개발연구를진행하고있으며, 이와함께개발한전구체의 ALD 공정개발연구와이를이용한다양한기초적인소자화연구도진행하여 ALD 전구체설계, 합성, 특성분석, 공정등전반적인기술개발연구를진행 - 관련중소기업과의협력을통한기술지원 한국표준과학연구원 - ALD 전구체의증기압을측정할수있는증기압측정장치를개발 - 진공기술에대한교정및시험 (ALD 공정및장비개발기술지원 ) 디엔에프 - Barrier Metal, Electrode Material, Gap Fill Material, High-k, Low-k, Metallization Metal 등의반도체소자내핵심소재 ( 전구체 ) 를개발및납품 - Wafer Patterning 공정에사용되는 Etch Hard Mask Film, DPT Material, PRAM GST용 Material 등을개발및납품 유피케미칼 - TMA, TEMAH, TEMAZr, MPA 및 TiCl 4 등의다수제품을국내외반도체양산라인에공급 - 차세대반도체용전구체화합물개발 한솔케미칼 - CVD/ALD에사용되는다양한실리콘및금속전구체생산 원익머트리얼즈 - 반도체용 CVD/ALD 전구체및특수가스개발및생산 오션브릿지 - CVD/ALD에사용되는 Si, Ti, Zr, Hf 등의전구체생산및납품 메카로 - MOCVD, ALD 공정용박막증착에사용되는전구체생산 SK머티리얼즈, SK트리켐 - Zr, Si 전구체생산 448

457 반도체화학소재 CMP 슬러리개발기술분야주요연구개발기관 한양대학교첨단반도체소재 / 소자개발연구실 ( 박재근교수 ) - 나노스케일 ZrO 2 기반 W CMP 슬러리개발연구 - nano-wet ceria 슬러리개발연구 케이씨텍 - 다양한입자크기를갖는 Ceria(CeO 2 ) 슬러리및 Additive 생산 - W CMP 슬러리, Cu barrier metal 슬러리생산 솔브레인 - ILD/IMD, STI/SOD, W, Cu 슬러리생산 동진쎄미켐 - 다양한 CMP 슬러리생산 에이스나노켐 - ILD, IMD, STI, Buffing용 CMP 슬러리생산 LTCAM - Bulk Cu CMO 슬러리생산 포토레지스트개발기술분야주요연구개발기관 인하대학교재료합성연구실 ( 이진균교수 ) - EUV와 E-beam lithograph용레지스트개발연구 동진쎄미켐 - 포토레지스트생산 금호석유화학 - ArF 및 KrF 포토레지스트생산 이엔에프테크놀로지 - ArF 포토레지스트용핵심원료 ( 모노머, 폴리머 ) 생산 동우화인켐 - g&i-line 레지스트와 Krf, ArF 포토레지스트생산 영창케미칼 - Bump, i-line, KrF, transparent, TSV thick 포토레지스트생산 449

458 기술개발테마현황분석 (2) 연구개발자원 정부지원프로그램 정부는반도체산업의고도화를위하여메모리반도체외에시스템반도체, 화합물반도체, 장비 재료산업의육성정책을적극추진 반도체산업부문에서기술개발, 인력양성, 시스템반도체및장비ㆍ재료산업육성등세부사업추진과더불어시스템IC 2010 사업의후속시스템반도체상용화기술개발 ( 시스템IC 2015) 사업의전략적추진으로시스템반도체분야글로벌역량을강화 (2014년, 산업통상자원부 ) 국내ㆍ외수요기업과의연계강화를통해국제경쟁력을갖춘장비ㆍ재료선도기업육성에역점을두어진행 원천기술확보및국산장비ㆍ재료의신뢰성향상을위한 반도체장비원천기술상용화개발사업, 성능평가협력사업, 수급기업투자펀드사업 등의상생협력프로그램을통해육성 산업통상자원부및중소벤처기업부에서는다양한사업 ( 산업핵심, 소재부품, 산학연협력, 특구육성사업등 ) 을통해전구체관련중소기업의전구체개발연구를지원 중소기업대상시설및장비지원 중소벤처기업부에서는대학및연구기관이보유한첨단연구장비를공동활용할수있도록지원하는연구장비공동활용지원사업을운영 - 중소벤처기업부산하중소기업기술정보진흥원을통해대학및연구기관이보유한연구장비를소프트웨어의중소기업공동활용을지원하여국가장비활용도제고와중소기업기술경쟁력향상을도모 - 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수있는인프라를제공하며, 뿌리기업의애로사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형현장밀착지원을수행하며효율적인지원체계운영 - 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 - 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 - 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 - 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 450

459 반도체화학소재 * 출처 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 - 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 - 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양성교육을진행 * 출처 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 - 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 - 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 451

460 기술개발테마현황분석 나. 연구개발인력 ALD 전구체개발기술분야는한국화학연구원에서주로연구개발을진행하고있으며, 이외에 한양대학교, 인하대학교등에서부분적인연구를진행 한국화학연구원을제외한대부분의 ALD 전구체인력은 ALD 전구체회사에집중되어있음 이에반해 ALD 공정의경우에는많은학교및출연연등에서꾸준하게연구하고있음 [ ALD 전구체및공정개발기술분야주요연구인력현황 ] 연구부분 기관 성명 직급 ALD 전구체개발 한국화학연구원 정택모 센터장 / 책임연구원 ALD 전구체개발 한국화학연구원 김창균 책임연구원 ALD 전구체개발 한국화학연구원 박보근 책임연구원 ALD 공정개발 한양대학교 박진성 교수 ALD 공정개발 서울대학교 황철성 교수 ALD 공정개발 세종대학교 이원준 교수 ALD 공정개발 한양대학교 전형탁 교수 ALD 공정개발 연세대학교 김형준 교수 ALD 공정개발 영남대학교 김수현 교수 CMP 슬러리소재개발 한양대학교 박재근 교수 포토레지스트소재개발 인하대학교 이진균 교수 452

461 반도체화학소재 다. 기술이전가능기술 (1) 기술이전가능기관 ALD 전구체요소기술은크게재료, 공정, 장비총세개의분류로구분되며그중 재료에서는금속 ALD 용전구체, 확산방지막및전극용전구체, 하드마스크용전구체, Gap-Fill 용전구체, DPT QPT 용전구체, 커패시터및게이트용 High-k 전구체등이있음 ALD 전구체개발기술이전이가능한기관은한국화학연구원등이있음 [ ALD 전구체기술및연구기관 ] 분류요소기술개요기관 금속 ALD 용전구체소재기술 배선재료, 확산방지막재료, 게이트금속재료등의전도성소재를전도성박막위에만선택적증착하기위해사용되는전구체소재 한국화학연구원 재료 커패시터및게이트용 High-k 전구체소재기술 DRAM 커패시터및게이트에사용되는 high-k 를위한전구체소재 한국화학연구원 반도체산화물전구체소재기술메모리및투명소자에적용가능한전구체소재한국화학연구원 전구체평가기술 증기압, 순도등합성된전구체의물성평가기술 한국화학연구원한국표준과학연구원 CMP 슬러리요소기술은절연박막용슬러리 (Oxide 용슬러리, STI 공정용슬러리, ILD 공정용슬러리 ) 와금속박막용슬러리 (Cu 슬러리, W 슬러리, Barrier 금속슬러리 ) 등이 있음 [ CMP 슬러리기술및연구기관 ] 분류요소기술개요기관 재료 W CMP 슬러리소재기술나노스케일 ZrO 2 기반 W CMP 슬러리소재한양대학교 Ceria 슬러리소재기술 Nano-wet Ceria 슬러리소재한양대학교 포토레지스트요소기술은 KrF 포토레지스트, ArF 포토레지스트, EUV 포토레지스트등이 있음 [ 포토레지스트기술및연구기관 ] 분류요소기술개요기관 재료 EUV 포토레지스트소재기술 EUV 와 E-beam lithograph 용레지스트소재 ( 고불소계용제에용해 & 높은유리전이온도 ) 인하대학교 453

462 기술개발테마현황분석 (2) 이전기술에대한세부내용 금속 ALD용전구체소재기술 배선및확산방지막재료에사용되는전구체소재개발기술 - 미세한구리배선의확산방지막과씨앗층을스퍼터링법으로형성하는데에는한계가있기때문에단차피복성이우수한 ALD가구리배선의확산방지막에적용되기시작. 미세한구리배선을형성하는데에는구리배선의확산방지막과씨앗층 (seed layer) 을모두 ALD로형성하는것이가장유리함 - 액체이며우수한증기압 ( 1 Torr/60 ) 을갖는 Cu, Ru, Ta, Mn 등의확산방지막과씨앗층 (seed layer) 등으로사용가능한전구체개발및이를이용한박막증착을통한응용가능성확인 ( 확산방지막및씨앗층으로의특성우수 ) 최근에는게이트및 3D NAND로사용가능한 W 전구체의개발연구진행 커패시터및게이트용 High-k 전구체소재기술 DRAM 커패시터및게이트소재로사용되는 4족전이금속전구체 (Ti, Zr, Hf) 및 Sr 전구체개발기술 - MO2 (M = Ti, Zr, Hf) 박막용우수한열안정성및높은증기압을갖는전구체개발 ( 기술적집적도의한계를극복하고양산성의향상기대 ) - FinFET에사용이매우유망한 HfO2용전구체합성기술확보 - 유전상수 100 이상인 SrTiO3(STO) 용스트론튬전구체원천기술확보 반도체산화물전구체소재기술 디스플레이및투명소자, 에너지소자등에사용가능한고이동도인듐소재개발 - 범용전구체인 TMI보다녹는점이낮거나액체이며열안정성이우수한 In 전구체개발기술 - 30 cm2/vs의고이동도를갖는 In 산화물소재및소자형성기술개발 p-type 산화물전구체개발기술 - 열안정성이우수하고높은증기압을갖는 p-type SnO용 Sn 전구체대량합성기술개발 - p-type SnO 산화물반도체 ALD 합성기술개발 - 이를이용한 p-type SnO 박막트랜지스터 (TFT) 형성기술 - 추후이를이용한 n/p형 TFT소자및투명로직소자형성가능 454

463 반도체화학소재 CMP 슬러리소재기술 W CMP 슬러리소재개발 - 나노스케일 ZrO2 기반 W CMP 슬러리소재개발연구 Ceria 슬러리소재개발 - Nano-wet Ceria 슬러리소재 (poly-si stop CMP 슬러리, Si3N4 stop CMP 슬러리 ) 개발연구 EUV 포토레지스트소재기술 EUV와 E-beam lithograph용레지스트소재개발 - 고불소계용제에용해가가능하며높은유리전이온도를지니는 EUV 레지스트소재및이를이용한패턴화공정개발 - 고불소계용제에대한뛰어난용해성과고해상도가가능한 E-beam 레지스트소재및패턴화공정개발 455

464 기술개발테마현황분석 6. 기술로드맵기획 가. 중소기업핵심요소기술 (1) 데이터기반요소기술발굴 [ 반도체화학소재분야키워드클러스터링 ] 456

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

태양광산업 경쟁력조사.hwp

태양광산업 경쟁력조사.hwp 태양광산업산업경쟁력조사 1 Ⅰ. 1. 52 2. 53 Ⅱ. 1. 54 2. 60 3. 64 III. 1. 71 2. 82 Ⅳ. 1. 98 2. 121 3. 132 Ⅴ. 1. 147 2. 160 3. 169 4. SWOT 181 Ⅵ. 1. 187 2. 202 3. 217 Ⅶ. 225 < 요약 > Ⅰ. 서론 II. 태양광산업의개요 III. 태양광기술개발현황

More information

신성장동력업종및품목분류 ( 안 )

신성장동력업종및품목분류 ( 안 ) 신성장동력업종및품목분류 ( 안 ) 2009. 12. 일러두기 - 2 - 목 차 < 녹색기술산업 > 23 42-3 - 목 차 45 52 < 첨단융합산업 > 66 73 80-4 - 목 차 85 96 115 < 고부가서비스산업 > 120 124 127 129 135-5 - 녹색기술산업 - 6 - 1. 신재생에너지 1-1) 태양전지 1-2) 연료전지 1-3) 해양바이오

More information

ㅇ ㅇ

ㅇ ㅇ ㅇ ㅇ ㅇ 1 ㆍ 2 3 4 ㅇ 1 ㆍ 2 3 ㅇ 1 2 ㆍ ㅇ 1 2 3 ㆍ 4 ㆍ 5 6 ㅇ ㆍ ㆍ 1 2 ㆍ 3 4 5 ㅇ 1 2 3 ㅇ 1 2 3 ㅇ ㅇ ㅇ 붙임 7 대추진전략및 27 개세부추진과제 제 5 차국가공간정보정책기본계획 (2013~2017) 2013. 10 국토교통부 : 2013 2017 차 례 제 1 장창조사회를견인하는국가공간정보정책

More information

Microsoft Word - 20160119172619993.doc

Microsoft Word - 20160119172619993.doc 반도체 in 2016 CES 메모리 반도체 응용처 확대 가능성 확인 2016년 CES 전시 주요 기술과 Device 모두 향후 메모리 반도체 수요 견인 가능성 충분 반도체 Analyst 박영주 02-6114-2951 young.park@hdsrc.com RA 주영돈 02-6114-2923 ydjoo89@hdsrc.com VR 시장의 성장 개시.. IT 기기의

More information

오토 2, 3월호 내지최종

오토 2, 3월호 내지최종 Industry Insight 인사이드 블루투스 자동차와 블루투스의 공존법칙 운전 중 휴대전화 사용을 금지하는 법률이 세계적으로 확산되고 있으며, 블루투스(Bluetooth) 기반의 핸즈프리 기능을 이용하는 것이 이에 대한 확실한 대안으로 자리잡았다. 그러나 차기 무선 멀티미디어 스트리밍에 관해서는 어떤 일이 일어날 지 아무도 알 수 없다. 글 윤 범 진 기자

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

1

1 중소기업기술로드맵 2018-2020 Technology Roadmap for SME - 지능형센서 - CONTENTS 전략분야 지능형센서 1. 개요 1 2. 국내외정책동향 4 3. 산업이슈및동향 9 4. 시장동향및전망 13 5. 기술동향및이슈 15 6. 중소기업시장대응전략 19 7. 주요기술개발테마 21 8. 중소기업기술개발테마 23 기술개발테마 SoC 부품

More information

<C0CCBCF8BFE42DB1B3C1A4BFCFB7E12DB1E8B9CCBCB12DC0DBBCBAC0DAB0CBC1F5BFCFB7E12DB8D3B8AEB8BBB3BBBACEC0DAB0CBC1F52E687770>

<C0CCBCF8BFE42DB1B3C1A4BFCFB7E12DB1E8B9CCBCB12DC0DBBCBAC0DAB0CBC1F5BFCFB7E12DB8D3B8AEB8BBB3BBBACEC0DAB0CBC1F52E687770> 사회복지용 지능로봇 기술동향 머 리 말 목 차 제1장 서 론 1 제2장 기술의 특징 3 제3장 사회복지용 지능 로봇산업의 기술 수요 전망 11 제4장 사회복지용 지능 로봇의 기술 동향 32 제5장 결론 및 정책 제언 103 참고문헌 109 표 목차 그림 목차 제1장 서 론 1. 목적 및 필요성 2. 분석내용 및 범위 제2장 기술의 특징 1. 지능형 로봇기술의

More information

ICT À¶ÇÕÃÖÁ¾

ICT À¶ÇÕÃÖÁ¾ Ver. 2012 T TA-11104-SA 4 21 21 42 65 91 103 124 140 161 187 Ver. 2012 ICT Standardization Strategy Map 4 Ver. 2012 Ver. 2012 5 ICT Standardization Strategy Map 6 Ver. 2012 Ver. 2012 7 ICT Standardization

More information

메모리반도체시장규모및성장률 ( 억달러, %) 비메모리반도체시장규모및성장률 ( 억달러, %) Ⅰ 3/21 4/21

메모리반도체시장규모및성장률 ( 억달러, %) 비메모리반도체시장규모및성장률 ( 억달러, %) Ⅰ 3/21 4/21 제2018-7호 2018. 4. 6. 조사국국제경제부국제종합팀국제경제리뷰이창기차장 (4280) 차준열조사역 (4279) 세계반도체시장의호황배경및시사점 IoT 부문별반도체시장규모전망 ( 억달러 ) 주요 D램업체점유율변화 1) (%) 글로벌반도체시장매출추이 ( 분기별 ) D 램 1) 및낸드플래시 2) 가격 ( 달러 ) 자료 : Gartner 주 : 1) 점유율

More information

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시 목재미래기업발굴및육성을위한 중장기사업방향제안 2017. 11. 목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 16 2.1. 목재제품의종류 16 2.2. 국내목재산업현황 19 2.3. 목재산업트렌드분석및미래시장예측 33 Ⅲ. 목재미래기업의정의및분류

More information

KEIT PD(15-10)-내지.indd

KEIT PD(15-10)-내지.indd / KEIT PD / KEIT PD / SUMMARY,, 13 300, 15 341, 17 367 5.2% 13 6,000 2%, 90%,,,,,,, ㆍ ㆍ,,, KEIT PD Issue Report PD ISSUE REPORT OCTOBER 2015 VOL 15-10 1. (AC DC, DC AC), (, ),, MOSFET, IGBT(Insulated Gate

More information

목 차. 산업의메가트렌드 1 우리의대응실태 8 우리산업의발전방향 기본방향 주요정책과제 산업별추진과제 28

목 차. 산업의메가트렌드 1 우리의대응실태 8 우리산업의발전방향 기본방향 주요정책과제 산업별추진과제 28 차산업혁명시대 신산업창출을위한정책과제 2016. 12. 21 신산업민 관협의회 목 차. 산업의메가트렌드 1 우리의대응실태 8 우리산업의발전방향 14 1. 기본방향 14 2. 주요정책과제 16 3. 산업별추진과제 28 . 산업의메가트렌드 가산업대변혁 (Big Bang) 의시대도래 - 1 - 나 4 대메가트렌드 (3S 1P) Œ Ž (1) 스마트화 : 초연결과지능화확산

More information

2010 산업원천기술로드맵요약보고서 - 화학공정소재

2010 산업원천기술로드맵요약보고서 - 화학공정소재 2010 산업원천기술로드맵요약보고서 - 화학공정소재 - 2010. 7 본요약보고서는한국산업기술진흥원주관으 로수립되고있는 2010 년도산업원천기술로 드맵의일부내용을발췌한것입니다. 산업원천기술로드맵전체내용을담은 2010 산업원천기술로드맵보고서 는오는 8월한국산업기술진흥원홈페이지 (www.kiat.or.kr) 를통해공개될예정입니다. 목 차 Ⅰ. 화학공정소재산업의정의및범위

More information

Microsoft PowerPoint - 주간 NEWS-416회(2014.10.05) [호환 모드]

Microsoft PowerPoint - 주간 NEWS-416회(2014.10.05) [호환 모드] 전자업계 국내외 투자 활발 '어려울 때 투자하라' 삼성 LG전자, 베트남에 앞다퉈 라인 증설 아프리카에도 눈돌려 2014.10.05 삼성전자가 이번 주 3분기 잠정실적(가이던스) 발표에서 충격적인 성적표를 내놓을 것으로 예상되는 가운데 본격적인 실적 하강 국면에서도 국내외 투자를 꾸준히 진행하고 있다고 연합뉴스가 전했다. 스마트폰 사업을 정상궤도에 끌어올린

More information

반도체부품연구회 최종보고서 주력산업반도체부품 ( 시스템반도체 ) 육성방안연구회 2010. 4 대표위원 / 황인록 지식경제부 / 한국산업기술진흥원 ː ː ː ː ː ː ː ː ː ː ː 휴대폰용반도체 디지털 TV 용반도체 자동차용반도체 터치패널반도체 11.2% 0.1% [ 단위 : Billion $] 113.4 29.1%

More information

슬라이드 1

슬라이드 1 강력한성능! 인터넷 / 업무용데스크탑 PC NX-H Series Desktop PC NX1- H700/H800/H900 NX2- H700/H800/H900 NX1-H Series 사양 Series 제품설명 ( 모델명 ) NX1-H Series, 슬림타입 기본형모델중보급형모델고급형모델 NX1-H800:112SN NX1-H800:324SN NX1-H800:534MS

More information

반도체 i ii iii iv v 2011 산업기술로드맵 정보통신 반도체분야 . 개요 3 2011 산업기술로드맵 정보통신 반도체분야 . 산업의환경변화 7 2011 산업기술로드맵 반도체분야 8 . 산업의환경변화 9 2011 산업기술로드맵 반도체분야 10 . 산업의환경변화 11 2011 산업기술로드맵 반도체분야 12 . 산업의환경변화 13 2011

More information

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다.

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다. 차세대 반도체 공정, 노광에서 식각 증착 등으로 무게 이동 핀펫(FinFET) 3차원(3D) 낸드플래시 등 차세대 반도체 시장을 놓고 국내 장비 업체들의 기대감이 커지고 있다. 그동안 반도체 미세공정을 주도한 핵심 장비는 노광기였으나, 해외 선두 장비 업체들이 극자외선(EUV) 등 차세대 노광기 개발에 한계를 보이면서 반도체 업체들은 최근 화학 증기증착(CVD)

More information

( 수출현황 ) 품목별실적및특징 반도체 수요 서버및스마트폰등 증가지속등 으로 개월연속 억불대기록및 개월연속수출증가세 디스플레이 액정표시장치 패널경쟁심화속에서 유기발광다이오드 패널수요확대에힘입어 개월만에증가전환 휴대폰 프리미엄스마트폰수출호조등으로 개월 연속수출증가 컴퓨터

( 수출현황 ) 품목별실적및특징 반도체 수요 서버및스마트폰등 증가지속등 으로 개월연속 억불대기록및 개월연속수출증가세 디스플레이 액정표시장치 패널경쟁심화속에서 유기발광다이오드 패널수요확대에힘입어 개월만에증가전환 휴대폰 프리미엄스마트폰수출호조등으로 개월 연속수출증가 컴퓨터 보도자료 http://www.motie.go.kr 2018년 8월 17일 ( 금 ) 조간부터보도하여주시기바랍니다. ( 인터넷, 방송, 통신은 8.16.( 목 ) 오전 11시이후보도가능 ) 산업통상자원부전자전기과배포일시 2018. 8. 16.( 목 ) 담당부서산업통상자원부전자부품과 담당과장 이진광과장 (044-203-4340) 박영삼과장 (044-203-4270)

More information

경북자동차부품관련연구소의효율적 운영방안

경북자동차부품관련연구소의효율적 운영방안 2009-046 경북자동차부품관련연구소의효율적 운영방안 차례 표차례 그림차례 요약 1. 연구배경및목적,,, IT. 3,.,.. 2. 국내 지역자동차산업현황 2007 20.3 GDP 2.5%. 9%, 12%, 13%, 07 409, 285 5, 147 2.9. 8.6%, 7.0%, 4.5%, i . ( ) ( ) ( ) 4,577 277,319 38,865,170

More information

목 차 주요내용요약 1 Ⅰ. 서론 3 Ⅱ. 스마트그리드산업동향 6 1. 특징 2. 시장동향및전망 Ⅲ. 주요국별스마트그리드산업정책 17 Ⅳ. 미국의스마트그리드산업동향 스마트그리드산업구조 2. 스마트그리드가치사슬 3. 스마트그리드보급현황 Ⅴ. 미국의스마트그리드정

목 차 주요내용요약 1 Ⅰ. 서론 3 Ⅱ. 스마트그리드산업동향 6 1. 특징 2. 시장동향및전망 Ⅲ. 주요국별스마트그리드산업정책 17 Ⅳ. 미국의스마트그리드산업동향 스마트그리드산업구조 2. 스마트그리드가치사슬 3. 스마트그리드보급현황 Ⅴ. 미국의스마트그리드정 2012-02 2012. 1. 13 미국스마트그리드산업의 Value Chain 및정책동향 주요내용요약 서론 스마트그리드산업동향 주요국별스마트그리드산업정책 미국의스마트그리드산업동향 미국의스마트그리드정책동향 21세기스마트그리드산업전략 결론 작성김정욱책임연구원, 미국거점 kjwcow@kiat.or,kr +1-404-477-3288 감수조영희팀장, 국제협력기획팀 yhcho@kiat.or.kr

More information

INSIDabcdef_:MS_0001MS_0001 제 12 장철도통신 신호설비공사 제 12 장철도통신 신호설비공사 12-1 철도통신선로설비 통화장치 ( : ) 공정별통신외선공통신설비공통신케이블공

INSIDabcdef_:MS_0001MS_0001 제 12 장철도통신 신호설비공사 제 12 장철도통신 신호설비공사 12-1 철도통신선로설비 통화장치 ( : ) 공정별통신외선공통신설비공통신케이블공 제 12 장철도통신 신호설비공사 제 12 장철도통신 신호설비공사 121 철도통신선로설비 1211 통화장치 ( : ) 공정별통신외선공통신설비공통신케이블공 0.48 0.52 1.13 0.35 0.56 0.75 0.83 2.19 2.50 0.50 0.25 ( ),,,,,,,. "471, 431.(,,, ) 9211 CCTV.,. ( 30%, 80%) 정보통신부문

More information

목 차 2012-5 - 7) - 6 - - 7 - 직업교육의메카, 명품신성인양성 1 사업명 - 8 - 2 필요성및목적 - 9 - 직업교육의메카, 명품신성인양성 - 10 - - 11 - 직업교육의메카, 명품신성인양성 - 12 - - 13 - 직업교육의메카, 명품신성인양성 2-1 필요성 - 14 - 2-2 목적 3 사업내용총괄 3-1 사업개요 - 15 - 직업교육의메카,

More information

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp

NIPA-주간 IT산업 주요 이슈-2013년21호(130531)-게재용.hwp 주간 IT산업 주요 이슈 (2013-21 (2013-21호) 1. 주요 이슈 - 13.05.31(금), NIPA 산업분석팀 1 빅데이터, 애널리틱스, 클라우드 기업용 SW시장 성장 견인 지난해 글로벌 소프트웨어 시장 성장은 둔화하였으나, 빅데이터와 클라우드 관련 데이터 분석, 협업 애플리케이션, 보안 등은 성장세 ㅇ 12년 세계 기업용 SW 시장 규모는 3,426억달러로

More information

[한반도]한국의 ICT 현주소(송부)

[한반도]한국의 ICT 현주소(송부) ICT 2016. 5. 3 SKT KT LGU+ ( ) ( ) ( ) 18,000 15939 16141 16602 17164 17137 18,000 21990 23856 23811 23422 22281 12,000 10905 11450 11000 10795 13,500 13,425 9,000 9185 9,000 8,850 6,000 4,500 4,275 3,000-0

More information

52 l /08

52 l /08 Special Theme_임베디드 소프트웨어 Special Report 모바일 웹 OS 기술 현황과 표준화 동향 윤 석 찬 다음커뮤니케이션 DNALab 팀장 1. 머리말 디바이스에 애플리케이션을 배포할 수 있다. 본 고에서는 모바일 웹 OS의 현황과 임베디드 환 오늘날 인터넷에서 웹 기반 콘텐츠가 차지하는 부 경에서 채용되고 있는 최적화 기술을 살펴보고, 웹

More information

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63>

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2012. 9. 25 반도체 Overweight 메모리는솔루션으로진화한다! Top Picks 삼성전자 (005930) Buy, TP 1,800,000원 SK 하이닉스 (000660) Buy, TP 33,000 원 결론 - 메모리산업은 Commodity

More information

Microsoft Word - C001_UNIT_ _

Microsoft Word - C001_UNIT_ _ 14 LG 는 IT 가아닌자동차의르네사스를인수한셈 매수 ( 유지 ) T.P 32,000 원 ( 유지 ) Analyst 정한섭 hanchong@sk.com +823773900 Company Data 자본금 81 억원 발행주식수 1,626 만주 자사주 74 만주 액면가 00 원 시가총액 4,326 억원 주요주주 ( 주 ) 코멧네트워크 16.2% 한대근 4. 외국인지분률.

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

- 2 -

- 2 - 2014 년융 복합기술개발사업 ( 융 복합과제 ) 제안요청서 목차 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - Ω - 18 - - 19 - - 20 - 기계소재 -001-21 - 기계소재 -002-22 - 기계소재

More information

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 Homework #2 [2] 답의예 ( 학생 1): 소폭수정 다양한외부환경을효과적으로검지할수있는센서기술은검지변환, 신호처리및지능화기술등융합 적특성을갖고있음. 현재대부분실용화중심의연구가주류를이루고있으며,

More information

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9 오늘날 자동차와 도로는 ICT 기술과 융합되어 눈부시게 발전하고 있습니다. 자동차는 ICT 기술과 접목되어 스마트 자동차로 변화하며 안전하고 편리하며 CO 2 방출을 줄이는 방향으로 기술개발을 추진하고 있으며 2020년경에는 자율 주행 서비스가 도입될 것으로 전망하고 있습니다. 또한, 도로도 ICT 기술과 접목되어 스마트 도로로 변화하며 안전하고 편리하며 연료

More information

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770>

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770> 가정용 지능로봇의 기술동향 머리말 목 차 제1장 서 론 1 제2장 기술의 특징 4 제3장 가정용 로봇 산업 및 기술수요 전망 14 4장 가정용 로봇의 기술동향 27 5장 주요국의 가정용 로봇의 기술정책 분석 61 6장 국제표준화와 특허출원 동향 80 7장 결론 및 정책 제언 86 참고문헌 92 표 목차 그림 목차 제1장 서 론 1. 기술동향분석의 목적 및

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

Microsoft Word - 21_반도체.doc

Microsoft Word - 21_반도체.doc 서원석 02)2004-4520, wonseo@nhis.co.kr 반도체 투자의견 비중확대(유지) 새로운 수요 패러다임의 시작 관심 종목 DRAM: 하반기 가격 흐름은 3분기 유지, 4분기 하락 전망 3분기까지 현 가격 수준에서 안정세를 보이다 계절적 수요가 약세에 접어드는 10월 이후 본격적인 가격 하락 전망. DRAM 수요는 PC 출하량이 이전 전망보다 저조하지만,

More information

Ⅰ Ⅱ Ⅲ Ⅳ

Ⅰ Ⅱ Ⅲ Ⅳ 제 2 차유비쿼터스도시종합계획 국토교통부 Ⅰ Ⅱ Ⅲ Ⅳ Ⅴ - 4 - 1 배경및법적근거 2 계획의수립방향 - 3 - 3 계획수립의성격및역할 4 계획수립경위 - 4 - Ⅱ 1 국내외여건변화 가. 현황 - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - 나. 문제점및기본방향 - 14 - 2 국내 U-City 현황 가. 현황 -

More information

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials OLED 시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials Market, 2017-2 -

More information

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe Smart & Green Technology Innovator 경선추 2-18. 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixer 등, MMIC 설계기술임. -0- 1 기술개요 2 개발기술의주요내용 3 기술적용분야및기술의시장성

More information

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378>

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378> 점접착제 1-No. 20150900 Multi Client Report 점 접착제시장분석및전망 (2015) Sep., 2015 화학경제연구원 CHEMICAL MARKET RESEARCH INC. #1204, JnK Digital Tower, 111 Digital 26th, Guro-gu, Seoul 152-050, Korea TEL : +822-6124-6660

More information

보도자료 2014 년국내총 R&D 투자는 63 조 7,341 억원, 전년대비 7.48% 증가 - GDP 대비 4.29% 세계최고수준 연구개발투자강국입증 - (, ) ( ) 16. OECD (Frascati Manual) 48,381 (,, ), 20

보도자료 2014 년국내총 R&D 투자는 63 조 7,341 억원, 전년대비 7.48% 증가 - GDP 대비 4.29% 세계최고수준 연구개발투자강국입증 - (, ) ( ) 16. OECD (Frascati Manual) 48,381 (,, ), 20 보도자료 2014 년국내총 R&D 투자는 63 조 7,341 억원, 전년대비 7.48% 증가 - GDP 대비 4.29% 세계최고수준 연구개발투자강국입증 - (, ) 2014 10 30() 16. OECD(Frascati Manual) 48,381 (,, ), 2014,. * 통계법국가승인지정통계 ( 제 10501 호 ) 로서 1963 년에최초실시된이래, 매년시행하고있는전국

More information

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Youngin Equipment Solution Technology Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Why YEST? 01, YEST 38.3%, YEST 580 2015

More information

4 차산업혁명과지식서비스 l 저자 l 한형상 / 한국산업기술평가관리원지식서비스 PD 김 현 / 한국전자통신연구원 IoT 연구본부장 SUMMARY 4차산업혁명의성격은초연결 초융합 초지능의세키워드로요약된다. 초연결은사람, 사물등객체간의상호연결성이확장됨을말하며이는곧실시간데이

4 차산업혁명과지식서비스 l 저자 l 한형상 / 한국산업기술평가관리원지식서비스 PD 김 현 / 한국전자통신연구원 IoT 연구본부장 SUMMARY 4차산업혁명의성격은초연결 초융합 초지능의세키워드로요약된다. 초연결은사람, 사물등객체간의상호연결성이확장됨을말하며이는곧실시간데이 4 차산업혁명과지식서비스 l 저자 l 한형상 / 한국산업기술평가관리원지식서비스 PD 김 현 / 한국전자통신연구원 IoT 연구본부장 SUMMARY 4차산업혁명의성격은초연결 초융합 초지능의세키워드로요약된다. 초연결은사람, 사물등객체간의상호연결성이확장됨을말하며이는곧실시간데이터공유가질적 양적으로크게확대됨을의미한다. 초융합은초연결환경의조성으로이전에는생각할수없었던異種기술

More information

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770>

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770> 표지 면지와 동일 SW 플랫폼 해법: SoC 융합으로 임채덕, 김선태, 정영준, 김태호, 유현규 목 차 1. 서론 1 2. 플랫폼 현주소 2 2.1. 모바일 OS 엿보기 2 2.2. SW 기업의 최신 동향 4 2.3. SoC 기업의 최신 동향 7 2.4. 시사점 11 3. SW 플랫폼 Innovative Mover 전략 13 3.1. 후발 주자의 고민 13

More information

수출및수입액현황 (2016) 6억 1,284 만달러억 1 7,045 만달러 4억 4,240 만달러 2015 년대비 15.4 % 증가 2015 년대비 11.1 % 증가 2015 년대비 1.3 % 증가 수출액 수출입차액 수입액 지역별수출액 ( 비중 ) 일본 4,129만달러

수출및수입액현황 (2016) 6억 1,284 만달러억 1 7,045 만달러 4억 4,240 만달러 2015 년대비 15.4 % 증가 2015 년대비 11.1 % 증가 2015 년대비 1.3 % 증가 수출액 수출입차액 수입액 지역별수출액 ( 비중 ) 일본 4,129만달러 국내캐릭터산업현황 사업체수및종사자수 사업체 종사자 2,069 개 2,213 개 30,128 명 33,323 명 2015 년 7.0 % 10.6 % 증가증가 2016년 2015년 2016 년 매출액및부가가치액 매출액 부가가치액 11 조 662 억원 4 조 3,257 억원 10 조 807 억원 3 조 9,875 억원 2015 년 9.8 % 8.5 % 증가증가 2016년

More information

Contents

Contents 2006. 5. 2 Intel, Qualcomm MK TANAKA, Heraus STS LF : BGA : ASE Amkor STATSChiPAC SPIL ASTAT LF : BGA : IBIDEN Shinko, Nanya MK GDS, IBIDEN, Compeq, Nanya Contents Gold Wiring Bumping Lead Frame Package

More information

Microsoft PowerPoint - 주간 NEWS-395회(2014.05.11) [호환 모드]

Microsoft PowerPoint - 주간 NEWS-395회(2014.05.11) [호환 모드] 반도체 디스플레이 시장서 소재-장비 협업이 사업 성패 가른다 반도체 디스플레이 시장에서 소재 장비 간 협업이 성패를 가를 수 있는 중요한 요소로 떠올랐다. 향후 반도체 디스플레이 관련 연구개발(R&D) 프로젝트도 양대 후방 산업의 협력을 통해 시너지를 내는 방향으로 진행돼야 한다는 게 전문가들 의견이다. 11일 업계에 따르면 최근 반도체 디스플레이 시장에서

More information

슬라이드 1

슬라이드 1 Contents Prologue Chapter 01 Silicon Works Co., Ltd. Chapter 02 Market Expansion Chapter 03 Investment Highlights Appendix Prologue 01. 디스플레이시장의진화 02. 진화의중심, 실리콘웍스 01. 디스플레이시장의진화 지금도 LCD 는다양한 Application

More information

PowerPoint Presentation

PowerPoint Presentation 오에스아이소프트코리아세미나세미나 2012 Copyright Copyright 2012 OSIsoft, 2012 OSIsoft, LLC. LLC. PI Coresight and Mobility Presented by Daniel Kim REGIONAL 세미나 SEMINAR 세미나 2012 2012 2 Copyright Copyright 2012 OSIsoft,

More information

- 2 -

- 2 - '14 년도제품안전기술기반조성사업과제수요조사제안서 - 2 - [ 별첨 1] 과제명작성가이드라인 산업기술혁신기술개발사업과제명작성가이드라인 1. 기본방향 - 3 - 2. 가이드라인 - 4 - [ 별첨 2] 산업기술분류표 / / / 100101 100601 / 100102 / 100602 100103 100603 / 100104 / 100604 100105

More information

KOTRA 자료 해외시장권역별진출전략 < 유럽 >

KOTRA 자료 해외시장권역별진출전략 < 유럽 > KOTRA 자료 15-054 2016 해외시장권역별진출전략 < 유럽 > 유럽진출전략 목 차 Ⅰ. 16년주요이슈및전망 3 1. 유로화약세강화 3 2. EU 경기호조세본격화에따른수출유망시장확대 3 3. VW사태에따른자동차와주력상품시장환경변화 4 4. 유럽의블루오션시장, 대규모프로젝트발주본격화 4 5. 중동난민유입에따른정치 사회적불안요소가중 5 Ⅱ. 진출환경분석

More information

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26 5월전체 2005.6.9 5:7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26개 지역지식재산센터 를 통해 발명가와 중소기업들에게 기술개발에서 선진국은 첨단기술을 바탕으로

More information

목 차 1. LED/ 광 1 2. 자동차 의료기기 정보가전 플랜트엔지니어링 생산시스템 조선 로봇 화학공정 세라믹 디스플레이 이차전지

목 차 1. LED/ 광 1 2. 자동차 의료기기 정보가전 플랜트엔지니어링 생산시스템 조선 로봇 화학공정 세라믹 디스플레이 이차전지 주요산업별글로벌기술규제 2015. 12. 산업통상자원부 한국산업기술진흥원 목 차 1. LED/ 광 1 2. 자동차 38 3. 의료기기 71 4. 정보가전 88 5. 플랜트엔지니어링 105 6. 생산시스템 119 7. 조선 133 8. 로봇 165 9. 화학공정 189 10. 세라믹 206 11. 디스플레이 231 12. 이차전지 246 13. 섬유의류 265

More information

C O N T E N T S 목 차 요약 / 1 Ⅰ. 태국자동차산업현황 2 1. 개관 5 2. 태국자동차생산 판매 수출입현황 우리나라의대태국자동차 부품수출현황 Ⅱ. 태국자동차산업밸류체인현황 개관 완성차브랜드현황 협력업체 ( 부

C O N T E N T S 목 차 요약 / 1 Ⅰ. 태국자동차산업현황 2 1. 개관 5 2. 태국자동차생산 판매 수출입현황 우리나라의대태국자동차 부품수출현황 Ⅱ. 태국자동차산업밸류체인현황 개관 완성차브랜드현황 협력업체 ( 부 Global Market Report 17-039 Global Market Report 태국자동차산업글로벌밸류체인 (GVC) 진출방안 방콕무역관 C O N T E N T S 목 차 요약 / 1 Ⅰ. 태국자동차산업현황 2 1. 개관 5 2. 태국자동차생산 판매 수출입현황 13 3. 우리나라의대태국자동차 부품수출현황 Ⅱ. 태국자동차산업밸류체인현황 16 1. 개관

More information

KEIT PD(15-11)-수정1차.indd

KEIT PD(15-11)-수정1차.indd / KEIT SW PD / KEIT SW PD SUMMARY * (, 2013) : 3(2010) 5(2013) 6(2018E) ICT (,, CPS),, (, ) (,,, ) ICT - - - - -, -, -, -,, - ( ~ ~ ) - CPS, IoT, -- KEIT PD Issue Report PD ISSUE REPORT NOVEMBER 2015 VOL

More information

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 (

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 ( 제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 02.003 생활용품검사 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 2017-032 호 (2017.2.8.)) 03. 재료및부품 03.001 자동차부품검사 기능안전심사 ISO 26262-2 : 2011

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 라떼판다? 라떼판다알파 / 델타? 이전라떼판다와의차이점라떼판다알파응용사례라떼판다알파출시소식 라떼판다? 라떼판다알파 / 델타? ALPHA 864( 윈도우라이선스 O/X) ALPHA 800 ALPHA Edition 7 세대인텔코어 M3-7Y30 LPDDR3 RAM 8GB emmc 5.0 64GB USB 3.1 Type-A*3/Type-C*1 M.2 포트지원

More information

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3,

1. 삼성전자의 영국 CSR사 인수 내용 영국 CSR사의 Mobile 사업부문을 3.1억 달러에 인수 삼성전자는 영국 CSR사의 Mobile 관련 사업, 특허, 라이센스 및 310명의 개발 인력을 총 3.1억 달러에 인수, CSR 지분 4.9%를 신주발행 방식으로 3, 삼성전자 005930 Jul 18, 2012 Buy [유지] TP 1,850,000원 [유지] Company Data 현재가(07/17) 1,168,000 원 액면가(원) 5,000 원 52 주 최고가(보통주) 1,410,000 원 52 주 최저가(보통주) 680,000 원 KOSPI (07/17) 1,821.96p KOSDAQ (07/17) 480.61p

More information

< C0FCC6C4BBEABEF7B5BFC7E E687770>

< C0FCC6C4BBEABEF7B5BFC7E E687770> 한국전파진흥협회 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - Ⅰ - 25 - - 26 - - 27 -

More information

Microsoft Word - pcb_index_report.doc

Microsoft Word - pcb_index_report.doc 보고서무단복사및유통금지 2008 년 10 월 10 일 Cischem. Com Co., Ltd./Consulting Division http://www.cischem.com E-mail : cischem@cischem.com Tel(02-322-0144), Fax(02-322-0147) 121-869, 서울시마포구연남동 565-15호지남빌딩 503호 Contents

More information

( 1 ) ( 2 )

( 1 ) ( 2 ) 보건의료기술육성기본계획 ( 13 17) 2016 년시행계획 ( 안 ) ( 1 ) ( 2 ) 0 비전중장기 5대추진전략 국민건강 G7 선진국도약 - 2020 건강수명 75 세시대 달성 - 건강 R&D 투자지속적확대 글로벌수준의기술개발을위한선택과집중 성과창출형 R&D 지원시스템고도화 연구생태계조성 건강산업촉진을위한제도개선 건강 R&D 투자지속적확대 보건의료 R&D

More information

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770>

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770> 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 연구위원 이 주 완 joowanlee@hanaif.re.kr 02)2002-2683 요 약 IT 산업에 미치는 영향 프리미엄 제품 공급자 중심으로 재편 스마트폰은 단순히 기능이 추가된

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

임베디드2014(가을)

임베디드2014(가을) 2014 Autumn Vol.8 www.givet.re.kr 2014 Autumn News Letter 2014 Autumn Vol.8 News Letter CONTENTS GIVET Autumn 04 05 이천십사년 가을호 뉴스레터 FOCUS_ 2014년 기업지원사업 성과 기업지원성과 1 2013 경북디지털기기부품산업 패키지역량강화사업 사업기간 : 2013년

More information

지상파(디지털) 방송의 재전송이 큰 목적 중 하나이므로 전세계적으로 IPTV의 보급이 더욱 촉진될 가능성이 높음 단말기 측면 전망 향후에는 거치형 TV만이 아니고 휴대전화, 휴대게임기 등에 대해서도 각종 콘 텐트 전송이 더욱 확대될 것이고 더 나아가 휴대전화 TV 휴대게임기 등 단말기 상호간의 콘텐트 전송이 더욱 증가될 것임 서비스 측면 전망 유저가 편한 시간대에

More information

C O N T E N T S 목 차 요약 / 3 Ⅰ. 브라질소비시장동향및특성 경제현황 2. 소비시장의특성 Ⅱ. 브라질소비시장히트상품분석 최근히트상품 년소비시장, 이런상품을주목하라! Ⅲ. 우리기업의 4P 진출전략

C O N T E N T S 목 차 요약 / 3 Ⅰ. 브라질소비시장동향및특성 경제현황 2. 소비시장의특성 Ⅱ. 브라질소비시장히트상품분석 최근히트상품 년소비시장, 이런상품을주목하라! Ⅲ. 우리기업의 4P 진출전략 Global Market Report 16-035 2016 브라질소비시장, 이런상품을주목하라! C O N T E N T S 목 차 요약 / 3 Ⅰ. 브라질소비시장동향및특성 4 5 1. 경제현황 2. 소비시장의특성 Ⅱ. 브라질소비시장히트상품분석 11 20 1. 최근히트상품 2. 2016 년소비시장, 이런상품을주목하라! Ⅲ. 우리기업의 4P 진출전략 27 30

More information

CONTENTS 목 차 요약 / 1 Ⅰ. 이란시장동향 / 2 1. 이란시장개요 2. 주요산업현황 3. 이란의교역및투자동향 Ⅱ. 한 - 이란경제교류현황 / 10 Ⅲ. 경쟁국의이란진출현황 / 12 Ⅳ. 對이란 10 대수출유망품목 / 15 Ⅴ. 對이란진출전략 /

CONTENTS 목 차 요약 / 1 Ⅰ. 이란시장동향 / 2 1. 이란시장개요 2. 주요산업현황 3. 이란의교역및투자동향 Ⅱ. 한 - 이란경제교류현황 / 10 Ⅲ. 경쟁국의이란진출현황 / 12 Ⅳ. 對이란 10 대수출유망품목 / 15 Ⅴ. 對이란진출전략 / CONTENTS 목 차 요약 / 1 Ⅰ. 이란시장동향 / 2 1. 이란시장개요 2. 주요산업현황 3. 이란의교역및투자동향 Ⅱ. 한 - 이란경제교류현황 / 10 Ⅲ. 경쟁국의이란진출현황 / 12 Ⅳ. 對이란 10 대수출유망품목 / 15 Ⅴ. 對이란진출전략 / 56 1. 대이란진출전략및유의사항 2. KOTRA 의이란진출지원방안 경제제재완화대비, 對이란 10 대수출유망품목및진출방안

More information

1

1 2014 년도기술수준평가결과 ( 안 ) - 120 개국가전략기술 - ( 1 ) 2014 가. 10대기술분야 ( 2 ) 나. 120개국가전략기술분야 ( 3 ) 다. 미래성장동력산업 (13 개 ) 분야 4. ( 4 ) 2014 년도기술수준평가결과 ( 안 ) -120 개국가전략기술 - 목차 1. 평가개요 1 2. 평가단계별추진절차 2 3. 평가결과 3 4. 국가전략기술로본미래성장동력산업별기술수준

More information

<B3EDB4DC28B1E8BCAEC7F6292E687770>

<B3EDB4DC28B1E8BCAEC7F6292E687770> 1) 초고를읽고소중한조언을주신여러분들게감사드린다. 소중한조언들에도불구하고이글이포함하는오류는전적으로저자개인의것임을밝혀둔다. 2) 대표적인학자가 Asia's Next Giant: South Korea and Late Industrialization, 1990 을저술한 MIT 의 A. Amsden 교수이다. - 1 - - 2 - 3) 계량방법론은회귀분석 (regression)

More information

Contents MAY Economic ISSN http www chungnam net http

Contents MAY Economic ISSN http www chungnam net http 1 Economic 2 3 4 ISSN 2093 0844 Chungnam Economic Trends May 2016. 5 인재를둘러싼두뇌전쟁! 충남이인재를유인 양성하려면? 수도권으로 G o! G o! 지역내인재유출 H i g h 2013 인재와기업입지 고용 지역성장간관계 1 66 1 1 2 21 4 5 3 9 ➊ 관용성확충 ➌ 혁신지구조성및유형별인재조기경보시스템개발

More information

Camel_C

Camel_C PRODUCT WEB CATALOG Digital Photo Frame Point of Purchase Digital Information Display Media player OEM/ODM Multimedia Products About 02 저희 카멜은 Multimedia 관련제품 개발/제조/마케팅 및 유통 전문회사로써, 제품의 품질(안전성)유지를 위해 모든

More information

Microsoft Word _Type2_기업_LG디스플레이.doc

Microsoft Word _Type2_기업_LG디스플레이.doc 211 년 1 월 24 일기업분석 BUY ( 유지 ) LG 디스플레이 (3422) 긍정적시각으로접근할때 김동원 김경민 1분기선제적비중확대필요 적정주가, 원 주가상승률 1개월 3개월 개월 2 분기부터본격적실적개선 세트재고소진은연착륙의신호 결산기말 12/8A 12/9A 12/1P 12/11F 12/12F 1분기선제적비중확대필요 그림 1> LGD 주가상승촉매 1

More information

노트북 IT / 모바일 데스크탑 34 올인원PC 35 PC 소프트웨어 포터블SSD / SSD / 메모리카드 36 태블릿 37 휴대폰 39 PC 솔루션 IT / 모바일 IT / 모바일 노트북 29 삼성전자는 Windows 를 권장합니다. 삼성전자만의 편리하고 다양한 소프트웨어를 통해 초보자도 보다 쉽고 빠르게 이용 가능합니다. Easy Settings 삼성 패스트

More information

hwp

hwp 지식경제와핵심역량 지식경제와핵심역량 ⅲ ⅳ 지식경제와핵심역량 지식경제와핵심역량 ⅴ ⅵ 지식경제와핵심역량 지식경제와핵심역량 ⅶ ⅷ 지식경제와핵심역량 지식경제와핵심역량 ⅸ ⅹ 지식경제와핵심역량 지식경제와핵심역량 ⅹⅰ ⅹⅱ 지식경제와핵심역량 지식경제와핵심역량 ⅹⅲ ⅹⅳ 지식경제와핵심역량 지식경제와핵심역량 ⅹⅴ ⅹⅵ 지식경제와핵심역량 지식경제와핵심역량

More information

<BBEAC0E7BAB8C7E8C1A6B5B52E687770>

<BBEAC0E7BAB8C7E8C1A6B5B52E687770> 산재보험제도발전방안에대한연구 ( 재활 복지 ) 요약 ⅰ ⅱ 산재보험제도발전방안에대한연구 ( 재활 복지 ) 요약 ⅲ ⅳ 산재보험제도발전방안에대한연구 ( 재활 복지 ) 요약 ⅴ ⅵ 산재보험제도발전방안에대한연구 ( 재활 복지 ) 제 1 장서론 1 2 산재보험제도발전방안에대한연구 ( 재활 복지 ) 제 1 장서론 3 4 산재보험제도발전방안에대한연구

More information

활력있는경제 튼튼한재정 안정된미래 년세법개정안 기획재정부

활력있는경제 튼튼한재정 안정된미래 년세법개정안 기획재정부 활력있는경제 튼튼한재정 안정된미래 2 012 년세법개정안 기획재정부 목차 Ⅰ. 일자리창출및성장동력확충 1 고용창출지원강화 < 개정이유 > < 적용시기 > < 개정이유 > < 적용시기 > < 개정이유 > < 적용시기 > < 개정이유 > < 적용시기 > ㅇ < 개정이유 > < 적용시기 > ㅇ < 개정이유 > < 적용시기 > < 개정이유 > < 적용시기 >

More information

산업별인적자원개발위원회역할및기능강화를위한중장기발전방안연구 한국직업자격학회

산업별인적자원개발위원회역할및기능강화를위한중장기발전방안연구 한국직업자격학회 산업별인적자원개발위원회역할및기능강화를위한중장기발전방안연구 2015. 12 한국직업자격학회 o o o o o 1) SC 내에서 Sub-SC 가존재하는것이아니라 NOS, 자격개발등의개발및운영단위가 Sub-sector 로구분되어있음을의미함. o o o o o o o o o Ⅰ. 서론 1 1. 연구필요성 o o 산업별인적자원개발위원회역할및기능강화를위한중장기발전방안연구

More information

Microsoft Word - ★삼성전자 3Q12 Conference Call Summary.doc

Microsoft Word - ★삼성전자 3Q12 Conference Call Summary.doc 삼성전자 (005930) 삼성전자 3Q12 Conference Call Summary 최성제 / 3773-9045 1. 손익계산서(연결기준) (단위: 조원) 2Q12 QoQ 3Q11 YoY 매출액 52.18 47.60 10% 41.27 26% 반도체 8.72 8.60 1% 9.48-8% 메모리 5.22 5.42-4% 5.50-5% DP 8.46 8.25 3%

More information

Microsoft Word - VB_May

Microsoft Word - VB_May SK securities Research center June.13 1 반도체최성제 dchoi@sk.com / +82-3773-94 / 디스플레이정한섭 hanchong@sk.com / +82-3773-9 Contents 1. Global IT Company Peer Group Table 3 2. Global IT Company Valuation Chart 3.

More information

CS.hwp

CS.hwp 보고서 2019-11 2019. 1. 3 CS(065770) IT H/W < 작성기관 : 한국기업데이터 > 보고서 ( 요약 ) 보고서 ( 전문 ) 기업현황산업분석기술분석주요이슈및전망 CS(065770) IT H/W 이 보고서는 자본시장 혁신을 위한 코스닥시장 활성화 방안 의 일환으로 코스닥 기업에 대한 투자정보 확충을 위해, 한국거래소와 한국예탁결제원의 후원을

More information

<2831312D3131C8A32920BFF9B0A35F4954BBEABEF7B5BFC7E22E687770>

<2831312D3131C8A32920BFF9B0A35F4954BBEABEF7B5BFC7E22E687770> 2011-11호 2011-11호 2011. 12. 9 목 차 Ⅰ. IT 수출입 동향 1 1. 개요 3 2. 주요 품목별 수출 동향 6 3. 주요 국가별 수출 동향 43 4. 수입 및 수지 동향 46 5. 2011년 11월 IT산업 수출입 통계(잠정) 48 Ⅱ. 월간이슈 55 유럽 재정위기와 IT수출 1. 유럽 재정위기 57 2. 對 EU IT수출 동향 60

More information

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte 스마트폰을 위한 A/V 신호처리기술 편집위원 : 김홍국 (광주과학기술원) 스마트폰에서의 영상처리를 위한 GPU 활용 박인규, 최호열 인하대학교 요 약 본 기고에서는 최근 스마트폰에서 요구되는 다양한 멀티미 디어 어플리케이션을 embedded GPU(Graphics Processing Unit)를 이용하여 고속 병렬처리하기 위한 GPGPU (General- Purpose

More information

<C3E6B3B2B1B3C0B0313832C8A32DC5BEC0E7BFEB28C0DBB0D4292D332E706466>

<C3E6B3B2B1B3C0B0313832C8A32DC5BEC0E7BFEB28C0DBB0D4292D332E706466> 11-8140242-000001-08 2013-927 2013 182 2013 182 Contents 02 16 08 10 12 18 53 25 32 63 Summer 2 0 1 3 68 40 51 57 65 72 81 90 97 103 109 94 116 123 130 140 144 148 118 154 158 163 1 2 3 4 5 8 SUMMER

More information

LG Business Insight 1386

LG Business Insight 1386 시스템반도체새로운도약을준비하고있다 시스템반도체는 IoT, 자율주행자동차, 각종스마트기기의사업화추세에큰수혜를받을가능성이크다. 최근반도체업계에서활발하게추진된 M&A 가주로시스템반도체분야에서진행된사실은해외기업들이새로운도약의발판을확보하기위해미래를준비하는상황을잘보여준다. 진석용책임연구원 syjin@lgeri.com 시스템반도체 < 표 1> 반도체의종류 반도체는현대문명의쌀

More information

2010교육프로그램_08-0000

2010교육프로그램_08-0000 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 Contents 나노융합실용화센터 대구기계부품연구원 경북대학교 계명대학교 영진전문대학 영남이공대학 2 4 6 8 18 30 32 36 38 42 3 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 메카트로닉스 및 나노융합 기업지원서비스사업 개요 비 전 교육내용 현장생산인력 및 연구인력의

More information

UDI 이슈리포트제 18 호 고용없는성장과울산의대응방안 경제산업연구실김문연책임연구원 052) / < 목차 > 요약 1 Ⅰ. 연구배경및목적 2 Ⅱ. 한국경제의취업구조및취업계수 3 Ⅲ. 울산경제의고용계수 9

UDI 이슈리포트제 18 호 고용없는성장과울산의대응방안 경제산업연구실김문연책임연구원 052) / < 목차 > 요약 1 Ⅰ. 연구배경및목적 2 Ⅱ. 한국경제의취업구조및취업계수 3 Ⅲ. 울산경제의고용계수 9 UDI 이슈리포트제 18 호 고용없는성장과울산의대응방안 2009.11. 3. 경제산업연구실김문연책임연구원 052)283-7722 / mykim@udi.re.kr < 목차 > 요약 1 Ⅰ. 연구배경및목적 2 Ⅱ. 한국경제의취업구조및취업계수 3 Ⅲ. 울산경제의고용계수 9 Ⅳ. 고용없는성장지속과대응방안 16 고용없는성장과울산의대응방안 요약문. 2005 5,..,..,..,

More information

에너지절약_수정

에너지절약_수정 Contents 산업훈장 포장 국무총리표창 삼성토탈주식회사 09 SK하이닉스(주) 93 (주)이건창호 15 한국전자통신연구원 100 현대중공업(주) 20 KT 106 두산중공업 주식회사 24 (사)전국주부교실 대구지사부 111 한국전력공사 30 (주)부-스타 36 [단체] (주)터보맥스 115 [단체] 강원도청 119 [단체] 현대오일뱅크(주) 124 [단체]

More information

2

2 kakao 2018 8 Investor Relations 2 목차 3 4 전국민의카카오 41,488 41,915 42,080 42,431 42,746 43,044 43,201 43,526 43,577 2Q16 3Q16 4Q16 1Q17 2Q17 3Q17 4Q17 1Q18 2Q18 5 6 ü ü ü ü 7 8 AI Kakao I Inside Kakao I Open

More information

본보고서에있는내용을인용또는전재하시기위해서는본연구원의허락을얻어야하며, 보고서내용에대한문의는아래와같이하여주시기바랍니다. 총 괄 경제연구실 : : 주 원이사대우 ( , 홍준표연구위원 ( ,

본보고서에있는내용을인용또는전재하시기위해서는본연구원의허락을얻어야하며, 보고서내용에대한문의는아래와같이하여주시기바랍니다. 총 괄 경제연구실 : : 주 원이사대우 ( , 홍준표연구위원 ( , 16-52( 통권 725 호 ) 2016.12.26 2017 년한국경제수정전망 - 정치리스크의경제리스크화 본보고서에있는내용을인용또는전재하시기위해서는본연구원의허락을얻어야하며, 보고서내용에대한문의는아래와같이하여주시기바랍니다. 총 괄 경제연구실 : : 주 원이사대우 (2072-6235, juwon@hri.co.kr) 홍준표연구위원 (2072-6214, jphong@hri.co.kr)

More information

<B1D7B7A1C7C8C4ABB5E5BBE7BEE72E786C7378>

<B1D7B7A1C7C8C4ABB5E5BBE7BEE72E786C7378> Gyro3D 의운용을위한 PC, 노트북사양 ( 신규구매기준 ) 2009. 4. 기준 / 자이로소프트 ( 주 ) 1) 그래픽카드모델 ( 시리즈별분류 ) - Gyro3D 는그래픽카드성능에영향을많이미치므로그래픽카드사양을반드시점검 구분 낮은사양 노트북 데스크탑 Nvidia Geforce ATI Radeon Nvidia Geforce ATI Radeon X1250 Geforce

More information

보도자료 2017 년 1 월 26 일 ( 목 ) 조간부터보도하여주시기바랍니다. ( 인터넷. 방송. 통신 1 월 25 일 ( 수 ) 오전 11 시이후보도가능 ) 배포일시 ( 수 ) 담당부서전자부품과 담당과장

보도자료 2017 년 1 월 26 일 ( 목 ) 조간부터보도하여주시기바랍니다. ( 인터넷. 방송. 통신 1 월 25 일 ( 수 ) 오전 11 시이후보도가능 )   배포일시 ( 수 ) 담당부서전자부품과 담당과장 보도자료 2017 년 1 월 26 일 ( 목 ) 조간부터보도하여주시기바랍니다. ( 인터넷. 방송. 통신 1 월 25 일 ( 수 ) 오전 11 시이후보도가능 ) http://www.motie.go.kr 배포일시 2016. 1. 25. ( 수 ) 담당부서전자부품과 담당과장안세진과장 (044-203-4270) 담당자전동욱서기관 (044-203-4271) 반도체,

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

?

? 2015. MAY VOL. 123 IBK Economic Research Institute CONTENTS 2015. May vol.123 M MANAGEMENT LOUNGE 022 024 026 028 E ECONOMY LOUNGE 030 034 036 038 C CEO LOUNGE 044 042 046 BUSINESS MANUAL 014 016 020 B

More information

ÀüÀÚ Ä¿¹ö-±¹¹®

ÀüÀÚ Ä¿¹ö-±¹¹® 1 TV 20011 TV TV Top 3. 63 TV 40 TFT-LCD TV, TFT-LCD LCoS(Liquid Crystal on Silicon). 2002 TV 15, 17, 22, 24, 29 40 TFT-LCD TV 1. VCR & 1979 VCR 2001., VCR, AOL Time Warner TV. 2002 SDTV HDTV,,. DVD DVD/VCR

More information

W7_Business_ 제품설계

W7_Business_ 제품설계 6가지 테마와 24단계 창업 프로그램 벤처창업 (START-UP) Week 7: 스타트업 바이블 Step 20, 21, 22, 23 ; 어떤 과정을 거쳐 제품을 기획하고 설계할까? Hansoo Kim, Ph.D YUST MIS / E-Biz Research Center / BNC ?????,!????,? (Linchpin,, )?? ),, SASA : :,,

More information

**09콘텐츠산업백서_1 2

**09콘텐츠산업백서_1 2 2009 2 0 0 9 M I N I S T R Y O F C U L T U R E, S P O R T S A N D T O U R I S M 2009 M I N I S T R Y O F C U L T U R E, S P O R T S A N D T O U R I S M 2009 발간사 현재 우리 콘텐츠산업은 첨단 매체의 등장과 신기술의 개발, 미디어 환경의

More information

< 표 1> 폴란드의 3 대이동통신기업현황 구분사업현황영업현황주요주주 피티케이 센터텔 폴콤텔 l 2) 피티씨 - 설립연도 : 1994 년 - 시장점유율 : 30.9% - 가입자수 : 14.1 백만명 ( 선불제 : 7.3 백만명, 후불제 : 6.8 백만명 ) - 고용자수

< 표 1> 폴란드의 3 대이동통신기업현황 구분사업현황영업현황주요주주 피티케이 센터텔 폴콤텔 l 2) 피티씨 - 설립연도 : 1994 년 - 시장점유율 : 30.9% - 가입자수 : 14.1 백만명 ( 선불제 : 7.3 백만명, 후불제 : 6.8 백만명 ) - 고용자수 < 표 1> 폴란드의 3 대이동통신기업현황 구분사업현황영업현황주요주주 피티케이 센터텔 폴콤텔 l 2) 피티씨 - 설립연도 : 1994 년 - 시장점유율 : 30.9% - 가입자수 : 14.1 백만명 ( 선불제 : 7.3 백만명, 후불제 : 6.8 백만명 ) - 고용자수 : 3,659 명 - 설립연도 : 1996 년 - 시장점유율 : 29.8% - 가입자수 :

More information

Microsoft Word - 2016041019412290K_01_08.docx

Microsoft Word - 2016041019412290K_01_08.docx 216. 4. 1 실리콘웍스 (1832) 새로운 성장의 발견 투자 의견을 BUY로 변경하고 목표주가를 45,원으로 상향 조정. 모바일향 TDDI매출 성장, OLED TV용 driver IC 성장을 필연적이고 의미 있는 규모의 성장 으로 판단하며 UHD TV 보급 속도가 예상보다 빠름에 주목. 216년과 217년 영업이익을 각각 5%, 14% 상향 조정, 컨센서스

More information